diff --git a/Top/hog-build-info/sim.conf b/Top/hog-build-info/sim.conf index e209ed67351caf1915267f7c87fa3b7bd4d407db..ade4c5d80a8e8357066ad7f65166ecf4def5307e 100644 --- a/Top/hog-build-info/sim.conf +++ b/Top/hog-build-info/sim.conf @@ -2,3 +2,6 @@ ACTIVE=1 TOP=explore_build_info +[generics] +BANANA=01234567 + diff --git a/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd b/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd index 8f445414a022960b60ae224e121aea83389706e9..21c1a692e19faa27bcf7ab7e76a3d8899a5c6f0b 100644 --- a/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd +++ b/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd @@ -30,7 +30,8 @@ entity explore_build_info is GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0'); GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0'); GLOBAL_VER : std_logic_vector(31 downto 0) := (others => '0'); - GLOBAL_SHA : std_logic_vector(31 downto 0) := (others => '0') + GLOBAL_SHA : std_logic_vector(31 downto 0) := (others => '0'); + BANANA : integer := 0 ); end explore_build_info; @@ -54,4 +55,8 @@ begin report "GLOBAL_SHA: " & to_hstring(to_bitvector(GLOBAL_SHA)) severity note; + assert false + report "BANANA: " & integer'image(BANANA) + severity note; + end Behavioral;