diff --git a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd index abf5252b0413e60ed77a785b3a60fd7b7a63bde2..4242fa2a69f87befd03958cb781c13c95900e60f 100644 --- a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd +++ b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd @@ -48,14 +48,14 @@ architecture Behavioral of hog_build_info_regs is -- [31 - 0] Date in hexa, with digits in format: ddmmyyyy signal global_date_reg : std_logic_vector(31 downto 0) := (others => '0'); --- Global time register (GTR) @ 0x00 - R +-- Global time register (GTR) @ 0x04 - R -- Time of last commit when the project was modified. -- [31 - 0] Time in hexa, with digits in format: 00HHMMSS signal global_time_reg : std_logic_vector(31 downto 0) := (others => '0'); -- Registers addresses constant GDR_BASEADDR : integer := 0; -- Global date register - constant GTR_BASEADDR : integer := 0; -- Global time register + constant GTR_BASEADDR : integer := 4; -- Global time register -- Read address integer signal rd_addr_s : integer := 0;