diff --git a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd index 4242fa2a69f87befd03958cb781c13c95900e60f..ea303f8dbc32789e3f1ba0977a90e31776f67449 100644 --- a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd +++ b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd @@ -34,6 +34,9 @@ entity hog_build_info_regs is rd_valid_i : in std_logic; -- AXI4-lite R interface, validation rd_addr_i : in std_logic_vector(C_ADDR_WIDTH-1 downto 0); -- AXI4-lite R, address rd_data_o : out std_logic_vector(31 downto 0); -- AXI4-lite R, data + wr_valid_i : in std_logic := '0'; -- AXI4-lite W interface, validation + wr_addr_i : in std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0'); -- AXI4-lite W, address + wr_data_i : in std_logic_vector(31 downto 0) := (others => '0'); -- AXI4-lite W, data hog_global_date_i : in std_logic_vector(31 downto 0); -- Hog build global date hog_global_time_i : in std_logic_vector(31 downto 0) -- Hog build global time );