diff --git a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd
index 84af6e59de044b2ad7110a0687130075c4808734..7ad560f87857daafd2dad06620466c0dbbf39c27 100644
--- a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd
+++ b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_regs.vhd
@@ -4,7 +4,7 @@
 -- 
 -- Create Date: 03/10/2025 06:56:35 AM
 -- Design Name: 
--- Module Name: hog_build_info_reg - Behavioral
+-- Module Name: hog_build_info_regs - Behavioral
 -- Project Name: 
 -- Target Devices: 
 -- Tool Versions: 
@@ -23,7 +23,7 @@ library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
 use IEEE.numeric_std.all;
 
-entity hog_build_info_reg is
+entity hog_build_info_regs is
   generic (
     C_ADDR_WIDTH: integer := 32   -- Width of the addresses
     );
@@ -37,9 +37,9 @@ entity hog_build_info_reg is
     hog_global_date_i : in std_logic_vector(31 downto 0); -- Hog build global date
     hog_global_time_i : in std_logic_vector(31 downto 0) -- Hog build global time
     );
-end hog_build_info_reg;
+end hog_build_info_regs;
 
-architecture Behavioral of hog_build_info_reg is
+architecture Behavioral of hog_build_info_regs is
 
 -- Register map
 --