diff --git a/Top/hog-build-info/list/xil_defaultlib.src b/Top/hog-build-info/list/xil_defaultlib.src new file mode 100644 index 0000000000000000000000000000000000000000..fb06124da77e1b725e19792b1b71729d1bcf75b1 --- /dev/null +++ b/Top/hog-build-info/list/xil_defaultlib.src @@ -0,0 +1 @@ +hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_reg.vhd top=hog_build_info_reg 93 diff --git a/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_reg.vhd b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_reg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..77bf17750a1e56a449a48d033db9bd98fb62cd4c --- /dev/null +++ b/hog-build-info/hog-build-info.srcs/sources_1/new/hog_build_info_reg.vhd @@ -0,0 +1,50 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 03/10/2025 06:56:35 AM +-- Design Name: +-- Module Name: hog_build_info_reg - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx leaf cells in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity hog_build_info_reg is + Generic ( + -- Global Generic Variables + GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0'); + GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0'); + GLOBAL_VER : std_logic_vector(31 downto 0) := (others => '0'); + GLOBAL_SHA : std_logic_vector(31 downto 0) := (others => '0') + ); +-- Port ( ); +end hog_build_info_reg; + +architecture Behavioral of hog_build_info_reg is + +begin + + +end Behavioral;