diff --git a/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd b/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd
index abfef6a5408988deea1e9759d3506d99885c1b89..841d45ce6d62db3b6ce7509029a17c2cecad4806 100644
--- a/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd
+++ b/hog-build-info/hog-build-info.srcs/sim_1/new/explore_build_info.vhd
@@ -21,23 +21,25 @@
 
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
-
--- Uncomment the following library declaration if using
--- arithmetic functions with Signed or Unsigned values
---use IEEE.NUMERIC_STD.ALL;
-
--- Uncomment the following library declaration if instantiating
--- any Xilinx leaf cells in this code.
---library UNISIM;
---use UNISIM.VComponents.all;
+use ieee.numeric_std.all;
+use std.textio.all;
 
 entity explore_build_info is
---  Port ( );
+  Generic (
+    -- Global Generic Variables
+    GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0');
+    GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0');
+    GLOBAL_VER  : std_logic_vector(31 downto 0) := (others => '0');
+    GLOBAL_SHA  : std_logic_vector(31 downto 0) := (others => '0')
+    );
 end explore_build_info;
 
 architecture Behavioral of explore_build_info is
 
 begin
 
+  assert false
+    report "GLOBAL_DATE: " & to_hstring(to_bitvector(GLOBAL_DATE))
+    severity note;
 
 end Behavioral;