From 70c0a2e6e804b0ca8e7372e494ac11504c0588c8 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?S=C3=A9bastien=20Gendre?= <sebastien.gendre@etu.hesge.ch> Date: Fri, 28 Mar 2025 16:42:45 +0100 Subject: [PATCH] Add lots of files generated by Vivado --- .../a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci | 296 ++++ .../a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp | Bin 0 -> 12850 bytes .../design_1_clk_wiz_0_1_sim_netlist.v | 220 +++ .../design_1_clk_wiz_0_1_sim_netlist.vhdl | 151 ++ .../design_1_clk_wiz_0_1_stub.v | 24 + .../design_1_clk_wiz_0_1_stub.vhdl | 32 + .../a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci | 295 ++++ .../adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp | Bin 0 -> 12828 bytes .../design_1_clk_wiz_0_1_sim_netlist.v | 220 +++ .../design_1_clk_wiz_0_1_sim_netlist.vhdl | 151 ++ .../design_1_clk_wiz_0_1_stub.v | 24 + .../design_1_clk_wiz_0_1_stub.vhdl | 32 + .../2024.1.2/a/d/adff1593d44ebeb2/stats.txt | 2 + Vivado/labo1b/labo1b.cache/wt/project.wpc | 4 +- Vivado/labo1b/labo1b.cache/wt/synthesis.wdf | 8 +- Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml | 4 +- .../sources_1/bd/design_1/design_1.bxml | 8 +- .../bd/design_1/hdl/design_1_wrapper.v | 4 +- .../bd/design_1/hw_handoff/design_1.hwh | 4 +- .../design_1_clk_wiz_0_1.dcp | Bin 12894 -> 12954 bytes .../design_1_clk_wiz_0_1.xml | 56 +- .../design_1_clk_wiz_0_1_sim_netlist.v | 6 +- .../design_1_clk_wiz_0_1_sim_netlist.vhdl | 6 +- .../design_1_clk_wiz_0_1_stub.v | 6 +- .../design_1_clk_wiz_0_1_stub.vhdl | 6 +- .../design_1_reg_decalage_0_0.dcp | Bin 14238 -> 14320 bytes .../design_1_reg_decalage_0_0.xml | 20 +- .../design_1_reg_decalage_0_0_sim_netlist.v | 8 +- ...design_1_reg_decalage_0_0_sim_netlist.vhdl | 8 +- .../design_1_reg_decalage_0_0_stub.v | 8 +- .../design_1_reg_decalage_0_0_stub.vhdl | 8 +- .../synth/design_1_reg_decalage_0_0.v | 4 +- .../sources_1/bd/design_1/sim/design_1.v | 4 +- .../bd/design_1/synth/design_1.hwdef | Bin 6953 -> 7014 bytes .../sources_1/bd/design_1/synth/design_1.v | 4 +- .../bd/mref/reg_decalage/component.xml | 6 +- .../sim_scripts/README.txt | 2 +- .../sim_scripts/activehdl/README.txt | 4 +- .../sim_scripts/activehdl/reg_decalage.sh | 8 +- .../sim_scripts/modelsim/README.txt | 4 +- .../sim_scripts/modelsim/reg_decalage.sh | 8 +- .../sim_scripts/questa/README.txt | 4 +- .../sim_scripts/questa/reg_decalage.sh | 8 +- .../sim_scripts/riviera/README.txt | 4 +- .../sim_scripts/riviera/reg_decalage.sh | 8 +- .../sim_scripts/vcs/README.txt | 4 +- .../sim_scripts/vcs/reg_decalage.sh | 8 +- .../sim_scripts/xcelium/README.txt | 4 +- .../sim_scripts/xcelium/reg_decalage.sh | 8 +- .../sim_scripts/xsim/README.txt | 4 +- .../sim_scripts/xsim/reg_decalage.sh | 8 +- .../labo1b.runs/.jobs/vrs_config_24.xml | 12 + .../labo1b.runs/.jobs/vrs_config_25.xml | 12 + .../labo1b.runs/.jobs/vrs_config_26.xml | 12 + .../labo1b.runs/.jobs/vrs_config_27.xml | 12 + .../labo1b.runs/.jobs/vrs_config_28.xml | 12 + .../labo1b.runs/.jobs/vrs_config_29.xml | 12 + .../labo1b.runs/.jobs/vrs_config_30.xml | 17 + .../labo1b.runs/.jobs/vrs_config_31.xml | 12 + .../labo1b.runs/.jobs/vrs_config_32.xml | 13 + .../labo1b.runs/.jobs/vrs_config_33.xml | 12 + .../labo1b.runs/.jobs/vrs_config_34.xml | 12 + .../labo1b.runs/.jobs/vrs_config_35.xml | 12 + .../.vivado.begin.rst | 2 +- .../design_1_clk_wiz_0_1.dcp | Bin 12894 -> 12954 bytes .../design_1_clk_wiz_0_1.tcl | 7 +- .../design_1_clk_wiz_0_1.vds | 92 +- .../design_1_clk_wiz_0_1_utilization_synth.pb | Bin 224 -> 278 bytes ...design_1_clk_wiz_0_1_utilization_synth.rpt | 75 +- .../design_1_clk_wiz_0_1_synth_1/gen_run.xml | 13 +- .../design_1_clk_wiz_0_1_synth_1/project.wdf | 16 +- .../design_1_clk_wiz_0_1_synth_1/vivado.jou | 14 +- .../design_1_clk_wiz_0_1_synth_1/vivado.pb | Bin 26264 -> 26264 bytes .../.vivado.begin.rst | 2 +- .../design_1_reg_decalage_0_0.dcp | Bin 14238 -> 14320 bytes .../design_1_reg_decalage_0_0.tcl | 7 +- .../design_1_reg_decalage_0_0.vds | 88 +- ...gn_1_reg_decalage_0_0_utilization_synth.pb | Bin 224 -> 278 bytes ...n_1_reg_decalage_0_0_utilization_synth.rpt | 75 +- .../gen_run.xml | 13 +- .../project.wdf | 16 +- .../vivado.jou | 14 +- .../vivado.pb | Bin 22131 -> 22128 bytes .../labo1b.runs/impl_1/.init_design.begin.rst | 2 +- .../labo1b.runs/impl_1/.opt_design.begin.rst | 2 +- .../impl_1/.phys_opt_design.begin.rst | 2 +- .../impl_1/.place_design.begin.rst | 2 +- .../impl_1/.route_design.begin.rst | 2 +- .../labo1b.runs/impl_1/.vivado.begin.rst | 19 +- .../impl_1/.write_bitstream.begin.rst | 2 +- ...ado.error.rst => .write_bitstream.end.rst} | 0 .../impl_1/.write_bitstream.error.rst | 0 .../labo1b/labo1b.runs/impl_1/clockInfo.txt | 4 +- .../labo1b.runs/impl_1/design_1_wrapper.bit | Bin 0 -> 9730767 bytes .../labo1b.runs/impl_1/design_1_wrapper.tcl | 3 + .../labo1b.runs/impl_1/design_1_wrapper.vdi | 554 +++--- ....vdi => design_1_wrapper_11165.backup.vdi} | 248 +-- .../impl_1/design_1_wrapper_14663.backup.vdi | 726 ++++++++ .../design_1_wrapper_bus_skew_routed.rpt | 6 +- .../design_1_wrapper_bus_skew_routed.rpx | Bin 1165 -> 1163 bytes ...ign_1_wrapper_clock_utilization_routed.rpt | 97 +- .../design_1_wrapper_control_sets_placed.rpt | 4 +- .../impl_1/design_1_wrapper_drc_opted.pb | Bin 37 -> 37 bytes .../impl_1/design_1_wrapper_drc_opted.rpt | 34 +- .../impl_1/design_1_wrapper_drc_opted.rpx | Bin 4330 -> 107 bytes .../impl_1/design_1_wrapper_drc_routed.pb | Bin 37 -> 37 bytes .../impl_1/design_1_wrapper_drc_routed.rpt | 34 +- .../impl_1/design_1_wrapper_drc_routed.rpx | Bin 4331 -> 108 bytes .../impl_1/design_1_wrapper_io_placed.rpt | 729 +++++--- ...esign_1_wrapper_methodology_drc_routed.rpt | 6 +- .../impl_1/design_1_wrapper_opt.dcp | Bin 280479 -> 187288 bytes .../impl_1/design_1_wrapper_physopt.dcp | Bin 295950 -> 201806 bytes .../impl_1/design_1_wrapper_placed.dcp | Bin 295645 -> 201503 bytes .../impl_1/design_1_wrapper_power_routed.rpt | 83 +- .../impl_1/design_1_wrapper_power_routed.rpx | Bin 18276 -> 17823 bytes .../design_1_wrapper_power_summary_routed.pb | Bin 870 -> 870 bytes .../impl_1/design_1_wrapper_routed.dcp | Bin 300561 -> 205503 bytes .../design_1_wrapper_timing_summary_routed.pb | Bin 106 -> 106 bytes ...design_1_wrapper_timing_summary_routed.rpt | 1556 ++++++++--------- ...design_1_wrapper_timing_summary_routed.rpx | Bin 117697 -> 117025 bytes .../design_1_wrapper_utilization_placed.pb | Bin 226 -> 278 bytes .../design_1_wrapper_utilization_placed.rpt | 89 +- Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml | 6 +- .../labo1b/labo1b.runs/impl_1/init_design.pb | Bin 6556 -> 4393 bytes .../labo1b/labo1b.runs/impl_1/opt_design.pb | Bin 15251 -> 15413 bytes .../labo1b.runs/impl_1/phys_opt_design.pb | Bin 3425 -> 3536 bytes .../labo1b/labo1b.runs/impl_1/place_design.pb | Bin 22708 -> 22789 bytes Vivado/labo1b/labo1b.runs/impl_1/project.wdf | 16 +- .../labo1b/labo1b.runs/impl_1/route_design.pb | Bin 16954 -> 16387 bytes Vivado/labo1b/labo1b.runs/impl_1/vivado.jou | 6 +- Vivado/labo1b/labo1b.runs/impl_1/vivado.pb | Bin 16 -> 112 bytes ...847.backup.jou => vivado_11165.backup.jou} | 6 +- .../impl_1/vivado_14663.backup.jou | 24 + .../labo1b.runs/impl_1/write_bitstream.pb | Bin 8394 -> 6435 bytes .../labo1b.runs/synth_1/.vivado.begin.rst | 2 +- .../labo1b.runs/synth_1/design_1_wrapper.dcp | Bin 20218 -> 20348 bytes .../labo1b.runs/synth_1/design_1_wrapper.tcl | 9 +- .../labo1b.runs/synth_1/design_1_wrapper.vds | 94 +- .../design_1_wrapper_utilization_synth.pb | Bin 226 -> 278 bytes .../design_1_wrapper_utilization_synth.rpt | 73 +- Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml | 6 +- Vivado/labo1b/labo1b.runs/synth_1/project.wdf | 16 +- Vivado/labo1b/labo1b.runs/synth_1/vivado.jou | 6 +- Vivado/labo1b/labo1b.runs/synth_1/vivado.pb | Bin 25665 -> 25042 bytes .../sources_1/bd/design_1/design_1.bd | 4 +- .../design_1_clk_wiz_0_1.xci | 14 +- .../design_1_reg_decalage_0_0.xci | 14 +- .../sources_1/bd/design_1/ui/bd_1f5defd0.ui | 6 +- Vivado/labo1b/labo1b.xpr | 107 +- 149 files changed, 4803 insertions(+), 2109 deletions(-) create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v create mode 100755 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl create mode 100644 Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml create mode 100644 Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml rename Vivado/labo1b/labo1b.runs/impl_1/{.vivado.error.rst => .write_bitstream.end.rst} (100%) delete mode 100644 Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.error.rst create mode 100644 Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.bit rename Vivado/labo1b/labo1b.runs/impl_1/{design_1_wrapper_7847.backup.vdi => design_1_wrapper_11165.backup.vdi} (69%) create mode 100644 Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi rename Vivado/labo1b/labo1b.runs/impl_1/{vivado_7847.backup.jou => vivado_11165.backup.jou} (91%) create mode 100644 Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci new file mode 100644 index 0000000..64beed5 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/a9ad1272a4ff99ca.xci @@ -0,0 +1,296 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>ipcache</spirit:library> + <spirit:name>a9ad1272a4ff99ca</spirit:name> + <spirit:version>0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>design_1_clk_wiz_0_1</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="6.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">400000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">90.074</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">87.180</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">400.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">design_1_clk_wiz_0_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">PLL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">artix7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BASE_BOARD_PART">digilentinc.com:nexys_video:part0:1.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7a200t</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">sbg484</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">e6a05ff8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">a9ad1272a4ff99ca</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">design_1_clk_wiz_0_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 5145035 $</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">401ad827</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">32</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2024.1.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1.dcp new file mode 100644 index 0000000000000000000000000000000000000000..b552ddc956d45241bff043450f37b5d8fe9db026 GIT binary patch literal 12850 zcmaKyWmKHW*0vjI++7;i0KtPp@Zj#j-Q6v?y9IZ5mq0?}?iwVx1cGbOkD2qGc_(L0 z=IK?dpI_J7y?a;fyQ*#lX((tc00007&=2(1q#sSe;D!VMqG134SO7f0*vOvI-Nsr~ z1qguZi<B_yOO|$8V#W$y*ikp{u*7wUf(kP5i_(K1zwH{N&ZCd61(vcTylW1h(bJ;| zlhl~Ks$TXQfAn^#X3zdM7m5T5r=>MxE2^;^UhUv)x~B9P;x?gj=dt=!4ai|-G>s@8 z^;#?59lo;0s`Q@mCk4Z@Du+By>GKiLEu7pv4qr@$N|sNtG1I3P2`&N5NWZC*l5+z@ zCP`#$#W8)5ym?_h6{E_fQXw5BV@vmA@^2;uZnalms}gyWAXjrVK9G%RBu#75v}i0e z)-V2m+3Hf&LlLvrgvW?)IBM{#M8XBi%JqXa2T<kwvO#$G+3Z#{W7`^qdIHJQL}PID zucT*#NT{P`?SMSfNvD$E78@nmzL&k({n-|d<vgH3LiH8Vv#2vZWIHOZw!aR`So#o$ zji=2<Yh6}6s(jnlTy5E=f%z+yhk<Iy`^bib*}d?h%`H*;SdgTvrrSjvO|2nn5W2r~ zg6=S)SK5Z-NZ$tQ$E)cZZA^mGl1fgX@z<27FGFy>c0ccNi1s}<pdvPt%NmL5Xe**U ztr>~sjqX^wj-mzTZQtE_<mlxhE+0y*lxTA9Py2;*UVm0IZ7}jy$oP2qoNBc!Z0lFa z9F`HeELDs|RWg!0Z!wKb@;a($MqD+Le_WDtk;j~Bu9C37ZuMRbO|oTG!dAaaP3fXm zj1#LdS}j4sfpgLb3ud2$eRgtI4|syN*sz+tV71VZiy2Ih$E`>&7Z;#TPS&TFH*+{a zGS;zh52f%+ncaR7jeP|H0NlO|!avH)#L2?UR*yx`$l6NJ&B9ZU`Q_co*~O62^{>+N ziItazVnORWxqtOdab)QT2ffIh*7Lg%tyu3eEA`CrB)evU_4Dm_*=FEjpLFVI$65xb zYB26XR)_Uc*xmQD4O^ZxxwBW|Kk9nB%UO2&^^<`R^e8`WDhI?|kWHxrZ&DBWHTwwc z@;iFyPsAK<Cun&;w_t$V8MAAhK5<3`_Tpq+pr<F3$tz~|X-!Kgl5mk`T3d5dGV_?7 zBi316lX~MTz4vaTm>)N)15Gm`E9*OBkyFHfi0Y%xJnhnrS|)A%MlVn!jZ%0ei1Y!0 zG~<1OBrEP&Yb)i#tC@Zdmx9q|?m;&;i-Qd9c7b^+ZyvrBW;q+pkAqNkS4WkAgxtfe z$zd&(f(i=dISGZd9yZIU@~E(}-gS>1dyeNUy_8Xe=DW=LTZms%U8r&tN&b>%(wA9H z{O_qYH~zng?i1T(*~5$`dU6-R->i_q6*SpTlNe-ZZz1WmFDr3AuSs4=I%Kg@Q?o5% zFST!h`)%}xbxPL~G3>il0l~A&*3sRDpCAFrMDDkOHYzB|^R?2DsJxf^a*MkR83c(m z__zv9GzM7Br^3UUi|gT7ch3Rrk~AxTE7$hdnkNn`=Qpv)cDgx-Z<r!>$ZOJzjge$? znFS-Wd3q45Fa1Bvpyr%G4zl@i9zw1NtpG3@eQ5Q84q&QUP+25USk(9l!)A_5z<wr~ zb*ON#j4A)VPRFZ3OSHR;AE=V&1}AWd@nb>O##1C{UE-RI4z{NV=Kx&9KTt5O72TbL zIRv~YF#pLiuhj<?Bg3hx1KYQ36pF2VMUL8arNJ6bly)gM<oiEq#^LdF;eSap*UR{A zn(Yl74Qzf{Pa(J<2A(vm-PKsQ#Dq!zcvx9X|NLfQWggM3vsj;gl|r)3@4r`;FDXie zfx{`|i}_PH#N`zLtaBmsID=Mm^w?=w?P=d3jBVR|n-5Fi$W7If;JsZpBwu{5mIsw5 z*6Vqdg`BF@a$(ysQlh-WAZJjif+I&kr?tg`+{*gxEL@KlPM8yFjeLewY(%r%T|K*A zU7c8smDJ2d7CU7t(-jM3t_q!?@ns^bX=*+bC-$+Px%RqdJ7zMZ=!@G=u_TTB@2QPX zH-^=?_>*oz6}96a6D4#eI2JZq*F7X2ysefJ<n`6md(>%^UCA^fXT6V8L|ItkDl869 zVt6%2D$K%Xxz8GUKC=?CZ(m=XdIR%m=cWgnF?kr0dK0T>4fsks=h<n+RTp*$KHZ2q z(7h?E3wP?M4hi_R&{JZ%_@-YPS?$X|K=Hdmx3JYSva@wIad&2PH#YifZ7G_NMd)Tm z1FnBANkuFylya~uQ(zE(&<slwny$l<E|8=PyF3<uFC?a3odE7&vMVgEqDm@CfYpCD z9Xt%)Bi;jXaBK3>*&u71c!2ZIGa6}@Mdh$9+=j9>3oKuMf744BtY$Y+h%5wK2&Nqw z3KzIEm~511zc)17Sb7?P`NnKNFp0=z=Nl0#SKfT1v@4LGd}zl6mU9upG4wB(a|ew% zv}KDiW6$i5S(apf{(@mZ-aWJ?)d6-75e~u=mxLVoSx!NjTtqQ@yca{_z103c)p<8! zs0M@u02q(~0D|A-hSAB~z~1Dql-Q`RCKA3wUyz_FqpB)YJn`9=0YOvhgB|3~e|p1! z>b0umBB-kB+v`t|jN>Qj<+oe8+&-dSVDBL!ZH#zBBk}Eo$8N$Slm-;K+hePs6aU0@ zUnk=$dJx9G9Q`4+6j#Ax^X$7iGqXCw#(QrpfOCICcssf4?$&W*UBu~Gym^dN6HOPr zv2i+ms_)!kDBy5(_jG$OZcx;$q*I00-i{5AN_SrnIQ2ABFhUbUZCJPXcuC?#D*1M< zAwABhj_uxGA2dOq*QaEEQ?+oid+h%l@&PFlxrgl`WJbX5>fuvUd?=nNsNm4<1Zltv zgl7o_9}2tNGg83U%Q9nsFQpR%y}RVPYPXDBVPuW1kJ5l4tr29L>-xIHpiNA|U|fwA zx$H2me-pZ*1jVmUFxxS$Oy_i#Oxo3QX%dAj6!_*UX*vvHjOSW>nL&v7A}`t4nO4RJ z_=Fyz5vIg*nr5Gjk|%0vU=9&1Dmjp+3hz7$n`9UR=3`6`u_8dOKu@yD*Gg3k&Kn6u ziNrEr%SFEuYS^%KuaZShL8ENhK&PdcoM{PPuZ_~mwczJL>qVc1)YM8^=U0g!^*mo} zzOY9%?M;wW2`DH;6^=s+^%^n@a%Zw^zyX%(yC(R4hC9LJM>959Ks@zTngF9h6H&Y) zU7<;-IM%cNnibMW*Yn6#(|Ba+B%ap;TaqQL>_#+0*Bz=d0L0r^ETn*x3Ppu|vvSI) z^=A1pDh7q5YZGgDX?vp}AEUeWBs)8FYp}$D!_w8Kq7xc5m-stnA5%AcZ<s@a<RvR# z7~%>0$8;(UA)oX#l5<3bKBc6O$5z_{o8XdZ(#%3_ucYE1C^Jqxk+l(vq&i8A-LEu@ zs%xCZG!hq)nL}q92lKuWyMyMb@{qy?!T2&5Ear?|0}8kSdv3@J4VmBpa&M60ne70V z9ncwGK!^yN{UpMC6eBZ>Rke>V$iwr_u(grCi>5$EH8iv@qRG3#<kC}Zpu}amEA@DS zR3Yfjm`yH$#e~)O^HEz?vxS3sE)L{W(uF=*4q8_&Bzu{|^5+XyxEg4}DN*ypmi%zE z85{$<G3s-Pq&(5_aM5N{OwAA!#!*L1D-@kst?2L9bb_c2$0^HAUWUdH)}zhZ1wvBr z_mCV>XaWSnQwuy?h6mLr8nO*ea_Rf);#@8<?wgCbws?4~0?07V+hI?|t?XPiesJ}0 zxTT2!=4H@gi@hL814!=0Zs+A|&imJ%n%cdUGnxH&uOLe5e0hS%Lm0eal(!{_wPMBS z*BJass`yxPuw87&)qL?xO3y#$+r6z=7zA@r^Fq~p9R-`by7~kXFO?i}<1evMQc`C& zBZ#5EEnOV}tIF6e#k3{+6Un&3W{h1SMI}4)K;<Y;r?K<Jz%P8?*2kSOH6wLJ44shP z+r)l<vKaC)gkNS7Q7w=LEv%~AMokB~S{9)CJcKqY(LfTQ3JFW5L1RzbHI(jrItv{a zSRz6S;=_d8SpSMv*{<0d^9ueGfj8OuPz#J+lD>^9&(kz?+!j-4u6R!;d1y_QtJ5i{ zIJs5Vk5ERJxxC4RK+kW_jI`<w5n)~~yaVxc6N&Oj8X>zpqVW8bwcw}q8xB-GRf#3X zTY{d<C9qD9^M0ReVz_{+Iq?@cb&@%R@+!&EE>67SSyN&6U|Fwns+b~*Q(5snx7r&J z4+g`U)s$0#YKR>R53t8gae!kYyycUQUXUFdLz#u?zSjmX(#N3=S+?irQY7z>8<`@a z@U~OHO1W3}LOsSCUPy^9pNKA<Zv}b+Hf*nC29^{!>yaM(LaxV}RsBfH4W}$C+i_Di zR6{OY*DjX%)29m3N{`BY8-_OCsn9hY%r%8Hd;z>Q9gJ??x#9I);N)0lRCR~2mDl7n z=6(o*Nu+t(TE_sEPjgomM=b1`z$=FR?8JXB%%p4TkUO$pweaN3`EDBi_Tr+`lYZ#A z-#xK&xOJRl>-Cr66Xqw_e)Zcmd^h)q=YTW%6~+N2`-^!(Lw!inexn@?DjWm{Kyj*Z z%5k5}6G9pb6|4-%5K9!{>1<@d1o~C$*|6Sof?U_pTHGGPiu=!CJ(?kOinF)RcODT9 zj(lR(TRWl;cm;XVU)}72xXdoJO(_DnaP$lg>q57x@XlVjAH|Gv#w$IQTu4=A(srYV z843+8`bV5jaH{@rNKM3=Nm@P!$&N}5lN7hOk=zV;%^?(MDB15(BV)urTpvArwSIGZ z$sD@Odvd!}TGes5&@Y@>?xx}`Tev>9-1&i*N#y6&>Kc4_xe~z%5lBG7X*%iYc3N4z z`n=6iY$SkJ0OYYy6YXxuNVw%-I1B7AKNF><ug0K}cT^kQbshLPI(1y#XcCu&`97}U z`G30T(l^fwB6t7*?`7cru8X?c{?$Su@Zk5Z|1R!WIE`5U6nEUr%&1VCN;poEkzdG| z1V!K_`Ye<73&UTcT*R}J*oL^l{MdMjk!<{ghR(U9<D*YaSJ%2G`#nJ(rM2ggPUV)L zW4#&eGaX{V##mXE5QF6cjhd#NAB`&B&crh?aJW%PQ@0aqDip~vP(02^?Ir{%Re*wy z(cb~FRDXsVAYE@7%^@PeJ*&@qgy?``*M+dGxh@~9a;MXz>CYy*oDhYZMTlx}?}g{9 zaJ<qumgfUc$XF-2NJdQ}$iHqgJx)rg(-*(_1^*_0GrCx(<Xe;@=4G|}%thUbdFh>y zW?gr$F9O--uG)q%Sy{nCTeViBxhEcGQ;j%j(g1x36<pzUA|@|3AmskU&Nh&G<8Xi> zhJzkmu8%`r4p5;(%CuvsDXW3AVLX7k7t^kWQqH?`(3GsjZ4W7Q<vjLw?K#&xn*HwD zM@Dkx%R1i>&MuqNSD%~|8P!G=dw6~{R)G_EMg`8~3BUU;1qG<;KJT{f?tZ`y4H#Y@ zPNKO(zMDHF1hu_XUEq>^1`_l8_B+V_z2LU|%tv}!Zc-6loZ?o;ozYUO=`1R>Db!LT zU*eo~i0H$S95bgeHk4)HiKpj`tx{DSo7aYk5JgLk5Ys|<gB4-1&P5#;+v;e`%B1gZ zph<)!uglSE7=0@ktHp<)r%TQDx^_kL1$0fmqG`44+}s|tmPV+;wRiksRuS^c9}h53 zkh?n&sA$4S&LG{1Qq}N-+0IKrFowY}6398kGYww#kPc4(S5&2^nY7sbdtZH_GQI1V zfd&XIdEB#HS_1pH>BwkNeqcBz-=~=O<I)GsDN#qZ9j~Z#K_R3CRcz-3hIdhAO^149 z{+*Tsc<`vcF4rLSw1y_DRzJ=z^HJgxJ0vV<&pU~xQoK=i8tqep4E=gA_2pg6oTHi^ z4y?DO)tzG-3%M9`Tppm-@=o4$)yC{SAzX2PbyINE>LE9qpw|N3SWs3M=d|X%eB?6F z+h|#3Hb2KFct12Sa^Iy^@jF-X*if;?gVHx1ae&6{l|x3e96aRF!Ld|h_r1yoGd3(T zf}DIS6flXMn=5H{N$M@h0Uv5tq1oN7y2lQW&;d1=uO+FgzlF=)Ok7N#o0iF|?Hc}^ z_lZde5o+!F=|V804(z=k<-~|pE*XEDgNYK(Gx#})Z4U1kz$!ZD(IgvccYHN+7GJ*^ zmt%ok8bO!hWlR^`U&Bpvn%Vcc<IGUiJ~?P%N2Y)M)Dlm(T{_L{8L#QRr`lWF6akC^ zL}rWF;e`agt)Ju_^3O7epL?LR;B8*L;qK@oR^w^Q*LGrf@VBJVO{}ZpRW$O6let5c znk3Xg%=oGupf|_nIO+96PnDsR=ekf?4uX_^g#wyoQV2@>J??C#rt}P1$hn|OjIxYG zVX4Oz))Eshi_C18Qk@T))zqRb!SaWvWHv-X*`Fnr6NFFsPI7(1AEb-Q;C2qad})GV z3hPM~9IYH{e8`IM-4}$uPa=FxAnTRdgAo@$L-cOTY*3TXPPs{kMd#AW?oi*+V|ILP zHz#Qs;eauiBpcy)H>MlC-ak+%ami<9h9=8mn!QBUJyt&`!7_dKZ6gwe4!++K)6nD( zY<oWYWvmdn&tu7?AYK!)^^g=kMFj5*uK69x!^@g+S(KoU&`?YQoo<=KxdM21J%Yue zL2Oo|uQhquugFP!wtf~BJ$DS7mGfDgcwkr&0AYmMC>@tp@|>G%k*}XklO=F-Pu3C6 zA1w7|NbgY-N+08gw)*uWi3+RjsYTD!zurbcex_~<>a}Vy$LFA|Nz$j`XUyMohn$e6 zi2|e|yn{*MEwx>n7rSwW0o@aNmzEV-Bvn=W<?=XZzDml^kY&grCp;Q0preMD7^M8T z9+#B&^@EgC*oW89w4I}7(P<xB!8Uk{E2fKFqE)6knDhL8?X0d320d5YO|zY*5i%qC zTRI9J1uc&SUDNO|Q(HUb`1ni=4AyTU_ugwfLv>DA1eiQ8)@H&%iwr8nFqk)wdFVJ< zy)z~hZ1#TZNVa}$xpglfT9drguOyU^!3i$n*l`6VZ^%UfO1sMTQe`YA93ehn*Rb<o zXgkmhY5Yvz_k@EJ#g-SZhcS=EDb~q~8pdv%WK2(o!UpB+y4^!+eEC6;oel#s<k4YS z0)gXDtSN6uB;k;;1mnGe;U44&LD!G`ajteh<s<SkP~an#x!o(ccbcZ!V#h`E2N=~G z#gV{e(>XCs&PI>=AwA2<C8xp3`T8sY%;A(^0%W2enm;&_iq+QMJA9gF+pHm7S$_;5 zvF$X<2$@YZVSj?HMJ0_{K$iDDe!%NhRk|KsLc9B(DV`%qghoZC&$xyC8ds7KdXqhr zCtp0GqT*U)dZZ(nFT)W)3Fl{ZhQfC-8dA-y5VPRtS!aBo=o-(tl!k`o!ducugKm+` z#>nYDn`yaSd~d;SxG}5+2pj}||74lmp&a<+4MW!mD?6dJOdxq>r-EM-@*JgBZF?ME z9eZ*^7I}l>Azv`ORc1W&>ANaj>7Bw!Du#?JSbLx5nP}Xa`n7PWFR^*%4vRhhzQf3& z{T#E-Nnwq4i7CHUDnVyYplR4-+dSO+;8M!o7T*&ai?hBx@U6xV?XnEeOnI<ib+`!= zt<hcz>lg-tZNJLa09_B7g9fU5Y5~YDJ6oGege-X8Z|I<{UjzSDne44)GM6vz0rKH6 zHosH=z`|+mX;gPneb7GB|0n$#C4P53GxlqAhag%3lRX7HVoN~9tf%2vy^`D9NR7L+ zuYnk+wgcm!^@y{HeZ2X-->k-DDUN#xM<v%|WtP{6kk=%5ndB}j@t*#>U1TPqGBN6T zH#T*r(gq(HXq5u{W>y&dzvbu$cdw^qCQiwUWGSO!lq)GIm15cUXX`p&2KhmL(e?%` zy`?bvx;TLxCOpBsHTLZWAmnLs<Gwm;QZ#@N$}J&g=eEp3b|6l@7`B_v`k|Zfq%RbS zs=|pIUmqpBmh?vp9f^*Q?%Xhc;em%0<iHf#?SRKKY{$jG{lm4t9**8eN_x+BZ<<nH zTwQ^|QXyy6YvKPM-T?l*cfgo$7gK`+0R9+wzmrxb#-@L1E7~TeNBvU<6JfxxEFLNY zIAWA94sf3QWxrvT4Z!Sk0nIH0MLy6`3P>ssN(cmJ)(8x|YnD}{U1o${18e|(05rB9 zLsnKXx15f@Y|Q0<&grLMFKM@;!eZn`*wkV8VoP>(oBeIu!T)W+q<>_v=x$5{4klH` zZ7FA(R@6Pdan|eWTi(Psk*E!(XYMe1pWh7@4CiBpu3v{v!hVy5^<PI{4OB4eBb)Yv zH4+s0)T8q-#+e?m&UFuSB7T0`PZIJ~nJ*zg#hvuS`GCXm&RN_{TBR8N&wy>z?`T6s zmmye^j3F!E1Ud+xzp1;C%13349idCn3dSS8&EiFW1_<oE7Tb=Oj=3PZa$3Qh7H{r4 zT7;c!nBE*EdUhIpBt}r(Rd@|46Y$j;=K|n~01WeZB;LK|vV9;lL+!q~hh+-9A6Q@{ zoZcd9dy1-JwA6(D7!0Op_GPhhusaVNCyLT#{A7WwY&BR8!$VSn9Vj*y92zjt#7$GN zR2Zr2tcHYT$}M9<M?8%^5n%2oLI>X6TD(N%ejqr9le0o`WXDU0DhC?XmRfdEV!}jz z8Xb~KHZj4B4AM<9ObQoEP@FB&s<-UwShxwy+<b3_?)V|LXMgQh_}HaDt*V+|*Z%GG z_{-Hy(?L1ylVNW*ZlBO8|KLp@?uGIPTwCUC^faVh+~9K!Ke#xhVF{_I5asXe2^a+% z_RnYuUj{hY{It)oNNWYVwm6n3#<PKbWJqD#i<K5i-)HsNr00r1NwO-1HI}P+g5~I{ zY|~eHg32xDcJk-TIocIpf}ON5fWgqltD13D)dV_mfuh9&yYwXzD2tNj0=OB5{p(TY zx=X1)Pg+ogD^?9#7uL$cuu7_pDAhGc3DP&3ruy?(^mI^<{N$qNhl_|Y7fL`x2@51+ zskKboE!0Y}P#P<fy+}U@5yP?iq!Bt)UOY!VJdc<$`$knt_N%A~bH=e&_zBd$5C}20 z<kO@Sy~+qAyqGW<6gz3O4CgUzLMsr}&`Pdwh8Wx^<2Oeq+2?4Z<x-cbDk&Iya`q<8 z3e1AHQ&X0GcsS6Jq9BU;)V>t30^?-R?JUMF)u#lXEY`M<^HUe=qCe|F^D&D->Q?-V z_f=%PeIO<SeI%s@%uuH2kH7(!wYSt{5!!I$0*el}Y`B39H|dFQzJ19;UyE)_M}OzN zAZ$-DXh5FsKZdi$9KeRV{UbJ0yz#9qx$D+|CQ`#5DOr8I4IdW=M27b4l2lLW+CDP( zF7aqvU<X742AYJ3pRuSS78MrOa>j}Qv&Abh9oAQ7{wt+<EUXk16y)TR2no(z(9rk; zq{eET82Vj;Q9WE(J)}Ws!ck<sXvT14L1+PCQK?nV#kdA?p#0K$4X4^OrMgY2*8arr z5?1QMvXN4%K&@cyd?^_7CUnYNfN&7*L%W$|F$Q81h6Di1>x3$7`v(Uey#*}<S|}MB zl+U<BR~MJ$&yke5l6e=o2^xGZv<M@-lF_nm0E0l8MNgW!-if3{C@I4y7L`^u6=->1 z%F=@lwVudze<9SWj3<);WTeeWVMhhkc*M_xaIcegcS4v-NX0{?P_ue=f<uE*Lp!ju z-cjUXC;JS_S($9mB|90s(-iUS0wo(M!qRrV)7de%r<EM#-~Spv@MhtC<GaL6un@m# zxSxYm*9BMz_p@YBXT!cf^jGV|`k#gEY#ZsCO`*$Uz`_Xz0z{c=+Gdp+pL*9`Ekp|_ zPi(?Sm!R7|1&>KF-Wvycc`73QfMBfoPX(GaNTTmY-a|r%W^SSzoqHnXK`X11p(ysq z%+Mf`;q~`cjD2?{pQ;R<sjpv42@BG?t$iz2(wCS;bxrqRE0`Yg2J66$);@`d%FQkK z>D8mSvn?}iL-hwBXjqU-S;go{MKnD7bdx3nhhD9bsqFml(4XQB!eC7)B1ihB=Y2L~ zBAkIm1G0xs?amoldaNBQ_Te49+VZIGW+OkXls#%>mUOB`!I+!-rberNDJ;b+Pb?9R z2<=iQoltYHFK&y_>s(l(c_Qp#=8(Xx2?xT@b@-f9yfO%aWDkO;w*<usgHCj&q;Y)u z<B>K)!ySu^>Y~g9KfmeoFONh&#@|4l*J{gpQQl%l+Wxq-7H*~|&We%Coll99e5jsS z4n2Xx6|TLw)@RB+t6fPyhd*s9T3HS{*hn=HJj31B`ox$c6^UYf9h1KLQ`||Dt74y_ zp&@p+-d=4=b9b>Mi4~O~2ux0_vB@G8Pj$XsVFXg`NObVsyBGMlMFGzZ{VhKGbA5gk zQ-m{ToBFAioFVn=h5Ogz#L(Yw-_zX3>BYd{!9Xls?2dsg3d#%Ju6tBbH?e28?vJ<c zH)Val1mO8OrY?;g7HCPs%d+NKAsO$sj97Dd(BZz-NBwH>5ET~Hv!is1qsO_YEfgMI zD5nhq@62`y>!4dxs7$)!U1?fA43_U+MuTw-ALQg^&Aj*KsmmJ<8s)Wk!V!l_OEEWC z$`LkzF_jR!3c@-&PaE_Kk#)V>o4{pUl@rWV87GK_^r@v4&+wK3QF9A7u5oev&fJwe z4kV<i5K~21-cBBTO#BNTCv;5$CwEoL9e?Y%)S)~wl9=b9)_lmUYQZKC>bhN8$EX`m zaZ0|ijrU51qd^!(QAp=gTqD{s(#mP(^vBWFex3l~HPrj$0uIKmb$@s)5L{S3VLknW zIsmh0mbVbi?_tX8T1`MGv5`DiA|06^r>6T39h_HFmT6AO2~!>_LZDKK{W|EGo<XH{ zqP5(B^PId=amd}K0>&wE2d@h_XWW<*(Z}a9rWm;?oPB4Urc65#ffZ)0@?TN%A2WpF zz?%Z)mm8&+mx2E~QDR|h<oK5=K^z(#8<mcAlVp&LavNcaxEYmZlp2#Aqn8|Y7#U(> zl77uP%f`v7L@W1tSY~vnQi@?{bfTeNia~08kU?6NnO&V(ff>q>v>PT`(H(EvJPr}? z-;n;JlPD&XrqY1`z#rqcI?2)4;ID}O)JZ=ugBbt>;u0vr%r==&U{Vng|6pb;EJce8 zx0x(L_;}-{xUzZ$5%P8wQ?ow7XTr57_rcgl<?34W_^_~T)P|34--6R)@^#8=3t?se zbAV~U(^)|u)UZ9M4Pvu!Kpb8#<8Ys)ewf7?50Co${5@Oa@LO-L?9tHPuNk?>ebSv% z>0U~R4c1?izek=m%jJFMfWE{_3gmkfM_kqN<WN^Ydd*}dJu?YrkdcxOHEfQn4*m{0 z3JvaJwy<T0>3%0NrP+%weKHKO7u)2rO7)<L-JA2~oIq=bR9D^J^`I%;E|l0A;)9=I z{vmuKkNbt;CzQNZN_!xtjP7|LQ9MyK4GVrsW%X<~MQZ8_&%89t20xf-<mIdq?h8RR z)KJtL$K$WSlp)uSV%6h1n`~UdVgr5r_rM6E@aLJ63*6y%@|{TW;+-)U)|BQVv-lpY z8aw#R1qure>T2ABu{iOjL20MBNp5R(Xc&)F6%XArOxsMg!<=!f{I<*)jaBEU)S3vd z%{g&A87fw~y>uxmyp3IGhbN)>=YJxqwe9n=X3LuPMDu)~vNvb&7FTOS(y5I@q+W{R zny)V6a~n&6sd~DVso?7=L6k&+?KanMmv`CgY#x@c@mD2=)UpwAFq5`R9LwHyk2*&g zOANV47_!f--r^GoL?BOAvNRW?t5TrW{dkVFJ_|pU1aGEMSDL$;2Zynq(8)N0z5ZGI z?Hf#cXw>yX^A`1nBB+i{rh}6e1EAHlFp3TR!-s-wwbx4QS#Lg&hm*L!Is?3-)}Y+x zGC-2t1X7csD)bX*-HfKPpM-pK3SFVXj9aT8e#7@zJH@)miSbtJ^7CAxTS(jSq-{ZP z0)x!KfeE@5eI*rLubz3bGC6Z>o~rJ~yGZ!n?A<rRyierqjZwaYYCnPTA&UFADz{ON z<1=<5c|8sW1<e}7SCr;FKu<kI^*AICKREZkp!vj|A4^}!QA&2%xhZa?9_&z7d4>4F z$opAmq4U};%|+=w=(Mg3nO!Yqz#;buZCT<3y!@nstBY&sCLj?P^hC<3sk)93=iv>| zC6Y4ZW|s?O4!y?vwhBz>&Yr1#L<6QO*5dtQBXmhKEBPQ8%6k)$9?h#NKhaGSt6IJQ zLQ$!wgwQ=(YVc53&~jHb6h=qyN|IuswFwSTZ5~l0|L&vnb@WsYYj}J{B~O9z(KtBY zUya`Iv*ono7Fr-Q{CxzQ-$1EQmT*7q8@3nGlOW8a07*t(Jh%^m0l-j1=p&6G&kZvO z;({RSmZqdk2$CRXLuy3};oWJM@SIxN^7eMLphsJpR4rE^-W1s08wqIS{6PP48g~Y| zl*Bq=sOS{)(2XePv6=2kC3hgG(qI#)42Lv;63a|E)cdZyiWI(?krmK$i#G>P-#{-P zwZ9sB;+r^+LRRBY^3lJAx?k+JWhtxRF42!MfbvTq2~*7)GSBqA1%oLDYxx+*9#y$9 zN+xoq^xiR7Lv&!eEjN<BxM1u(Gfv>rO*W{*W4pr;y{j|jQ2#34Ee)8cu5Y#~Umqje zvDxEl52Nkfyg4fjPUKnFu38(I{IRmT_f#KVF^~-#xr^rTz!L3`n`>(}F>Kf$mCl2v z#}+vndtR<sI37_a#68P5n^%>4MMmL0sH$BlD<GA&PNEX;A-0&b4j`&t@^0y(_~D?I z5hLmt-RpKps|h^b$~YXYh3Hr~4_u4&Bf36N$PbMA{t5#Lm;G=z`RmHZ@*ux(E_5gz zvsFB-8pLq-Fc02uaJ*T&eN)_}bZK8veXZ^;w7UB(JcL3E)ltx|Q?znn^xLt>tLUA- zNh6y_<YXyt9lRs9Mpps8!bR7lY(2hNU;Z@dKR(sV#h+VK!XFPA!feg%$R$gmT3Qpr zSxWcch5bLhhPAK|VEbaxseD=3iGI^-^j;QrMoTBV|GQy`PSTMlXTb_NdBmon%rM{d zu7motlK10)dYBGvwKr8VjX2e^#AJD#6yxc>849Ks^~c!gCEtmcoIG#Vi9b;jv0NeR z>HDa{;cx?`SuN%oL)8ZrIn#(}HlvaS60}DyThFUn-O$b`wlimQA|;ZgOpyr)0?$&# zWC<UQicD~sq4`Wg7}hK8dKJli{UN2<oYq;Cg*V8B+xCG{OchnDwFwIFMJJVygEc*0 zs><+5z>-~D!Q!(~u^GsC#=uJl$Voq1TOYgTg8}=m_^tJuvd<_i``$!r$y6E)^{0yT zQQvC!l+>$+wik0bauLW<@kH3JLq-M3h=VgDWc$iSoybcu%gTSki;eY@lLefnnG)~~ zv*SC;(6Si!?yw6L6+)imsDv|CYL9W`mb(olmD1JS<QDmP#0`N0RaLu^6Hv{a?dcGU zHWubId0R<E@Ux&tz5!Q!kkwJw7=>Xg%JV6AVuzCWY4Us)*bM3^5xm=v=&Nx13>Hsy zm8P6AzSO8D-B!2uRp_c0TJ?LSFBHBnp~#u0P(l^Cn1{VHZF2KbFU|52*QzM(!|;Wu zF+sf>QPX;`_1UC17rdl!mekdJz0kp6M_~edN^8{<=N&EJGKB0Bkm!=FaG@!byo6Tf zFqAmyqGuaU%)-Jf>jnjr@eNUl#T87sE}<x=5~vv_d+;vN2N`f5@5$^#!3Hh&+O%|b zM%aYHkc8S!yhTD5KzZ?Cp2+4na8s`6qFj&a>W70qeUq;_Sv?MbjoNCNSVw&4Gv*e3 z?0jT)oc)k~1k*m#@_t)H#Wk_Z7y-V@m0dSmlN0C0EAsi*iq+)KboP3wSP}Anks@w? zJF;2ToU&bJM)F=;&`6Nfy36Qq_WN83hWT;t_Rj0OLg6BTv7|XA1VcFAxp72(QqJKG ziJo3()1}y)sJgf4-frw%gqlv_vbknrwRwdrA5*$@uf~F^Dd8kV+?`ogF@87mqLgiq z--%BZq3D3xG==p-^u1B#axR;IQoS==^fm2UmB^HgMRC2lGv#?Z0-uG&PMrrGqsJ6< z_u$88tiT2Lb4COf29zZDLJF;*u4PAg^#@ZYbNVB;Zq^=!(4)S0v~NXRcgi8UPnRjc zyFf6h0m@<+;smC~7)Q#-b8?z}7c(t=y(Bg4w-CqYDl*>nG`Q%aZ`LfF1?Gfex=Z4q zk_raWYVac3!i0kOF_EFo#9Pp8x-=DB;3vrQ0vR1oP{w-w-~C`oQ&{0R+oq@CsF9u3 z(1TO^0IVU%L_Z`mHpe{xR4T|>_h_52;HD9Qu)uf_6Jnay;^Dc+rPg%JiW!;N-<$xl zUUffA8tctQ5c>j7^0Qmod?R|)VmZo_PrWe27DTE@IXcEsItg7s=yy5Zd{!5?++uo) z2VaFz6%ZA}%w`KZ@Jp?mR?#v-<%T!*r(CL+w5>be*kdN+FSmA@b{=sH9zPK-Z&k8h zjqZ8#1cSa<zX{3iZfL(HE@-H~_*~w}{iZ(gv+XA1Iz=7#w4$@T{cCRPn6Ux>HCwtE zO=($Y#8WPM;pnQzs1tEozCKfP=L}F_4dbqmA6snDTW$i1D#90~w=pHY<7Os_wjlsu z9@f>iu--_+JePTB<~u{LXwf->EO3OS?w=BE$%UIa%w8@`CPU4X?R0G`AX!$iscu9) zt{Z<&s;>DQtyW_B>O0e~m1}lu=kNBS>9bzO@4R}9jwViaE{;YfPJc;honaQ#Zc?<6 zjYr?Ht|^hP0BP9R#yl#ALw$lRebB>mI2vcm&ChemRS=I@2)fY0?%Q);zLO8{1$1p# zDwh@d%bIqb-qp8#BlxPc@STR77)|)BEfBV~QkvchjXEvxBmXd{#;f#Iw28}7d`PBI zCw-7uZyB+kf;0pq7S!)7+AoH#m*>Uu1}OCZ<LxiQHvIoLY`>Vc|CjKq`}XeyvzPh& zuU_1Lq5f(#{X2CU>R+jU+D`uk{HwL{Kj1%pYN0>(OSAmPaQ|ks{1@=Aj=}$n_{hKg z#s2<};Q#dx{tNwA&)wg{UU+fh{S*Da4!nPX{%S$^JCq3ZH_$%}3I9m^r<3=;6W#HC zL;Uj`{vVP5v~T{uycYT+ll=zypI!7nGXH7g`}Z(&f5-fD8~l&Rf0A5(57UF@pTqo{ z0Q(p2uZ{8VTza~H<}&`-CjSNb>x%Vv<PO7ckbhghegOktN*e$`e)-5k0|0ri0RTpT z7`rgLs3Ef&la`31qlkv8o1u}MgNw5RE4!$Qkd?K$sG@?o2D7<^i@1V@9smCWwocXC literal 0 HcmV?d00001 diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v new file mode 100755 index 0000000..ced7afc --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.v @@ -0,0 +1,220 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:27:01 2024 +// Host : hogtest running 64-bit unknown +// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.v +// Design : design_1_clk_wiz_0_1 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* NotValidForBitStream *) +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix + (clk_out1, + reset, + locked, + clk_in1); + output clk_out1; + input reset; + output locked; + input clk_in1; + + (* IBUF_LOW_PWR *) wire clk_in1; + wire clk_out1; + wire locked; + wire reset; + + decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz inst + (.clk_in1(clk_in1), + .clk_out1(clk_out1), + .locked(locked), + .reset(reset)); +endmodule + +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz + (clk_out1, + reset, + locked, + clk_in1); + output clk_out1; + input reset; + output locked; + input clk_in1; + + wire clk_in1; + wire clk_in1_design_1_clk_wiz_0_1; + wire clk_out1; + wire clk_out1_design_1_clk_wiz_0_1; + wire clkfbout_buf_design_1_clk_wiz_0_1; + wire clkfbout_design_1_clk_wiz_0_1; + wire locked; + wire reset; + wire NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED; + wire NLW_plle2_adv_inst_DRDY_UNCONNECTED; + wire [15:0]NLW_plle2_adv_inst_DO_UNCONNECTED; + + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkf_buf + (.I(clkfbout_design_1_clk_wiz_0_1), + .O(clkfbout_buf_design_1_clk_wiz_0_1)); + (* BOX_TYPE = "PRIMITIVE" *) + (* CAPACITANCE = "DONT_CARE" *) + (* IBUF_DELAY_VALUE = "0" *) + (* IFD_DELAY_VALUE = "AUTO" *) + IBUF #( + .IOSTANDARD("DEFAULT")) + clkin1_ibufg + (.I(clk_in1), + .O(clk_in1_design_1_clk_wiz_0_1)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout1_buf + (.I(clk_out1_design_1_clk_wiz_0_1), + .O(clk_out1)); + (* BOX_TYPE = "PRIMITIVE" *) + PLLE2_ADV #( + .BANDWIDTH("OPTIMIZED"), + .CLKFBOUT_MULT(12), + .CLKFBOUT_PHASE(0.000000), + .CLKIN1_PERIOD(10.000000), + .CLKIN2_PERIOD(0.000000), + .CLKOUT0_DIVIDE(3), + .CLKOUT0_DUTY_CYCLE(0.500000), + .CLKOUT0_PHASE(0.000000), + .CLKOUT1_DIVIDE(1), + .CLKOUT1_DUTY_CYCLE(0.500000), + .CLKOUT1_PHASE(0.000000), + .CLKOUT2_DIVIDE(1), + .CLKOUT2_DUTY_CYCLE(0.500000), + .CLKOUT2_PHASE(0.000000), + .CLKOUT3_DIVIDE(1), + .CLKOUT3_DUTY_CYCLE(0.500000), + .CLKOUT3_PHASE(0.000000), + .CLKOUT4_DIVIDE(1), + .CLKOUT4_DUTY_CYCLE(0.500000), + .CLKOUT4_PHASE(0.000000), + .CLKOUT5_DIVIDE(1), + .CLKOUT5_DUTY_CYCLE(0.500000), + .CLKOUT5_PHASE(0.000000), + .COMPENSATION("ZHOLD"), + .DIVCLK_DIVIDE(1), + .IS_CLKINSEL_INVERTED(1'b0), + .IS_PWRDWN_INVERTED(1'b0), + .IS_RST_INVERTED(1'b0), + .REF_JITTER1(0.010000), + .REF_JITTER2(0.010000), + .STARTUP_WAIT("FALSE")) + plle2_adv_inst + (.CLKFBIN(clkfbout_buf_design_1_clk_wiz_0_1), + .CLKFBOUT(clkfbout_design_1_clk_wiz_0_1), + .CLKIN1(clk_in1_design_1_clk_wiz_0_1), + .CLKIN2(1'b0), + .CLKINSEL(1'b1), + .CLKOUT0(clk_out1_design_1_clk_wiz_0_1), + .CLKOUT1(NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED), + .CLKOUT2(NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED), + .CLKOUT3(NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED), + .CLKOUT4(NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED), + .CLKOUT5(NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED), + .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DCLK(1'b0), + .DEN(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DO(NLW_plle2_adv_inst_DO_UNCONNECTED[15:0]), + .DRDY(NLW_plle2_adv_inst_DRDY_UNCONNECTED), + .DWE(1'b0), + .LOCKED(locked), + .PWRDWN(1'b0), + .RST(reset)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl new file mode 100755 index 0000000..e1115bc --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_sim_netlist.vhdl @@ -0,0 +1,151 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:27:01 2024 +-- Host : hogtest running 64-bit unknown +-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.vhdl +-- Design : design_1_clk_wiz_0_1 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is + port ( + clk_out1 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz; + +architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is + signal clk_in1_design_1_clk_wiz_0_1 : STD_LOGIC; + signal clk_out1_design_1_clk_wiz_0_1 : STD_LOGIC; + signal clkfbout_buf_design_1_clk_wiz_0_1 : STD_LOGIC; + signal clkfbout_design_1_clk_wiz_0_1 : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); + attribute BOX_TYPE : string; + attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; + attribute CAPACITANCE : string; + attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; + attribute IBUF_DELAY_VALUE : string; + attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; + attribute IFD_DELAY_VALUE : string; + attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; + attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of plle2_adv_inst : label is "PRIMITIVE"; +begin +clkf_buf: unisim.vcomponents.BUFG + port map ( + I => clkfbout_design_1_clk_wiz_0_1, + O => clkfbout_buf_design_1_clk_wiz_0_1 + ); +clkin1_ibufg: unisim.vcomponents.IBUF + generic map( + IOSTANDARD => "DEFAULT" + ) + port map ( + I => clk_in1, + O => clk_in1_design_1_clk_wiz_0_1 + ); +clkout1_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out1_design_1_clk_wiz_0_1, + O => clk_out1 + ); +plle2_adv_inst: unisim.vcomponents.PLLE2_ADV + generic map( + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT => 12, + CLKFBOUT_PHASE => 0.000000, + CLKIN1_PERIOD => 10.000000, + CLKIN2_PERIOD => 0.000000, + CLKOUT0_DIVIDE => 3, + CLKOUT0_DUTY_CYCLE => 0.500000, + CLKOUT0_PHASE => 0.000000, + CLKOUT1_DIVIDE => 1, + CLKOUT1_DUTY_CYCLE => 0.500000, + CLKOUT1_PHASE => 0.000000, + CLKOUT2_DIVIDE => 1, + CLKOUT2_DUTY_CYCLE => 0.500000, + CLKOUT2_PHASE => 0.000000, + CLKOUT3_DIVIDE => 1, + CLKOUT3_DUTY_CYCLE => 0.500000, + CLKOUT3_PHASE => 0.000000, + CLKOUT4_DIVIDE => 1, + CLKOUT4_DUTY_CYCLE => 0.500000, + CLKOUT4_PHASE => 0.000000, + CLKOUT5_DIVIDE => 1, + CLKOUT5_DUTY_CYCLE => 0.500000, + CLKOUT5_PHASE => 0.000000, + COMPENSATION => "ZHOLD", + DIVCLK_DIVIDE => 1, + IS_CLKINSEL_INVERTED => '0', + IS_PWRDWN_INVERTED => '0', + IS_RST_INVERTED => '0', + REF_JITTER1 => 0.010000, + REF_JITTER2 => 0.010000, + STARTUP_WAIT => "FALSE" + ) + port map ( + CLKFBIN => clkfbout_buf_design_1_clk_wiz_0_1, + CLKFBOUT => clkfbout_design_1_clk_wiz_0_1, + CLKIN1 => clk_in1_design_1_clk_wiz_0_1, + CLKIN2 => '0', + CLKINSEL => '1', + CLKOUT0 => clk_out1_design_1_clk_wiz_0_1, + CLKOUT1 => NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED, + CLKOUT2 => NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED, + CLKOUT3 => NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED, + CLKOUT4 => NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED, + CLKOUT5 => NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED, + DADDR(6 downto 0) => B"0000000", + DCLK => '0', + DEN => '0', + DI(15 downto 0) => B"0000000000000000", + DO(15 downto 0) => NLW_plle2_adv_inst_DO_UNCONNECTED(15 downto 0), + DRDY => NLW_plle2_adv_inst_DRDY_UNCONNECTED, + DWE => '0', + LOCKED => locked, + PWRDWN => '0', + RST => reset + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is + port ( + clk_out1 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; + +architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is +begin +inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz + port map ( + clk_in1 => clk_in1, + clk_out1 => clk_out1, + locked => locked, + reset => reset + ); +end STRUCTURE; diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v new file mode 100755 index 0000000..fd8f6f2 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.v @@ -0,0 +1,24 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:27:01 2024 +// Host : hogtest running 64-bit unknown +// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.v +// Design : design_1_clk_wiz_0_1 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk_out1, reset, locked, clk_in1) +/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */ +/* synthesis syn_force_seq_prim="clk_out1" */; + output clk_out1 /* synthesis syn_isclock = 1 */; + input reset; + output locked; + input clk_in1; +endmodule diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl new file mode 100755 index 0000000..a2a8207 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/9/a9ad1272a4ff99ca/design_1_clk_wiz_0_1_stub.vhdl @@ -0,0 +1,32 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:27:01 2024 +-- Host : hogtest running 64-bit unknown +-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.vhdl +-- Design : design_1_clk_wiz_0_1 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is + Port ( + clk_out1 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; + +architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "clk_out1,reset,locked,clk_in1"; +begin +end; diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci new file mode 100644 index 0000000..d1bf710 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/adff1593d44ebeb2.xci @@ -0,0 +1,295 @@ +<?xml version="1.0" encoding="UTF-8"?> +<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"> + <spirit:vendor>xilinx.com</spirit:vendor> + <spirit:library>ipcache</spirit:library> + <spirit:name>adff1593d44ebeb2</spirit:name> + <spirit:version>0</spirit:version> + <spirit:componentInstances> + <spirit:componentInstance> + <spirit:instanceName>design_1_clk_wiz_0_1</spirit:instanceName> + <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="clk_wiz" spirit:version="6.0"/> + <spirit:configurableElementValues> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_IN1.FREQ_HZ">100000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLOCK_CLK_OUT1.FREQ_HZ">400000000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AUTO_PRIMITIVE">MMCM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_DRP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CALC_DONE">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCDONE_PORT">cddcdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CDDCREQ_PORT">cddcreq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_N_PORT">clkfb_in_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_PORT">clkfb_in</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_P_PORT">clkfb_in_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_IN_SIGNALING">SINGLE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_N_PORT">clkfb_out_n</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_PORT">clkfb_out</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_OUT_P_PORT">clkfb_out_p</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKFB_STOPPED_PORT">clkfb_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN1_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_JITTER_PS">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKIN2_UI_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_JITTER">90.074</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_PHASE_ERROR">87.180</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_OUT_FREQ">400.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT1_USED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT2_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT3_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT4_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT5_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT6_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_DRIVES">BUFG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_JITTER">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_MATCHED_ROUTING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_PHASE_ERROR">0.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_DUTY_CYCLE">50.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_OUT_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_REQUESTED_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_SEQUENCE_NUMBER">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUT7_USED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLKOUTPHY_REQUESTED_FREQ">600.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_IN_SEL_PORT">clk_in_sel</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_PORT">clk_out1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT1_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_PORT">clk_out2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT2_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_PORT">clk_out3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT3_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_PORT">clk_out4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT4_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_PORT">clk_out5</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT5_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_PORT">clk_out6</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT6_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_PORT">clk_out7</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_OUT7_USE_FINE_PS_GUI">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLK_VALID_PORT">CLK_VALID</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CLOCK_MGR_TYPE">auto</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">design_1_clk_wiz_0_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DADDR_PORT">daddr</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DCLK_PORT">dclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DEN_PORT">den</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN1_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIFF_CLK_IN2_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DIN_PORT">din</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DOUT_PORT">dout</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DRDY_PORT">drdy</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.DWE_PORT">dwe</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CDDC">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLKOUTPHY">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_CLOCK_MONITOR">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK0">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK1">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK2">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ENABLE_USER_CLOCK3">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL0">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_PLL1">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FEEDBACK_SOURCE">FDBK_AUTO</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_CLK_STOPPED_PORT">input_clk_stopped</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INPUT_MODE">frequency</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.INTERFACE_SELECTION">Enable_AXI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_FREQ_UNITS">Units_MHz</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.IN_JITTER_UNITS">Units_UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_OPTIONS">UI</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.JITTER_SEL">No_Jitter</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LOCKED_PORT">locked</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_MULT_F">12</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKFBOUT_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN1_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKIN2_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DIVIDE_F">3</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT0_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT1_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT2_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT3_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_CASCADE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT4_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT5_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLKOUT6_USE_FINE_PS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_CLOCK_HOLD">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_COMPENSATION">ZHOLD</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER1">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_REF_JITTER2">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MMCM_STARTUP_WAIT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.NUM_OUT_CLKS">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OPTIMIZE_CLOCKING_STRUCTURE_EN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_MMCM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OVERRIDE_PLL">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASESHIFT_MODE">WAVEFORM</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PHASE_DUTY_CONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLATFORM">UNKNOWN</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_BANDWIDTH">OPTIMIZED</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_MULT">4</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKFBOUT_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKIN_PERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT0_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT1_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT2_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT3_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT4_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_DUTY_CYCLE">0.500</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLKOUT5_PHASE">0.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_CLK_FEEDBACK">CLKFBOUT</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_COMPENSATION">SYSTEM_SYNCHRONOUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_DIVCLK_DIVIDE">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_NOTES">None</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PLL_REF_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.POWER_DOWN_PORT">power_down</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRECISION">1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMARY_PORT">clk_in1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMITIVE">PLL</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIMTYPE_SEL">mmcm_adv</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSCLK_PORT">psclk</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSDONE_PORT">psdone</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSEN_PORT">psen</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PSINCDEC_PORT">psincdec</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.REF_CLK_FREQ">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RELATIVE_INCLK">REL_PRIMARY</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_BOARD_INTERFACE">Custom</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_PORT">reset</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RESET_TYPE">ACTIVE_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_FREQ">100.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_JITTER">0.010</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_IN_TIMEPERIOD">10.000</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_PORT">clk_in2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SECONDARY_SOURCE">Single_ended_clock_capable_pin</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MODE">CENTER_HIGH</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_FREQ">250</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SS_MOD_TIME">0.004</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.STATUS_PORT">STATUS</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SUMMARY_STRINGS">empty</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ0">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ1">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ2">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USER_CLK_FREQ3">100.0</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_BOARD_FLOW">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLKFB_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLK_VALID">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_CLOCK_SEQUENCING">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_PHASE_SHIFT">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_DYN_RECONFIG">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREEZE">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_FREQ_SYNTH">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_STOPPED">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_INCLK_SWITCHOVER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_LOCKED">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MAX_I_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_O_JITTER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_MIN_POWER">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_PHASE_ALIGNMENT">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_POWER_DOWN">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_RESET">true</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SAFE_CLOCK_STARTUP">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_SPREAD_SPECTRUM">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.USE_STATUS">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z010i</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">clg225</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-1L</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.STATIC_POWER"/> + <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE">I</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEELABORATESCRC">e6a05ff8</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHEID">adff1593d44ebeb2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESPECIALDATA">design_1_clk_wiz_0_1</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCL">$Change: 5145035 $</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHCRC">401ad827</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCACHESYNTHRUNTIME">31</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Unknown</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2024.1.2</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue> + </spirit:configurableElementValues> + </spirit:componentInstance> + </spirit:componentInstances> +</spirit:design> diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1.dcp new file mode 100644 index 0000000000000000000000000000000000000000..5823dce89f7fb9ee7088d59c058ae60bb23d66cf GIT binary patch literal 12828 zcmaKyV{m2L)`oX%8y(xWZQJbFwr$(CjgD=1&@nnrI_e~ye7X15J>NOEPM@`F)?Pn$ zJvH}OV~+98xn2cn5KvSA000T_4D{B#whDXZ1O@<NzySaV02IK)*q+Ya##&Vc3;==^ zDPcC8BJGsLfEu!TUpH58i9slUJ9@u1b1fwl7AC$kq-$XkZ_KJ;1j<qTrI#a>{N7pW ztBcoc2fq5T)Zks~*Fyt&5lPN0%Fas4wjcdV-xBXic9K<hZi=x&fq^I5(<w8V@Vzs& zq-Wg}+F@xh0uisqWI9&F2X9WaI(Sapq_@Sd$SOwU`zkGRfh<6>15C7o(oq3AAU+%& z*s{@#klCP^nT$L}=nRgju0&XI&T-7}4-mS`svzEYaP%w9&qUK2DhpqW+1KWpD|Pr0 zMh9VZ;YICLVUUxOE{gomannd;<3{FmNgr*)@pLh>dReT*r}s2WzW7iT&9abJ7t*LI z_hvCHbf=ihGY^o9S}l@Y6pyY1W0a98EtrKmCm+$Dq`Zk>Co&5SXpIWR!`X06ot(Iu zN33!(o<({ksM@hz449-Hh`ONJSkF)`WRs;z`78JPG?M@H*rF!N9mw255d*E2aHZqt zh{9FJp>sX%s74RC(wqz4HtnG$*k~}lvk@ckpoZ6WE;tr{q>xKZU2qv{tXw_q?Q!Rk zK6wFUS0B;nn*XBr3(Cj-wRgxS^)ZM}#vHt=@5-c=dxX2iNAf+c!_oR6WGr@|xTihG zWJ1BS8`d(Z<k$60P=|e2xn;*N*mnr+keuSs`@!~Dw^b;juci3oFg9zFmiVnFVS%w< zC6Tc%A)e9237;Y0T3GJI$GyNG4xEqg*V*Y$M&^-6Y&RoNiVs>OB!8-(+}-YrGvmYh z0xA3@&7OKi;wXUtfTxdv^RF~Bb+RzC)o0W<wzkrDv+&es__%j+b}^!J{Uh~!;^d`4 z7!ilBUMRmQPOe{|BbB&Qczze85FOfJB3rziW6?~set-Hd+X{9zES)~py`9Cb8iKK! z(`~&T{`~!B*On_o?uJtAykTgdlJRK7AO#GF8vgvTdQ{W}?lW1?WBM7d<}kKhVfP^Q zm8iqhECqK@8**P4U2eToCwp|z5PHrJq|6jTdByButpy21d=7$aYimvt1}?K(m<Fo{ z0&grOZSM}^l^Np(+yy!~WdmnaBH{$U=wY(#>wdlH4TAP>)O>Z)@WuB6uzt`4S=xz` zOc*!q?If#|iz93<MN_SuV{XhAr&&5(d@H2hTs)}^ayFW2V;~Lp7uA5o{ImVJ2`!bP zDq`hj35AS7X3OZx=<w;G9gjnMw)Y(U)G6rJ=j_I({|R-m%0(2>N0<pdRyE!~huYla z{};MXT)*WY1ER>)b0lx8LKa8x+z5G6u#vrmq}Pe8#O;bEQ8B@|#b#aIfv~;Qi3P^D zsdMYp{&hSE@hv`qo4fX@qo$v@e3D6=`~o&A@F^?x(!dDZcPDadM>JX3N#s}<3N7S@ zsIAvR6Pjx~5vb4afh>~bn}B=QE?Uhiht1o^I5<1Kyfaq%$U~yK%u*9r*?b0ps9df= znA*DlzeR++8{jc!fA%xrO~Fk7a<dPG0hmJ{X+3U56qt~R$s4lGG9jP+VhYoE@mK|Y z;Y)+ASCf{=Km{+DN`V_B-yQP#s;rHtaPW@A10fZ}U<vwZUkUGM(SlaYKr+fW*pDLf zpNuP7!yvIT?5esD!yCq7Xgc>q2>tgOOc9?l?&QY*H>0iEy;o^J!c6foej8?cLq|iK zztmG83ZT_D4QqEbMh;OSf`46%rl0@w&B%a*h15K8`hCdv`!K4%_YKM=77c1y+;@J6 zON1tje9LKX;G|wh$`By8DTIX+;vkYWb<1oiN|(xEE0)$XtuHN%qRaO;WF?$oLOky+ zSx~-Mh-*#u`4{sfpB)~2k8ZU%TZ7!fI^_%NIL=DRl{wBsUvmK4IHNc<t~`~ug!bD? zd4t}2GW<~j78F*Q$$-i<XO(y=j-T0p_)3y<WQnRLu&O=Dw({hk&0O92<6lfolnky+ z^##0UHG{!fS%#p;f`?cT^>Py}<lK=|?^?sNTp94pFlQWtr?_lZ+1PfMPl+{eYmI{S zX6A8AaJTv4bIm!dbQfgz`O^?1`81lzP}c-_NUiQ0wBovAI1>>5T0>G}`*{{V8dv>C z#EE~G*cP_>#&)*OrtZ#k?k2{6)RK}}S?B=<M6jKnvUHf@Vkrl^3I!UmSIzKb!G#8N z=^{z0@ViSfZ9!4>+QhzYdb{G%8q(yFL<j@%g^-E9W4vQrHcm|*DjPT*Q;)vF+pK2t z4G}ps3%BuH%_2+M@2o>qA!>HB#c+ZU#eEc$;}Lv!hI7sGEH6f8yX$Y0;NKYRN9SNT z?0h5R<SJVqm5%rdQ_k$@`{Y~%(TxI%mfdlu9XfJF8PFC_rY*~IdwP)#i3Y~ErMmmv zLxqAd#Uz0ze^wHcq?8aZT^`5cd#`u>&!R`umL7(H003y<008XY#D>nv+|b_ikC51? zZzbV~BdwlX7Oz;6#&}){o9z(*1A)XkCC-{**^gPaa6w6HnYx*7V~siTP4Xq|?``Vx zO_c=%r^k_C3ib7Yr0j*n6_y7AR}y&KY73#!zru6_$y0t&0OMuuN@P2zl-u~C|9I#8 zcsDh}mmp2@_KS<JnQ{Ho1+VfYTppblxAto2;mFGFN=Z%7?oH$UQ_I;)Q5ARC;i;5q zi}mGYDk%@e6#_!&i#!~C9tT&F1j%LD`w)oJoib+?3}*sI6F&6OO*XO?4Vc^e{rc89 z@AdXcoMD0C^XEDHjpGYFg|=0v_`YZ>*Px4>nt42k&}V^xnxKoC$w6Ea#>MMrDP4c8 zsn#6NIah4g(aotr8cSqp4gU^>i?6nW576-g)kP-QO6&wNA&hGBAOM&Go?RxCu4@Z_ zF;~m=F?hl#57ztOg^0*0t{Kk_Dj|5MgcK9lF?sKhHzervIA!qb3i}lJ0*TLx=7b?4 zlA{T#NU&2O$wsk#Jak&6W*Kl@NU2uUTIoun1(RVwQK;tI#Yp#pO}jNOHE^F&5lPxE zQ78!KnC-)N>Z3i&EqIv_hme+pHMLN8byXr6Ja5-r9qkc54<$;f1Q!(}2*<;Qd5xPz zyR)AzqI*yjvB`bW^M4G-gKV-t^=OY<Qoimn934Y~yh52&MWAcVmJ`-W+40R*Uw3Wd zEMeIBl_XbC(N$}Tu>(@MFBENWy@^C<+6OU+UHgJ@QEB}1^Qb?9p&_uTjpMbUB9!6U zqulJE!$A@Uj%r!&SN%YUxp0@nJ&avYqp9|<B%WA!Lm_Qh($mP*`HbT<;ZI=Y28=V( zkE)LNPKXK?h;mA`yi&@pVTWBgq8g&s@pN(+JIU2b><XR0)sp8S>4O$p2NGAX-terF z6d*)Q_Tb0im@H{K#O9Fw2%V+J8@4CLNPLmy?sdkwZNi<9_(ieMS#F{%pOI$d(j$%V z25@;n7<JascK01hjrs<ShP48gnY?(rQ0BO>4CEfnFe!w5y0J#bHJCE;zdiKGZ8UPS zD8%`jPk7WP#6{R^;AJkgUwrex3f2$4cPDBd*S--6w}`4|FGKk$l3gG&6CuJ@hN2k? zPbcGKYz42oq#ZN<Fd>Y<YPWdC#mmTq0B=b<YaOpN(CZJ!92h5}aMuD<o8F1j?z(uI zokRxi&M22d+{?jMjsspAEguH-iL>wvCEcePYJxzTKCshb1}!Q<AT|bRrDOqgHpVU2 zz!={sJ#-93r>rCme##w^6?svGq5S?bM$y=Vf_wAs+i4=un+cR3Sc=C-&WCM#u&QrL zuNP*I*jQ^L9W#A)Y|QqWQayBGgr`c-Jli(f$xCQcTBT<bV3v<e_Grg!xU1_^eeg3b z5IECX;9^o-IRUd&-(|4z2C-%@Objs=)yxQ7VZvr5kN42DuQ*EcLV2?bFsk9>h!M3l zH_F|)bZ|f_-%yas6F>6<SAoJ4DG=LJ^p96MUmsw`2bB>K;PQL|+TF>3T;hSNHI@pj zh0jCqxUCt)AkolHiT%O>e()rXRK9RcKe=mFwMF9%wkTD7xC@?y5iQjsw*TXLnNp(a zjTNk_Oyrx#(=#f`MH6&xWq7gUHEz*QTvj%SZ3T&SNB3MqHJhDUi;g>^u5pn(Di(z8 z;;J}Hu;mq!&%4^N%9hN8-dVDqm87vH#Mht1j@{ZHb-0kv`|ReOdQ<~3FmnRuyUO=9 zj)%0jD(DBIV52NEDWCP+BX*fG+ANOV@lyh>n6h0WC<bUd{g){Z39K>UdPnVR<=TRB zfws-k*?XpQ57*C5#Zm*(EX=npH$0vKsL*S~rn~B4>lm`#o_*&o=cD<iMQqfeGG*cU zi<K%=>#A8d*MVQd3E4MX=dM7v`ZmqIRCdAIc@2BQ53h}}WpV?rM;ZbAhI_JD^zrcg zrs;ThGxymrJth&P0dz%edcP*OXe7yZM(nP5@{a5nz8%yt*j|f!MAhALN54nhpuMS& z=;rQyF?fY`lZrsy?7r{B<N%4^iBKxc4i6>)C{JaJJsOeug-oR<3X?%WK@)-by&qaJ z1)^@c?;qxsDcire9cTvOy#G9|I~qe;+%fZenXXgLPD!M6%%PbkiDSg>Ebeh4CgIFF zX2L-lYB+$dBE^$yMII{KS>|Ti&PcxH&rr8K6k&`Nz=e?-fmaFk>#i`8AE+))uiZ@j zY+<CP^2X59^P<79UX_eBoEXT>ZV?}T@Mw29W0`WjIJmz4&0}L#%;oUY7dG@nm7bzK zD<UwvZ*TkBoLCciS8sw6Dk*#BS3B2&nMtLvVrjYEjw!RL6P@7SPV7(gzpx!JH)#cu zM<`-oc1@TuWwuLY^Nec>PF}{+X%24bT1*OLp=rlAz5h=i%|ySn`~(F6V15jY-}O;< z+drBp5GK^|!=L3GBfBxvzvUb!0|Nrco)Wr~WK=I9y?`*3#IR-ZNpVE&ZO0m>ox}mq zBT8EH9de4v8zK_>x~`7_85QC19><{0z??ZXP6xydKoQxRox-kwU^EUG=ziQh66iiU zer_Vrh0&<0u5+-3Uva1aH5c4w295-WJ~|0?VT3B7)}xl39@2ip3kPEW#qFR8tP4V+ z1dwL1DIqH+QddvsBN#fVZb=|tjY)Z@S3GAXi9SoqX>*7LE>BH?wgqe*EbYhXuh#ZF z!H`G>CIH^Czf3vJxglZb8eq#kq@C*UQz40(j$w$85DNTCW}T(Ic6uHUX~uFpE$v%p z6_YldqEoBMQIQBve6Ui5UJzbv))Uf?KKF>qOX4nm1nN58kEf;7Jwi3M>&%-(TF=mp zqMk&Jh8K!z3hHgj3MSJ|A261NyK}!B?CXa*B%d3Ki!Vp~IAlhAQx&F1Y10HV&T2<} z(S2aKP9I+B6k3%|jy=T_$zq372KAXup^oS%OX<fcC#jFdP}uBJ8);Sjjm97iek*zR z$QNB=w=*|$(JMx_HwOTanC4e@4_69xMNt|E{hR5BhBHaI#zo`nANff3s%%^)H#@S} zd9rBJKbo9~m`<2w9BnK};|R9w@Q+JpBC9)Y2A2_o#+<s5F28}m=@%vO77wSCKqnIW zUPiGEajp2u@aC(QeYtu&>vSK7AYCe>sT8S!L8oh;`vSbnt+7#ZU4^dKx9=L@z0+n| znLSl7qbg!FqmKlNdYK@Mz*$j-nW(4>%^02L>*l{0Af=0vPXvB#ZE5>Wt+CUUsgNQ0 zz43a#jf?puvj$3?FFC`cr~}v-KhI6aaN;D~r-A2z1-%b6OX*-t8x9E4&+L&Wej)pz zE5JDjL)Cj1xLi9R?qck-!`v`MXk<Rm#dc1LZq(syvs*6hVQr$hT}ncg_Ffs<1?Iad zf$hYC>#u>E`;ohRV+d{yY`6Z+jF)>gioiXHgBM+(D0++w+h1L`6IVXqUArW;QDEI1 z#(NA!v28|09w`sd3vGt=DcLIAc78uQ@4wejLqk_O?^uv|5K7I%RP{Tw@Dp1mmB!6e zdlJ?d7E=EN7WZc4&1$Um=d3fFrZmXi-UIUC0x;X^8ti2h)7&E>7<ka+(^dW`jUMI9 zRrI`|gep@`kcR8a`BE=UV(?Qz-OP+fxOzm))*-Eb4)p!zrZgqDl;%f!a5OTp$1Vs@ zJVoD8N#U$bIF8ke^M2za2-AeIf0KXVVT%3a2+>5$8Gra~GQL|DalwRhf(~ZIx^T&a znhb<j;Cp?FE|K$t3*zqZ$@dL<T@gF5ao5-TJuQhhUzQyNqrr7OfuSGCd4n6O7fO*^ zr6Y0*uN$2ymYntaWd~HscFn;L3lO93NRJzc&T|<IZLciMBfqrWh)|hb&*hSo3+3RL zyr&Bwj<C9jW(w64cmu_Tuj&0z+C~}OAIhmAyS-Dvl5F-0Cm4IK2+Ssid-%?&a!2+? z1YOgyEh_P|<RgA?FNm4K>9YoBHg(qT7SUtmtDnEV-mGMYfhiE&p^kDzlAlU0+^UAP z`a~>4lvoOx)w8J|EDPXKQr2+<RDDJu&915kt2Auhj0vorw1P@6^5O%W?J2D+U>B+3 zKS{o<6qhoMMY5LHBq4g6G8Q{ojic4d*sDME|J)5J3%}2IZydQ6ha00&%{<{lS=JQK z@6v>@BZ3cK^hK8%RR(dnV!R(=8|PDDi#}M_I81TUfrsD2e62y8?5wLexD8a_7-qyH z>3utPhUGt~#c}V~q=*wJBEkd2rGW&!S9aJLVi(uCeV(0?|3>2E%SC57;MR;-XO%yS z7dhx^BvwA+UBuA5lQpnQb;Fxpiba40TC1!6s}%+F^W9)>xzKZ7qBqw<ve${R0|}<y z{;uJP%Rm_b#&}ly!3l;D4TF)U`JVA|78#yZ%6(6v>Cn*$CJMxzNv~`Y?OwX1EERFL z8U(@C?`zhUA2@arb%#Zc0+oe;w34$JOg<a!wN{k<(+XQa<?-`4lV2{EkR^D*in%{u zFq!2N_wFglg}!qWan{;hxXC3K>vfK%<dC$;d!S<_sPj1&0zLrbRfjC1yRWZ98~>ZQ zvA-k<Y2OMm&iPl4Rfv=`IDFUJ#fgnK2NUe~j4m}gfG1eso&`iw>!Azqup|-%qj)%@ z-de!SuYEC7&rgZ|6^x>%Mx1342y)>Grlccn6mynFRdCPbO<M48Gy^!CN_HT9pzSFS zF;+_gY4zyRiNe2b#@9d_bq%RwPGeI~)^4ZDuc8O;A^abjm)2D9#)TdFiK^T>F0p^) z2|Y>)KGnCOAQ{*v*!Ww>+HKPn`hf5I3Z%PvrYvF!de8;nujJ#FBtLfq!U!ze#p!(| z@{)t=j8*I^e3I?gj;E8z>JOdL!(Jykk;hILg8>ehi>o{dVeC7_mz{osMf%ClQIEcu zUJO(vOgR(J-b9yw6|XiLlVF~?_7m1oW~6R1DBqw&Pf%e;aQrCf30uVE5V9w=-C&|x z&Hcx9QiQH+dJ)WM(EuUYn@AqmM#=ZsQ}@qZNP*4c16h#sI*0-31VuRCzPqhk_^77> z;Ibp{VofFjn3{ZB6{@nXthq|5lUbw=(W<*-inRsjFN=XmkQBF^Aob!-&Sz>U0}?C~ zfSJjNw*$sYFANM3UMSuIFa$sn08iMk&OZ+%Ul{Xqanm0QEJMF*7ysB}IlYc!9B8rC z(%BOx@5;Ir%;h|6nF<@<zm%oT`|kTGmbaN|DZZ7XGGsF?rT8s+ES};5@9Ov~`^2;Z ziXULapH>*yV5uvk3~^3DtjAN%9TW+I5@UogGINkT8a996%hrxqnf1!|Eb*6_mKn7P z6+8hH`DsMPwg9b1E1OId`Z4lQ?km-W2Y%HQE$SB=oeaL_q3l>GWBWiVdL=&TYs6`q zF42s`a4qvF+FH0^P2|P+8$wc*D${ts^enq>lJ5cW?aG+kxbkcuU~tGp*i$}01gEjZ ztn+l}1<=73UkxD6I$+ddq%R7!T492A%*m{1Qx9cNFKJTq!ccIwJR>~+dwc`<_nU^g z&8uoPNC4np1M_#%%GBiZAKHqdh5nbpHI1ndU_urXfu=8fh9~{t99-|tNo5x4N>+Y2 z4Q>=D#xx9mqb-=8n`a(IE1qzplmbx{0(b|o3pfL~@BfP3+(OxRyX@UvF6`MJ;qP2b z_aa55<A&PPrQw?rTEF3)9^VK4LIwmvhmM@VxYd$Ru|6a|J-%$TSw~=H(=fW7*{$iF z&JHui`gF=GMyH99<1W(1AI*2|$bba#)-M3zqK3-^<<0=gQp~+Me4O1>0TJ6|ckM_L zZ})1L&I`suItEJNNa3e@tVe*rT{Es_!r?9WEX-x%NZG?EnG|K%)`4&}^5t<kcMOHt z%*`tr8Cv0dK;CTjn6U5awIp8WcHE)2=q=VuNNb#~9S;V`Q%$S7W8W{gV_O_3^+BN~ zKqhh5z#1I*4i%c=$20Hdg~R3(`;1=j)gz)F_+wn1E?eoSvEwgnE5o}+@cK!AzgAyH zD+ed0;F;WLJ%$MzcqgYh8VD}JGPOZf*$@ceu{O?%$vv`YBWEo*Raf3A8!Eg7GzDNu ze_^6AvV*U82;8q+xAJ8!7@XMAl2XfwtU9VKCW(@Rs=8#R<Y%4D5u!qjQp^)WMDvxW zO0-%nCg09pMCER3nlL-QteW20c@sZQ%vWix;nBC_cOBc66=sEKqWax5k&8JXdeh(f z+)TeN#X)g$+{TdwabkwtD)`e#P?nI8iw#ydQ;kQ=+qe5+%5Lvxp^4P`L&8e<101O@ zkj!R*`w+oR9PKe!E0P`SGfQk$4#_A<C9+hhX${C%)H<de(?P0iSHOxM8aXECL#sOH zJWOFB>Z8>n2We^&IdNmfiuw&0N-YwVCM?Hs)$bL)hFKbFB<Y<rCrzDvwosGWp#Zl@ zyl+%FZ-$?PuF)D%Aa_Z}H09PeD}xZ6C!~~7>IZUQAQMxgUCLgX6q2pxWVbX@Ib<4l zRK$1@&|PW9QT-Ze+B8ruMJ-iCB^w&lp|<z&ED^&7KpN?q7ofMAp+toUL0Aaj;686A zvbaF&4Xxxzl+ni9tOtH<^M(T*vRoRzs!EE)U)`{0PVQt>-)^hS-rt+~N>dbrzw6rc z#|CvF1`H74lo(Nio)sD-M|smndKk;URr|`LlY0~E_dX9xjP*mVmWU!+2bstbp%0uf zZ2N%=Ms9}B@P&WBwz35`yK*77>-OO#?&Wr3Cw}u@6>}zDGbPdrm`2}b2xP@LKablI zYvy+(a@`-*gl!rH2sS3#@Nl6MW*IDLSxr_RpM2uH#GArG=@51$C5RshG?7RoAw^}` z$c`R2Sy-s-G*_Al*eow#WFjUeCL)rAPIT@E1;rXAFi~SiHs}|K9^^nBBnU<niY6RF zG=U@xMhpy(POot;#W0k^Ei7-;aH_viYS@!%A4&QyVWlo48zrR*rWK-7C<Sibf<%%J z5DLb4?J|=rMTSX6mH?o7U6F<#oU>t4TTlQYh7lry_{=!;_j5@8oJ?IVTXB(_CCB1G zj5Nk9o2nQ9(C}4Q3}%??Ur9=Y5zurps<bn!fXe%ll%IC14Myz*2qM&Ey_t@}!S2lo zIVz|o!1Rnk(k34rhSHZ2h=oZZ<P08$goPl4b))5o6BnSR_>9R}neI}hI2np-3VZhB zrWh+iQ1pxI9-7-zNKWydd`%c-UDa+DPs;8S<oz7s?;zFxqfZc{M>6<J(@6m6SL>w4 zpT%9wyP29TVH?w6#j`ZnFfz3ittxdsjjlslFcu)5XgE<W!B4{q9&@7Hj}FxGq@Q>L zLr_;bi!|$CMYJcifkDHv_mGTlJz)z#mDLI16$fP&$zcdFM~14Vzq=C6SBEV&Hg2be z2Wvgm^NW@ZC*_bnP`%m;WQMY$p1M)kCx0S!a|?N+{3YgW%Rtdo>j#EAA;6)mV*I8e z5|MkoN1laFt=3FmaeH<aK+Fm~R#y(gmbvHol1rBaX=u>|=b>AFcte;OXUBwg_Drp| zF{QWH%u69<j}Vn3oo-Py?dHCx(QZ%<K}_k1D$EwCQ|_c2X71JNwg$SxfhtlU%o1)6 z47NY(fYZ}}#Xiq111&)KDscUTU8*qVMD>{<p2uJ&%4U3`dyP(AgaP~KHv`^{$(UaW zk07`8I<j6QPiRrL=XcgZt<-oqv2yt<snL?JwX+*xSCAM&^*<gA=<{#tH#2Xcu3Jhr zH-b-h(+ve~Fix~O>GGta;H@8GGq-+<IcaiKozOHj#T_-;tIca3t(7G+Az%mh5#ed< zF-j$n-X2sL<EnNiIrtvG@TKh&LvezBOUUhMER3d)bY|~Rzt)m7BBNb>p`F14{r;p) z{t~Yr3yuj6wEp90y3eAhve@lmP!(YhZE64I^5A7p*0(nh)88?Defq3OOBza+slW=> z<fv`Zn!|$%gWmw*tKn;Oc=X_*(lxq1`>~E-L`<=q4sPG!QooQck~Oi)oIB>drseBc z<<VVCAG*=2oV=`=_wfo@Wz%W1ycSmk%mhI>$}VFi^e$LzH4wLgknZ8zF112b!_dJV z*an8m6-v5{6Hrs;{Q9P6MBAu{xrH0Yj2PCJ{LKP3SlF7-&x#P-U%0SPuzqkkA!%Ye zxvN?p23W_Zj~5W)$G!)*7Xs(h3bc5TH5^emMn8Irk?>6KYAYE{1tS|r!`{wwOzOl+ zD`%KfU&hq>djf>E5nfV?*y#Fq0-#WFA;SxC8mV8^0Vsn@+{K9guk&6HYJ7r8%|!VU znQ+*7bpy{xeFb$D+2$nd;FV#**eca%w88JxG%EG8?Ujb?w?x&7<L)+9;7&=0nEhbO zCe3+~!#pn2icxz)xz8pU$`rGasNvQs{}m<wu|Ft|vKA?Syi<z(7+Aj(B^I{Ej(?~U znDMFUDd{*jNgBy$w@LcQ$0=z#scG41YRM^w$#HsmX<DWwW_Bhe3OU*dnW^z=DVp)A z*``J*8mXBv8fjGq7Ig*%1`s2H0q___cgzLzco@Kcr}Q74By{>UEe7nvk?}EptCJi} z4F8zXzje|%$|oAYx|lV*5P{7mI3QXGQ2-hMDG-k64-Jn}uh%fl2d(NPgxM&YnZbx% z+4lwborRMaZ@#Re!jc_nJSTl8z{}x)8e>oXCnU@oU>UFiKo<vUt~kNni!O0n_GdPK zA4cyPRHjeb<-&$LzQIiK^A<lE9Jl946!T@n#X;$1Q%@KjE1WFDJH3T6ZI9jDQMk4b zHwlBW(BN?U(PUumqN$zcNwJ`>ahD*jD~6L8%KBKmGL!m^Jd4}LFpaj031%^(5kIvT z(~cT!-AcH+=8Vm7wi5rL8p=+w<H+S#X_;Qv4cnL?#x(>PMQ5qKg)n<v=w}dfPADB9 zu%xte1L=d%4M~|mvMTDDYe*7`w!+hz>}$N8)nOua6{ubuIg~^!yMs+-6k-;6=$V*# zHrV3+#uK6vnSJ`^6SVrRiq0SU+ILIFjVI_Lm^7e4F&xFQEmf4Wx=khgRjhI05_cFH z&IvX@nmHs<%=x<-X3b3ICF45h5<?_)yKs$c0+v-mo@Ar+BAyV*dD-Y17sI%JYTESM z|Ij-8lqdRy0lOzuv@L&^4;O14(?cR}YSc{Q4km5^qpB$9RgUt^gj;X1&?~uE?1Daz zZPwUeUOcX0nEp=YRWL{XNLWt={<dJYuP{slE)@A7za<)WYM{881@lPyyQM)p3n!4i zqN^LLHdh~IuaHn6b;_Rnw~qej<;{Q<rG6Tm&&(-zy|vax&J|Lo#~zI;RB4_IO8o*= zqz$^f79ETnM1ljoyCfCON`lf#6(vMB>&a$-I;rk#cYhRA8DPiadNGSVFbbkLg%KRB z$EkLftI%&wQJdtbr=|+@2ekDkSaR!PZK*la_b~m5vvY-BYjg}UO3~C&@JtIV5=1ef zAiSl|*XRUzF7uBoa^IkiIt9BF?SdV6)H;p@eJ2Zz-A@h0a2HBM$rVokJ}OVuw=>!% z^WqG&o}SwZtMRBF!H^taAuGuj=cg6+6K0F!%bvjAg>EART25Q*nU>&^`X?3k;5n>@ zGqs(ewkuaKTsmVW(!kk;m-Qw;zUhR)r0jT=m7%!@Dc5}Z)=Gd)vLN&75AJmd$ByGw znX4P3>>A$RAvrAv)r;b1rwo&LliQKYSE3+7DPFaD@zdgk(;hNTbMGum^l?TW!5*ZJ zJIn2tNysP?Dg$f)O0&wVi*7Ao`w+S^!=L!6##75hW8Qh?Jci6S-`6#)EE<sas>&PI ziu#5?;NM%WNXR5cNybDhsT3~-VE~MjK}5BIywe~F8%zLAY!FN!l<kj6L^2RbKuArH z2vG>(oetNDUhuV<^dS{<{|<cF4G|&{b3i<%ba<&jK{kBZ(jw#ej(i`gU~g!j0}bf8 zkoSbl6gzDw`cA9?XJ!f$FJ!O50X~%E_DMnuOi~}5B>Wtfb1|ydZb&fJ=evfh6Chp9 zRqj#TyL_V?CmA7~NosbYqJ<v~Su=_eFN7Lx1Z!YY5|WQ(M-T+LoC;7dpr{A)Sd+dA zoO5h5aN#Ey*8{7z2FtCs*XaZldMc_$(H|p`R*QAjL$6!eB8Shs9F0I17)Z*{(lKNi zJV<=aUpVP;JsV3$W7t%?ecmjB9r9z*)M(xz5&H)O^yE)HUOU*SpebO`<boY>YH#J| zVLF8daObR<^y{f9Fe567R_8o}Anr2S8Pm@akM``A84PE<KJRV?<x@nA3}ega5q{qZ zhXOyfe;oI<<2XM#+Xch8AB|^My_ABOb0i_4d5{nFJf$olKm5|2mOWun$+h05lrpWI zr-*ZRj}6NoW#Od#HgdQtiIlbZNII0QIRn$?kCYjtoTkO;gjI%5ULkQ1w;o9`+8V8p z&xHA@*kZK^ia-CxZ(eO;&+^H=9QePr{6Fml*S)&b{=<h;{ZY$5{ieO>f7Eh1ODDVk zf2k0YY$t!pfI71MLMbO<TNtr{3152)f2h|JQ3yPrh1ryR-&d(SY12iB-TPw04jb-Q zZ`XSNntPm`>+16rh}+U9Tgr4N4pTY>X|TAwWw#_c<pzZ_hnh_?CP&Xlz}<Q67W-Zd z<c(=&XtCEt!u!M?-Uq_`q)1Ga;NGyr5x6WeUl@i6x!ArRnY17ZOj^NjS7}3knI$=K z5ROV$RlCa&tN<B$Tl1x0+h12(nR-2FKidI%x*qLQYbJw?6Xp(TK9u1SpLq*TnEm{x z&c;HQcRI!s?@!xFU>Y>mErUg_KXyKOk-2=$RFQ+V1fjwRjDTt;vKxXu*i#(K&`{4F zqahCDN}$TZS6;FvG9rS^vnuT`l1V}uO-B|U(U~z)k)pbOlSDh)oLKgTqw>O-4W~l! zLePSChf>NgtgohOSGom?ftNF>WqIx8x&S>kAsO7yKh^EqS~dT9eL>{>@sntjH_X{J zC!Mw{PdXXwumHO@pNji|5k*Xox(aiq8oz4Q<fq#9;ViwhVyhAH%(i0fGUB|%ykf<= ztVccuhRGhBcA<++<^?TDTB;|s?o=O4m73<Kt<#~jx%d<DlZ5K#$`zfIw$w&Y`m)Qk zdH5d`u^9sO2#HRMw%bq^M!dtpF<1*;*OAG$?$pB~g$%-mka5hCNC)oS8LgA3WT9vp zpT9*vI2me$c447-&H~-b?zOV7>5i}o56%j=ndOCs=7l}4=ERlCci<w~(nENCo^23- z=e<f}zqW1b7aqA8Rln{i>OJlfYU_koa+CL+b&c6N-I#1bqUstqPzni7<;GSuTZtL| zHM7V6*PNBl$Jh7$$XN-Zf6yOpe>$hx(ww*5V1V`BUR^5`)q2hvN%ilk?gKx6aTE9Y zu28&&Z6aw-0z?zRb88Y=n4EX<5?5EZeflK2+{otLNVkk16@jkn)Ha?NyFRCO;jZV> z3}vjVt!FJDAG*YUrXq_N+D}yh57PVCVvCql868)z%XS&pU>ijDWFpxiY~CpTvw(_< zy&;CL;k)vR9k$Qv+85ndUE^P=NbVuO-cf^A-EZlj8M8l8AW4unLk6`S=CZw_JDDdv zW9@eLDTG}ti&K0NXmwZy7(QJf87x8@#4|ut4}}~PYtXWdM|B^d+;uXet<6eRMe2iJ zKC8%hH=1FLr(5+do_I$F;fBP;gCrM?X4GLuc7zKC^D@JMnu)a`+VpEGxWLUCi9qNc zeEXCc0V2O<j9k!evp23TXRVi2-q1Uy@e8b5%3iEGEWSXu9Hd^r!vyCDI;vyre8&Jh z8tGamdH6F6^3td2ENGeJOnLb$PXD7GjRz*JuT6(w4Le=s$2PV24r_b!VysYK$Q7GE zS%Nj}y0|`VmG6hoy&vprW{u8rK1V9eqI>#lg$wZ%;T=v3m{whcuJJgl!HdV$IxQa= zz0?F`QB19k{9^rSGYjPSxV?sFmQrEHcf3e>aNW3!=?Oi1x#tx<Gcog6`F6B4Mt9|c z;s%5tF`W=w=oM;JG+4Ukjr(*_x|iOvB+~|nYa&`&Tc3Th4IXf^4i#$~XM8#}!UN^_ zA@P({#JJFTQ;Um;XQSflmI{h=2?8iOA5IxT&*dbX9kQE5!Ef-~Sf*o0<Yr)ujdg~J zWY~JxOs#^g7$-;spQ+IA-JH*|8DI-Lg=o_}ewW^`s>=*3R=cJDYx0^s*#)?LX!)Fv z@jF)@oujFfor|NfsnZ`4T6cmGVSoTJboZC<bpO2YSAaA`Typ`b!<hm0z5(v*djukT z+vCq$$t_$i(NHA8(<A;{U!E&JZ9Y94#_A1)k&2chC-KIPZ`fazR=<-I;UNm$bOb@P zS4&e{A(CYTrSVSS)_Ijv#+bUSCxm7jf1wT*9jd_7SC9q*Mg{qu8T-S^^>KZe-2lY_ z|GN9bnho_IYxckF+5b!UtIzh&1hbDN{g1BOf1v)=Qu=4=0?0p7|7|e+2k>7_l>Y($ z>#r6EaDH^mzn$)%ES3KN{;OB;|7Uy=Q1xMS|6B0?ItTxO{#Uo%pQk<g;lcYm`hUH5 z{{a1~_2AD?1ccu}|7Aq@TjJlHxc`}GiTNAizt7+Q7WsGk<^PXsalkvlZ;=1lJ^wB9 z?>4-Do@OH9Z<zny{{AiU?{wFnr>RH&_sBn~uz%qGwKx8mi%j+RT)KaE%6~xqwPO7l zIZN{!<e%!-U%+4=sSN<YeSBm=0e~o40Dul4%HpBo>MG7DD`}x__gRivkkvzm-QC`l bQ-sM#Ow8GySy)6=#7c_YR8*dcf%pFaB7V5` literal 0 HcmV?d00001 diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v new file mode 100755 index 0000000..cc6f796 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.v @@ -0,0 +1,220 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:19:53 2024 +// Host : hogtest running 64-bit unknown +// Command : write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.v +// Design : design_1_clk_wiz_0_1 +// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified +// or synthesized. This netlist cannot be used for SDF annotated simulation. +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- +`timescale 1 ps / 1 ps + +(* NotValidForBitStream *) +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix + (clk_out1, + reset, + locked, + clk_in1); + output clk_out1; + input reset; + output locked; + input clk_in1; + + (* IBUF_LOW_PWR *) wire clk_in1; + wire clk_out1; + wire locked; + wire reset; + + decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz inst + (.clk_in1(clk_in1), + .clk_out1(clk_out1), + .locked(locked), + .reset(reset)); +endmodule + +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz + (clk_out1, + reset, + locked, + clk_in1); + output clk_out1; + input reset; + output locked; + input clk_in1; + + wire clk_in1; + wire clk_in1_design_1_clk_wiz_0_1; + wire clk_out1; + wire clk_out1_design_1_clk_wiz_0_1; + wire clkfbout_buf_design_1_clk_wiz_0_1; + wire clkfbout_design_1_clk_wiz_0_1; + wire locked; + wire reset; + wire NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED; + wire NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED; + wire NLW_plle2_adv_inst_DRDY_UNCONNECTED; + wire [15:0]NLW_plle2_adv_inst_DO_UNCONNECTED; + + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkf_buf + (.I(clkfbout_design_1_clk_wiz_0_1), + .O(clkfbout_buf_design_1_clk_wiz_0_1)); + (* BOX_TYPE = "PRIMITIVE" *) + (* CAPACITANCE = "DONT_CARE" *) + (* IBUF_DELAY_VALUE = "0" *) + (* IFD_DELAY_VALUE = "AUTO" *) + IBUF #( + .IOSTANDARD("DEFAULT")) + clkin1_ibufg + (.I(clk_in1), + .O(clk_in1_design_1_clk_wiz_0_1)); + (* BOX_TYPE = "PRIMITIVE" *) + BUFG clkout1_buf + (.I(clk_out1_design_1_clk_wiz_0_1), + .O(clk_out1)); + (* BOX_TYPE = "PRIMITIVE" *) + PLLE2_ADV #( + .BANDWIDTH("OPTIMIZED"), + .CLKFBOUT_MULT(12), + .CLKFBOUT_PHASE(0.000000), + .CLKIN1_PERIOD(10.000000), + .CLKIN2_PERIOD(0.000000), + .CLKOUT0_DIVIDE(3), + .CLKOUT0_DUTY_CYCLE(0.500000), + .CLKOUT0_PHASE(0.000000), + .CLKOUT1_DIVIDE(1), + .CLKOUT1_DUTY_CYCLE(0.500000), + .CLKOUT1_PHASE(0.000000), + .CLKOUT2_DIVIDE(1), + .CLKOUT2_DUTY_CYCLE(0.500000), + .CLKOUT2_PHASE(0.000000), + .CLKOUT3_DIVIDE(1), + .CLKOUT3_DUTY_CYCLE(0.500000), + .CLKOUT3_PHASE(0.000000), + .CLKOUT4_DIVIDE(1), + .CLKOUT4_DUTY_CYCLE(0.500000), + .CLKOUT4_PHASE(0.000000), + .CLKOUT5_DIVIDE(1), + .CLKOUT5_DUTY_CYCLE(0.500000), + .CLKOUT5_PHASE(0.000000), + .COMPENSATION("ZHOLD"), + .DIVCLK_DIVIDE(1), + .IS_CLKINSEL_INVERTED(1'b0), + .IS_PWRDWN_INVERTED(1'b0), + .IS_RST_INVERTED(1'b0), + .REF_JITTER1(0.010000), + .REF_JITTER2(0.010000), + .STARTUP_WAIT("FALSE")) + plle2_adv_inst + (.CLKFBIN(clkfbout_buf_design_1_clk_wiz_0_1), + .CLKFBOUT(clkfbout_design_1_clk_wiz_0_1), + .CLKIN1(clk_in1_design_1_clk_wiz_0_1), + .CLKIN2(1'b0), + .CLKINSEL(1'b1), + .CLKOUT0(clk_out1_design_1_clk_wiz_0_1), + .CLKOUT1(NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED), + .CLKOUT2(NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED), + .CLKOUT3(NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED), + .CLKOUT4(NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED), + .CLKOUT5(NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED), + .DADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DCLK(1'b0), + .DEN(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), + .DO(NLW_plle2_adv_inst_DO_UNCONNECTED[15:0]), + .DRDY(NLW_plle2_adv_inst_DRDY_UNCONNECTED), + .DWE(1'b0), + .LOCKED(locked), + .PWRDWN(1'b0), + .RST(reset)); +endmodule +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl new file mode 100755 index 0000000..66ea0eb --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_sim_netlist.vhdl @@ -0,0 +1,151 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:19:53 2024 +-- Host : hogtest running 64-bit unknown +-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_sim_netlist.vhdl +-- Design : design_1_clk_wiz_0_1 +-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or +-- synthesized. This netlist cannot be used for SDF annotated simulation. +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is + port ( + clk_out1 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz; + +architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz is + signal clk_in1_design_1_clk_wiz_0_1 : STD_LOGIC; + signal clk_out1_design_1_clk_wiz_0_1 : STD_LOGIC; + signal clkfbout_buf_design_1_clk_wiz_0_1 : STD_LOGIC; + signal clkfbout_design_1_clk_wiz_0_1 : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; + signal NLW_plle2_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); + attribute BOX_TYPE : string; + attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; + attribute CAPACITANCE : string; + attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; + attribute IBUF_DELAY_VALUE : string; + attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; + attribute IFD_DELAY_VALUE : string; + attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; + attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; + attribute BOX_TYPE of plle2_adv_inst : label is "PRIMITIVE"; +begin +clkf_buf: unisim.vcomponents.BUFG + port map ( + I => clkfbout_design_1_clk_wiz_0_1, + O => clkfbout_buf_design_1_clk_wiz_0_1 + ); +clkin1_ibufg: unisim.vcomponents.IBUF + generic map( + IOSTANDARD => "DEFAULT" + ) + port map ( + I => clk_in1, + O => clk_in1_design_1_clk_wiz_0_1 + ); +clkout1_buf: unisim.vcomponents.BUFG + port map ( + I => clk_out1_design_1_clk_wiz_0_1, + O => clk_out1 + ); +plle2_adv_inst: unisim.vcomponents.PLLE2_ADV + generic map( + BANDWIDTH => "OPTIMIZED", + CLKFBOUT_MULT => 12, + CLKFBOUT_PHASE => 0.000000, + CLKIN1_PERIOD => 10.000000, + CLKIN2_PERIOD => 0.000000, + CLKOUT0_DIVIDE => 3, + CLKOUT0_DUTY_CYCLE => 0.500000, + CLKOUT0_PHASE => 0.000000, + CLKOUT1_DIVIDE => 1, + CLKOUT1_DUTY_CYCLE => 0.500000, + CLKOUT1_PHASE => 0.000000, + CLKOUT2_DIVIDE => 1, + CLKOUT2_DUTY_CYCLE => 0.500000, + CLKOUT2_PHASE => 0.000000, + CLKOUT3_DIVIDE => 1, + CLKOUT3_DUTY_CYCLE => 0.500000, + CLKOUT3_PHASE => 0.000000, + CLKOUT4_DIVIDE => 1, + CLKOUT4_DUTY_CYCLE => 0.500000, + CLKOUT4_PHASE => 0.000000, + CLKOUT5_DIVIDE => 1, + CLKOUT5_DUTY_CYCLE => 0.500000, + CLKOUT5_PHASE => 0.000000, + COMPENSATION => "ZHOLD", + DIVCLK_DIVIDE => 1, + IS_CLKINSEL_INVERTED => '0', + IS_PWRDWN_INVERTED => '0', + IS_RST_INVERTED => '0', + REF_JITTER1 => 0.010000, + REF_JITTER2 => 0.010000, + STARTUP_WAIT => "FALSE" + ) + port map ( + CLKFBIN => clkfbout_buf_design_1_clk_wiz_0_1, + CLKFBOUT => clkfbout_design_1_clk_wiz_0_1, + CLKIN1 => clk_in1_design_1_clk_wiz_0_1, + CLKIN2 => '0', + CLKINSEL => '1', + CLKOUT0 => clk_out1_design_1_clk_wiz_0_1, + CLKOUT1 => NLW_plle2_adv_inst_CLKOUT1_UNCONNECTED, + CLKOUT2 => NLW_plle2_adv_inst_CLKOUT2_UNCONNECTED, + CLKOUT3 => NLW_plle2_adv_inst_CLKOUT3_UNCONNECTED, + CLKOUT4 => NLW_plle2_adv_inst_CLKOUT4_UNCONNECTED, + CLKOUT5 => NLW_plle2_adv_inst_CLKOUT5_UNCONNECTED, + DADDR(6 downto 0) => B"0000000", + DCLK => '0', + DEN => '0', + DI(15 downto 0) => B"0000000000000000", + DO(15 downto 0) => NLW_plle2_adv_inst_DO_UNCONNECTED(15 downto 0), + DRDY => NLW_plle2_adv_inst_DRDY_UNCONNECTED, + DWE => '0', + LOCKED => locked, + PWRDWN => '0', + RST => reset + ); +end STRUCTURE; +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +library UNISIM; +use UNISIM.VCOMPONENTS.ALL; +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is + port ( + clk_out1 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + attribute NotValidForBitStream : boolean; + attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; + +architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is +begin +inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_design_1_clk_wiz_0_1_clk_wiz + port map ( + clk_in1 => clk_in1, + clk_out1 => clk_out1, + locked => locked, + reset => reset + ); +end STRUCTURE; diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v new file mode 100755 index 0000000..c49e511 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.v @@ -0,0 +1,24 @@ +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// -------------------------------------------------------------------------------- +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:19:53 2024 +// Host : hogtest running 64-bit unknown +// Command : write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +// decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.v +// Design : design_1_clk_wiz_0_1 +// Purpose : Stub declaration of top-level module interface +// Device : xc7a200tsbg484-1 +// -------------------------------------------------------------------------------- + +// This empty module with port declaration file causes synthesis tools to infer a black box for IP. +// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. +// Please paste the declaration into a Verilog source file or add the file as an additional source. +module decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix(clk_out1, reset, locked, clk_in1) +/* synthesis syn_black_box black_box_pad_pin="reset,locked,clk_in1" */ +/* synthesis syn_force_seq_prim="clk_out1" */; + output clk_out1 /* synthesis syn_isclock = 1 */; + input reset; + output locked; + input clk_in1; +endmodule diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl new file mode 100755 index 0000000..9f25c22 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/design_1_clk_wiz_0_1_stub.vhdl @@ -0,0 +1,32 @@ +-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +-- -------------------------------------------------------------------------------- +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:19:53 2024 +-- Host : hogtest running 64-bit unknown +-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix +-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ design_1_clk_wiz_0_1_stub.vhdl +-- Design : design_1_clk_wiz_0_1 +-- Purpose : Stub declaration of top-level module interface +-- Device : xc7a200tsbg484-1 +-- -------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is + Port ( + clk_out1 : out STD_LOGIC; + reset : in STD_LOGIC; + locked : out STD_LOGIC; + clk_in1 : in STD_LOGIC + ); + +end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; + +architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is +attribute syn_black_box : boolean; +attribute black_box_pad_pin : string; +attribute syn_black_box of stub : architecture is true; +attribute black_box_pad_pin of stub : architecture is "clk_out1,reset,locked,clk_in1"; +begin +end; diff --git a/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt new file mode 100644 index 0000000..de897a5 --- /dev/null +++ b/Vivado/labo1b/labo1b.cache/ip/2024.1.2/a/d/adff1593d44ebeb2/stats.txt @@ -0,0 +1,2 @@ +NumberHits:1 +Timestamp: Thu Nov 28 15:23:35 UTC 2024 diff --git a/Vivado/labo1b/labo1b.cache/wt/project.wpc b/Vivado/labo1b/labo1b.cache/wt/project.wpc index 314c461..27e386d 100644 --- a/Vivado/labo1b/labo1b.cache/wt/project.wpc +++ b/Vivado/labo1b/labo1b.cache/wt/project.wpc @@ -1,4 +1,4 @@ version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:4 -6d6f64655f636f756e7465727c4755494d6f6465:4 +57656254616c6b5472616e736d697373696f6e417474656d70746564:5 +6d6f64655f636f756e7465727c4755494d6f6465:7 eof: diff --git a/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf b/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf index 6f52bc4..ebd368f 100644 --- a/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf +++ b/Vivado/labo1b/labo1b.cache/wt/synthesis.wdf @@ -1,5 +1,5 @@ version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a30313069636c673232352d314c:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:64657369676e5f315f77726170706572:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 @@ -47,6 +47,6 @@ version:1 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323073:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323531342e3733344d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3931352e3735304d42:00:00 -eof:1124083508 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323631312e3834304d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3937382e3136344d42:00:00 +eof:3999145180 diff --git a/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml b/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml index 24fc086..90cffe9 100644 --- a/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml +++ b/Vivado/labo1b/labo1b.cache/wt/webtalk_pa.xml @@ -3,10 +3,10 @@ <!--The data in this file is primarily intended for consumption by Xilinx tools. The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> -<application name="pa" timeStamp="Thu Nov 14 13:37:09 2024"> +<application name="pa" timeStamp="Thu Nov 28 16:35:16 2024"> <section name="Project Information" visible="false"> <property name="ProjectID" value="dcc085f9009542c0a2e6a53a6f205a35" type="ProjectID"/> -<property name="ProjectIteration" value="15" type="ProjectIteration"/> +<property name="ProjectIteration" value="22" type="ProjectIteration"/> </section> <section name="PlanAhead Usage" visible="true"> <item name="Project Data"> diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml index a10347b..6168ff4 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/design_1.bxml @@ -2,10 +2,10 @@ <Root MajorVersion="0" MinorVersion="43"> <CompositeFile CompositeFileTopName="design_1" CanBeSetAsTop="false" CanDisplayChildGraph="true"> <Description>Composite Fileset</Description> - <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1731557632"/> - <Generation Name="SIMULATION" State="GENERATED" Timestamp="1731557632"/> - <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1731557632"/> - <Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1731557632"/> + <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1732807583"/> + <Generation Name="SIMULATION" State="GENERATED" Timestamp="1732807583"/> + <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1732807583"/> + <Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1732807583"/> <FileCollection Name="SOURCES" Type="SOURCES"> <File Name="synth/design_1.v" Type="Verilog"> <Properties IsEditable="false" IsVisible="true" IsNetlistSimulation="false" Timestamp="0" IsTrackable="false" IsStatusTracked="false"/> diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v index 370371c..7960561 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v @@ -1,8 +1,8 @@ //Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. //Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- -//Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -//Date : Thu Nov 14 05:13:51 2024 +//Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +//Date : Thu Nov 28 16:26:23 2024 //Host : hogtest running 64-bit unknown //Command : generate_target design_1_wrapper.bd //Design : design_1_wrapper diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh index 239a836..8010f5e 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hw_handoff/design_1.hwh @@ -1,7 +1,7 @@ <?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu Nov 14 05:13:52 2024" VIVADOVERSION="2024.1"> +<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Thu Nov 28 16:26:23 2024" VIVADOVERSION="2024.1.2"> - <SYSTEMINFO ARCH="zynq" DEVICE="7z010i" NAME="design_1" PACKAGE="clg225" SPEEDGRADE="-1L"/> + <SYSTEMINFO ARCH="artix7" BOARD="digilentinc.com:nexys_video:part0:1.2" DEVICE="7a200t" NAME="design_1" PACKAGE="sbg484" SPEEDGRADE="-1"/> <EXTERNALPORTS> <PORT DIR="I" NAME="btnC" SIGIS="data" SIGNAME="External_Ports_btnC"> diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp index d5f03d18e5bc4ef47e0f3fc1b4ee30427e23978f..6ece7ce8c3412b63b16c092ee644b417aba27c3e 100644 GIT binary patch delta 11285 zcmaKS1yEeUvi9Q6;_e>Yg1ZHGcX#(-i!M%p1%i8Ead-D%!2<yjTmr!f5cE%SpWOTY zci*X+I<;Hf(>>kaoayQAZ`Rp1Aax};csu|AfDAB?2r|eeY$axa1pv$t0RSWb3c%XR zo!#HXSw|ZI05=jZZ#R-Y=~*m+2VUOM@@~jBhy)BM892rnrQs2x%?ec25*VJK_-d_N z?te$JGK!72Sed?geEB8N#?J7r+zQn(moRd!f+{}sT;NzG0WQgmN6sFE@>x$s1AY@{ z;<ADYrRiH+Y;PkuZUBp38|-EgZ9Z!7+rlKo;H~Fs@ftFukj!?HUO*3x18h46Cu*@Y zf>bWdEK;LT2vI^hw)-?PQ);m(=VU*>p4>38lV(X|*nM?;BQ*33?x(BL1m$|dWvZ~+ z1=g!nZGyEPA<Z*uQ#?74P5KU&nM(nTeJPYlK8P#eGefo!g|U$&RB)Zugg389<kw|I z<PU{72L*f9(H{b3ch{!<*>stSO`{BnIf@(=*psyi?NouNHbmuh=ZTMCoZZ*ir4nm+ zPCDbxW_7+@wg@7z!jF~LeuyRXVkS<Taq-)^g6*T<UDiJZ5g_4%@~q;#w6}M4O*1F) z(uFf9-Gslgnv8vhW4jyQQ2~&Y4MczO#wfo1(M`xwPY%Kyvj2d_Mm|_v-D>$Y7e|Ta zQe)s;l2~CV%=7Ck;o+cnCk{|y6{B+zcKU0(DofKB5}~;1wL8#MqJzX*1o%vL`c-Qx zO4P+3(XbYd-%vCf$i<;WV`Mv&dmW?o!cc*66~ij)MvDcRvCEZijVD}J^Gf^5723aO zsPHztGb~?vBjTlS0L<{rtUngklX-F#a4b~UkNTv8WoW^|K88?rNkj;WQau$1kvEy1 z0sj?TcfB(2UcmqWcfSYeA8^@tIY10x$^Ia#$sd*%2JSZmA$4%PfB)ErV}n8dgGmCR z03Qe`0te_10AU1R#-8<^{dKtnWTmM7JltHif8Si(oRg*v4x96@GAMUN!wxEn6=djf z2>6vlaDPdGeO>|jO|B%L7O?70p870n1Khi%@LgN)ijhb>1?k&TgLWIn)yf`pi{Of6 zhXa1*VPqP%UAcBomTT>?s#;WQ6R6UHm<@NhFxt7lUBsFRBTMnaZO|-G$xa$n`s?L4 z>giGFa#Pv)sNiSp<oe=~+3Uck>i5p%wak6aC3yGL$XS0=x0^Hz{?P09OEyiv_<Lsa z^Q~nqA@QuAWL5nqG8;{74rDGKCf@^eA)=kOa*WNdnGe8u%zcF{D{r&+bL4q=>T=p# z9?!Bwbtl@KQWu3U`ewn4@+#tNKhJ{@ikX(?Kepluv8D|}Y8Nd;pnactndEeq_eeT# zWjvT)S2V<Wb=QK!|HUDYOhmyyriLscent6X>HA4bT?9xc67yeEyXbv|kiNlQmS?P> zuPN0y3qDjPgQqj^_fWn36@*CEXjr2fM_<#})p&+(oifv?w%ab?_a1nKe}IO*H5mkt zF0vQ<ZW{#^8eZz}j<1bP@r%%ZlUn4%qZI!2)DOkg<SHSTmyoXu3tty_gdeQM2p(=p z?`HS?{km_RK_B=7%C=9@>k^q0x3<pb&&|ENEyX-e?w{|DK3RNd)ikLg>gvKr0Wv?7 zM9e)eluR-vF<3UNJY7=;QYnZpHDxDTHSj!ynUl@16pd)Q-_|Uj?VpCdfI;Z-7(+ad z-~}<apO2j_DbYl>WF^OLXXs;rWJHc|DA7o3Lz5*Upkb~B_XkCjNcjC#->+_K7*$rz z_~w{RI7<4F)`h-o<rW>X@)n<TxiKn^lSjAVtI9D$M<nt+vnou^7pawe9am>DsiP5I z|4f^YL7f)5ky~Sxq_`?dxAtaI4n}y!j?<6P6gtmxPsc2hv9+*=iIPzmE7C#no<d5q zOzIb52W5kuNHD6f)0~P8Gc}@$CZjRq@$5GWv42W7ZMpi_s9<DZ(Y0-1Gttg2w8d`L zCm0qwhzem1V=uxQ7^ZECYR6FpT&}cUxdUy7Qx&wsOG<%K$>`C6<93n$9F9!{2vBq1 z)Q}$JGh9(DYl~&H^AOFMejq$K?Hj6f#*C^{keTzBJa9Af(33!2^NFpOT+t9xd7hM( zANd0FV6^ra0@2n=DJ`sGG!W_a`Z>Gd>$U5IBuvVIZM=!q-K~;hoWc6D{QT&hkL4bG zj=sTFeel3SitltI9D^vsF&<3{*W4m8XlK0dv+4CEgR`?JFVWOTG}GRnI_-*W_m|I8 zfpSZY1JlZWU@mwG#n;EJP?@B$556+^P+R9Ms}EVh;EY~q{#f*l!k_FjeGz)h$9`gE z94>qIfiZQ$@B=@L<)+;JF`6JUjSJT?0XGD16fsG1eBQG-`zf5<JZ_y4k;u0J_m=I= zECY-s$~w`}BXKQ3O*Yp7x?|-&^8=ZuGaxGU$a`xZ)l@DU?vS37j=WX}5Bov_*txVT zbLs-DfqGcp3Xip(D{kaEc+xo;dq`VxEY<>nh1)d4rF>eE%%@nHR$E*HFedwyC$1Bw z$)aK6_ZwyjVAE;FT1%j%HH`CAt8s~>BFY0SUjmjGiPYS(kbvb;?U}wxlb34tA!t)h zz$eLnd!^8oh=^MZ1JQdo=DDn$SD-Ewxe=KV3JJHbfR|kvCR4D06<itgUc2FcU<)uX z9<E-<9ld`AQ{E6F6iEYS4MNn~m8UR#C(E+I8b(<o!c~Cp<N8TAgvbVZ`M%gqylVMl zKOciIT-}$cew$Z6JIUmr3gdpF<scVLO+8LKk|bI}=-0`99c9<mq>l73a%EqnoavwF z3F+QKa0OcPS-c`iD9hh>%?TH5?WlawqGyy2w(*V5R>1GUqRO*qx)F?6F*UU=x+WO4 zDgd3AajZr8CdzOfSR`tFMt7!x@oew&Mfl{1a%n0u5nR}<%`aHhT?Xw*uRtiBBtg`h z<86p$Y343ELeKN?$vYg;g>plEG|_c6zFy~KW$Epvp`^;DoRuv;Bxa!pc2qU@XsDl6 zW4qDLx6$cNlu+|4<4P~jxl4XIzvcs)>Bz5o-jNLDuJ)S@c^{7WLSn^q>?wLx^(dE6 zD{B;{2Kb4}7Hy^cqf`Pb=|M>!XwOyT4*cqG$%JrNH=O3YN_4<(TtWy#ei~zZGqG)* zCT5XtJggNCwugaR!szeEyH$8zUZChf@3(TLWl&t_5UN#QJxC5&Zv~=5d^*Xmz3;?^ z!na(1DvzzI^EaYDhJtUVTXjMyD=p_7tGfs@wsgQ(z8hC-qS<pLSs>_1Wk}Qb)*Ef+ zmZPN>a8oZp-1cK)>)x$!$TC0Q8oQ1^jH{XfzqR0FBqD@SyuE?7UoFdDOAf7cV1}p+ zsmDw7L5joF)}wIpux9z$oBz!`%H7pfUjWPa%cwu3Z=(GZ<qli##2M!^(x~3u2C<)i z+)MZc%R2j*ru)@rQjn!NEY+yho<2PRst2Gf(>mjHMEMyti;EsfnamPT2KD)3a@hv{ zRr|$+*-NVGz{y7P0qeT|uP8IdactTP@t6CzaZR2gvb8&VGLJ+hMM_`%+#&_+u8eJI z!vzS;ERGwZcWQ_(UiqISP4TB_K9^r9*5on`V#ioYj<1Bpor7lhb$)ncLhu&S)-K6Z zrW7YA%i8=XZ^r_cP)qbR-47Tra8e#`P9DEFzrMTXj9wEyyIY0UbRRE|O66AiX$Ps4 zZceZDfrL4vf9-tTK#8r?Bsn7|6O;FvPkX+b*V3!K?C_MG3>Ow7d%Ikh=x@nRy5nKF zh%j1tA;Z8@i}Q+6%~N-3-*@c&)ZA%pvrTdyu2FK+3(UV9p9ZVn0<IvBm`wi&Oo&hp zZvHti;o`UA{u`JGa&iLUwlxX76ykfSIV7Y}<VPIS4ohQy>##!PCcg`Fi~GL$8YkWQ z84H_#)g;)Qfw`f5L*;v_8fN>@6SMZ6DBor)Ru3~;$<}m5jU=n%GNZ16TPULr(ca7p zLc~NfNYlWy4{t6S-7`Wi*-G~ojGie*%gi3;fd(~SU;rpJ+9vWz%L^`=3!k8QV7d*U zt{H5qMQPugv>1f($gHI%5ay8rEgk}iLex*!o2QF{QApVv6jrDiC?!NUUFJVg(V2{- zZ1)o17H=n(nUsG^@Wj2Y^<TJZSht7XOBytQ28TmXskirax2&lvN|rln4V&!)h;Uo# z<fzidSitnir8f{<VSE7i;mpl7f??};j5Udm1zUB5PfZn2WkSWVXKA3KPq1Y@20TdW z(#5P4-aBeZHxzV-mHg>FExz$mXrIV?e-o^%u->~VGET71<Mk!DAVXQFS=${&6pI@q zc80>P&YwOL`Y@ob4p%!8)G;_X3fN=pM=?j1Z|zna6a<5TT~Ix-Yu*J|-0!<@U`G$4 zI*N0jSeOK<q)iFR+CBHCpic97^ty9ED0v7)!6r=N@nnIW*EA328p2sXz=Er0O)^j5 zmW?EBTb(4wa%__mX_?7Yg8<LhsW#C1Y{-6uO`M~!&j}l%xf7z(%46j7wLw=ymxlc^ zwt>ipdENZN!Xd1-X1LOgH=;34acXPtk8sa02D?$|8Dl6fVExH6b%~>RE}>+ImQjfE z7zGpyO@WQD9?t+@pyu;J*1N-p5Oc{2vzw%`CKy9C!iz#C68Gf!_(T~|gjf)+NN1AK zC#9p-jD!={?pO4tWMHb28lFoM%lm|imSZ#Ous+8zA{1bV&kdPgR#S^pdno^a{S?KS z8#*3*z`cA6lxT{V(fFJs$Gowh;rc#l$y3*i04d1P>E5%0i$<0+xd>42c(3OB)y2+; z6uE4)wk0az>#-n@MBp;>bR;NmfPdcLK`nj_A;@Y?d$G76IO;GuBL2{)UgNt!+4Ojs z{-fqMAvu8l-A|94R#g<(lcQ6`=D`PTkR1;mHAz9S6J|f9o1ZULetG5{<&g+*pw#aE zPVeoWkmM0Vzero!z-XI*znz?{xgZlqV8;#0rSLO{BpTet&GVH+P6MbvXj##V9j{U$ z<*oo1GgjzhPa4k>(J6piX6bE<O0?VQ&&i9F#_i++2MlN&b4H*wbJS>^Ame%NNKf~L zrH*@g<no^K=;pa2k!hDwR^SU!i&22CxNC+OP6-;P!@G&)RFR!uG~H@1%4j`9aE2%@ zuU-pwk5K3ebrc(Wu|9&r92rd^4K>0VR>8^2_dvy2QWLbCFUH|!OFW*lfj`W2SfN5U zrCO>mR4nVX@LaQ!aK=W2i@63$3)J9C3GE~;W%*L*+n;!=9Ku}6i!quF!B|dnE3PDK zpyzZRG*Xpc<&HC?&&6J<BT^ux4;9FJN4>o*h#WCPnG#dg)6JmAytt4<3HXOJQZ^Em zz|0|><dg;SH#>G84M^RzT1>c1uASVD%{|{Pe%jbCNLxcaVvnNCM?Kw78pLi4i;#q@ z1}`iy<~hvsmaF)`GmlJl%-$DoMyE9)4qfFKpZ$UFF5<q12UhKwPNyOhwxQkxXNYK^ z2IUBR-lIFduKNU1!Hj$l562<a=a)NCC`NQYBvB?4$>TJ|W+2S_lZG;Q=huf1FWnP% zl_CyjZ*iPR5D+Ch=sZ`~i@aOwF>YRL)8z>Z&o)snA05pWs2+f+(5IB~ol*06^3qy& z2AK=JFLw#BJq#U@!%l7X#C&vhY37Wg?8OKEuro@G34lxx>KnuiVW{iIXW3hCM6w6c zAZW!0hqRj7&_W^a+*fJEIV!9LG^8g}CCm&c@*nBmZziV|eE}(Y#emr0nfj*e60_d7 z_q!0StlO>#$kf=H;C>bj?c(-*v>5s+*s|DX8>c*JzGI^PwxsQ;WMCczac*a?l9-r- zmDO1s_P|IV^a9s6;}CB1vQnRm3@`mrJ&D!6b^5J|m(v?-Qi;|eaZl>a8^@goF`2sb z)lp5!)Exf)4}5#RWa(R~2>|Fo#X+XB!;B{k2)~Y32*=oiaa{jb_F(`rvJAeOTqC0W zJAyKkyo3q-=2`aaY&d+ff_=XSSpD7~B>CBhWR^lEAg)!i{$xyNx?4&GWDGosl)xzD zM^#d?n<vp^U$<XsaYZ?pDDhf?{#Al|Epr|3KFJi1vFkO<q4!tJ7bLZKTRoYBht|@| z&!f_d&AGxkW9j-yFvx!x{NPI~)7>!g=={vHT}QRP`4mp++GmvmUWC~2J|oowsgjm4 z)PhbQK}5qknm1FcSohy^<q8zYvFNGI*>~{S2o*@-w|S$5isj;}s&1s`C%e-{ay$Wa z$e~Ubm?BqG;95@gq~*|n2I~iiZwmiv78ah5aQO%$wnI7(JHP*8uH$amg9ESS)`TG- z;$#2!PRI0at%&C!MCN8Bl^Me|5`~{`+QfBW&`W}Dt?OxQ?YpyE>iApCw`wI5I~CUB zo!@nsq4(-%nK;V6{l<rkFXW$`8Q7$tAr$txdtC0shaQv1?n|5|XQg$<<+h@RnIwHf z5w<b29iNenqM&rcZ6Rka4i_T_{df95j4N`;7Am78YGZ9Un5+&mxTkSYT}QQd#+Zk& zKpy%)|I89HxBPr#0cq-}&!OW-9i#fhuPRjT9Mc6t2#+w1C-6lT!vPLn8_!dwD|#Q@ zbHjeIY|s%8Hge*#VS7X}iP;>eyHPj-sulw*ryDi>mL}``l|n3J`Hel;KRQo(+qkFL zKZGvo&q4|O!F<&MPt|#WATS#xQ7(-SXgwt$Y=3~-CR#a3ujtmL;ath$Ju8!D#K^)r zYuL8}^QghitX#;Pigcb95T{a8Qxl5kI+}0leH|GJ+iM&ISQV$W`m!>E5hFFjxikIk z79bg5bL;<g(dNS#YP6udtef8&7xj@G!%ED4HaBRH^lT&=oxaLTkk}kEww~&TQX4a+ zNwDeCglOr}TPN7DIjp;}w=YQDS7Q&4H(_Q3X7A}(0=j}2p&^8(VjrQB-a0o@{~1XE z{-zyqK6lCLA_D-r$PjmCQivHR0_Z;yj!Z2aPv+;WHd25I6(S&Of83NvGT<`3_poV^ z2f!J81urNGM>Ez91*BC*rbhJV)`^Y1X;sl+T4RUb0Biw%0Q7gB!0TUecf3w}x0Z@~ z3Px#p%e$QD@z@1Xw@p}kU8zs*^1tnRgo&5ThQ&w8>?bu5;L_*ZfuQ_Zh6xYE)_H6< zcfyd@@xUhA3x7njo;M#$CW>*RH*cb6k-n)Qg>7PgjZn86p`H&#vXYSQ95Q*F=Fg7X z6nH>9le@edr38P`5=jl$_NM|}j(ME!T_i7LRm&3p3f~2O#~S}|4aQSo2d{q<>n45q zrsqecmXI@jg009T0ZKs=&lARe0f-&2$?m2oC0&vK^jgQAmunq3SwWg@n%|xxfAN}n zqCnNzS7(D&4*%j!a0LiJMTmL(M6rJ(;QB~v2OPY8K;npa7+Yp1o!_DEcuuHccQk;1 zAJtFW8p7q|;dUAEi9ErSz0(0h%jsh!q7Y>{euV6FRCM@Q3rLW$YPB@p)LR!F&sI>` zg_&X=e<s{MRGPVee`n<yDELTniLB~`>B&o!nox;gRS$I>pu<Is@0=P}Ot-PYjgK@< zvrLPXP1RWZVA$w5(7k*ck-KeVhwTY^H*~mhCw1ymqFYldYU(b2^T`ZTw&kdj>Dh8P zpKwI-T=e7Z2#D}X3q<J3xr?2JzE2o+sUM1*tT`dCm;l!L&YOx;vgQ7QmD)ST&l75V zfk#y@F|fn8O8Y4vA(R?DW_P99LG$~fIgip(S*HTGW=wOXZa}{(bB$~ESE0yC$ECgE z&y{>#8o#xjb+AChF(#^6@YOLu@Dd<Pl#3WJmrtep07_d57i66XYs6d{gfjHZI?%^z z)J!;+)~g_LE9g#YHZ&<pur%9dh6y<gbu&!<5?~QUj!UwaOhv<tiJ<1Hcg)%^HOz3( zoUTy0%02?i5;%40M~_#QEip`dM$1`zt)r;&MaG6R=hQIv4DL{p4DDTc=d2=&_9QHd ztQ0jIFNi8png5h2wH*Oy>7-h^K+)f<9J<7;FyiTA=+ls?qag9_?BaEnQ$H8cUR_20 z@$p!9hPn*!xob6i9ns5T&|8*QaYPd(UAE(p;Fl@h)o9+M!BZZq;+<S?(9if3_Xu28 zmUudS#PM929}#0d8{!PqamL7>#8y1+cnBk!Zb8|Q*WY^cus0Grva#O;Elau6ezc&; z4x1*};0)&>-2L$`SFTyymBx2x%mBUVfQq^?#YIGb4<^TWaaC~$x^ajhxKA<F5z!6P zgo7n79cnG3fk%&rx0bVR!Rhcy)`a_&UD!Ibh>M$+mX?M_0X5Zo03M!rjLKS<AIE$^ zA^|icfHy=Hi6xalJ&a|IOdW|89+Qw+<6TB*p-NT^ZPfRwztC*hR%{=Ie3y69lTwLS z)Il(eGA>p`v~R(tD+EYI5<Yg>DU{)$rQyf}@B+{1V|IV=5wSQh!C*yGW5ES~@)#Hp zQ1~^Ou~h!qM|FmgSO6=|il}_5Vi3S8RsnJt%Ca{*Q;?6QV(sM8Zs*a4R|}zo9(C&u z#czg50&8-fZN@Orw`Zk1)pb(PdOjkvrS0#5Im)Tzq7{L8LwixtQNZYK{Jb}`MfmB# zA61=fwwTksEZ!JM2Mmy<TWKIM4ZJbgvv+4wm=Znwk}~#s*{JyqB)4Bu)HXKMLlHD^ z)h|idqY&BGbQlKz#TnB0tF((}E8CzYdTkn^bcU4#O}Un-Rl6>@(RbJo%>ga|pETYl z@@_=^?X0Zutp|%5J-KLj6yE2~5`#K)8KX%fSorANZEUN{0Q4ewEj?;XjUnX)Ml@=o z(c!A;@4hs1)zJ%$jT;#;k%o8m;<BLf5l9~W4fCU`L^k*}-jN@Zdm1^tpI_ATt0y^c zS5Bs;S`Y%+goJ>Ww$-z?Ol<!7He(I}i*7SV#pUsF80~A+k9ANqzU=LQhkSMjvV}tv z##@v6y$kB>cW&JH$M-C{Yg4A%&7w?-?!fpwrA&vCX+QsM{dRLG678!1JZTVLoH5kP zB-%c(*KY-WQvgq<NSZgs9u{F|#)Gt{ftY_zSQ%A<`cdNij-*WeqZhL+RkDcrr+Am~ ziS8A4JsD1tU*F6{*CrF6Qf}cc>y1?c>F)63U4L9VOSQ64<Rz&Ve$Gfxc&wdSi#|gp zl&ZhFG3O|}s9(>%L^*Hyu)YS0Jle{%khmZ`H0)$AP>jcPzDdgd`b*BsK%nZ7wW;ad zextkYoWcG|c^Ws6B(k4|LVue}F@^qex5|o4ryJrCa_}JbeuoxC5dK?Aeotd@0!N%T ze}~?=p{gYV+wue3CkpuQcSej4$!1B2M2Ik}SNqfb4keYPem6rpz-@fc;?BeA?!&f9 zNN+e%sAuNt^l^!y5{e3UkrTT0e%qw8z*}ZQadY4oi^qhRgrPmna{@E|17pe9#8Opb zvi`lr0Vxw~XIkx9f1;lTj*lNJ_pcNC2`nE~)l}?)4n8wfHXSvq84AUsO;AB`x40@% zw-A!5VT9GCO!l6)Sk&V|4a2+J2y2AeXSkWlUNBABbF1qCv29~A_6~jmpX7-93fGJH z(9vtawi-yneL}>z#8*OI*ajqC{yL6(Va~~!<3-ezNiUJ@#jts`5-o2T8upny6K(_K z=tQQsj5IB$B5|w|&@bl%CXJJnw6g43P7`ZG0{~JRz=!k_KK237W*7<{8FEZ9X(P*{ z9sqY}QMeQ<^l>imMpsM{(o9n*pN&CMP&asw-CtB!k!w%Kk60NkO`=_m&ldT@!m3?A z(_U%8e@RoVG4AhDh3Ey@BN{+hvTiPj8xirD)`;Jh%D=bH(qfv4!;5j&{?BOSA4O1& zvDYP#BP!;<wGl#UQ_MfL5z74M9N_P&C=l^)h>}l@{5S3MH$K6@XX6CiE0+RgsN8bl zB(Shh0g|Xm`0)J(=uGg%Z+aq}UlFV9ddoqJ@HCl4_ps-l-#myXs9uO?Fw@YqzRzF_ zMTLd*6+`q^+nsFH4gu$YT|mbIO|6b)%)Y|e^`<*tp{kwqHhH@KwuPL`wzC9xWOE7~ zi29YY(=)`&S$DYK!#=yz$n9)aAA1;?ZaYmrx9isun&DB@$~wf-5WLo?VwAw&+PU-@ zH`PnKI97{eYPQBk?+Uaz(pk+lJr)gi(6qb{7mR2mD&wi9CyD`UTt&DrC5XKLM73`o zAdZy#X619A*2>WH5Jko|B?(KM`_l$GsHcX)Z3CDs5j4A942seq?{tt9B6oeFia}-1 zo}!D%rV(CIb-XOA45`GXt#4leZkRH6BMh@PrHCsJd~W)lY&}XLc>4pM@>Ha@Vi<d4 zg?m~~RJCav0U}qz$z8c=L?50TK#5A7qKxK)cx=xozJ#i^guQHEE#K&rPnuf>+L{#- zIEItXI8^OP3Dn*muUNL2SFdZr4yJoGj3*Q;kd4n1nyZ-iiD9kUe%oSnS1?TZJW=t7 z|3|6uU5Xrh4uPMlLDEgzh>K-IPd4e`CK}{)<*)ZkHR;B`83tsZ8w@VAe<JKX^$$d? zOE(pdzY!<~9yAI1lzyb$ZrCIT<>1?lOABAjDi`1)uN6#qfkv<Od}7^5SZs-W6mgoV z!-6(D_4=CZa`E+^iwrJyt2#~H?UdbgFt~<%^sb)O9A(f(_M{{SmbD(o<2rcJ4+cJ{ z2iSe#6YgB{IT6vxJZ@ZsWGcZu#c6iwIdoi%P)QE9Zpyq3c&cGi<HE-W)oQ&(j7i5Y zjPp7ev47ONMNlA`+cwA;hxLCCx_&DmvI%$`>g<|HM~xxQ*IEA*{PM7Ff^EW6EUf2o zPtwhsS0D<ZCvkU(g)uhfoWM7*z)GXXX-|`vCk{M3%i?le#p*d=AF=Pq6lgQhh|p3) z<6+1Z-m;K0ddO?O+TUmcd0K#rIaQ9%xMN=XitA8sk&>|JDuxO~kH+DPOhI7-jMT*y zGUVmqEJtN!Z0A{dblz~08jLy+8L4qo*T%m_no95dxt~STSG5KDc=aH`#&Z+e!Tsr% z4QeaXC7a`sBA4D?)Jsi92)SIdTzl<(M4sP7Uc-@Bxc!IrSu>qk(DN+fMPB|A{0DQV zSXZy{)n5)U4WZwN-yI%obe4&2EFgh-Y2e%z98)jyf9cTR=@)xTZyet|dOwlLAe*Rc z&4fJB<+O|;^hs(@2f9g+YNEFK1{g{@Q(cB$>H%pDwO?qz)iMNVAL$o|VPYDf1@K|^ z<mbtCw}TsuBlt?SL9!O`q4Q7+a;Z_O*F3+2m{chtby#X{9qX?+=CBIrAE=UP3S%rH zIi+I!$0O+~R4AxmG7YSCt8x4W)4Ff*5~{LChk3N{9txoC5!~PN>Twx8Qlin$yEuAg z*M)<EWE_-cHwFr;)G02-cK2F5R$2PemA=P2z%F9&q155EgY;L9@7CKY4^h7L?CF=p z66#Ugze~ioknfCrmsUgds)$_^8F73JOG<yvNih77opRu3S9mf(BY;1E(A{ZUHs+{C zH(Ub^XI3_O7s&%QY?%X*y!@8~4$m7K>r@vP$>9~UWR%=DUgd(c(F<%EnAqmF+0!Q$ zKcZK6^5u!$JVA$Y*n7`0{R2~3gy~VHdZR3v`7(nHi5FXo?%=9B<->}7n24z6KEtA! z+AlC_2|<=xdT1e?`eD>k#c-l<)s^fJI~|MugcYCAZ*{8+?>Z|rl=3hd=C+}t3t<c} zht!ScH~q$Bs$$z&`iMGnQTQL&_l(JV1A4tSmktEXY(Z+VD?}VllX*?^^=I#$OnV&K z-)}^8ZD^6*+yNAkD775vHqq=irqx`vI4hHd1r*;wy_b%|Uup90o=_*99nw16X4}ae zbW}#}9p6nqa=4)kl-u;?J?Tv_7$+Jo5(5m>Gr!a-!YPESYHcJ$X1fJt^y|v%sC0Br zD<b;zX$iTpfb7O{9TJD&EU$URH34+WY@}G)SEl%=m0K8<sQ-GXpifd=x&H2~sYU<* z$RS*8gpg%M%)duSVw#B>4Hq8x><OQdF2{a5r~$5Xz39gg!vr(d*WpZsEQ(CWa+|eJ zR5;HMt#F9Lz#r37*CJ<us%pY{XJO<m6so1%=SB&o6R{SWi-w$amO78xs<v^7JXYn) zlvqy!t^q&mO{4n~crLu{$u%igbERisNCKc5>GHuK{i@vl3QPNi)EK;1#*Nwvhvws& ziv{hAn9Hv*N_X8O6gjGDzSgIzqkK54e)?E9)LT<QOxdq6AP^<DnD8zKgUA};+5>hr zl*u*Nt@UWk{R?q><F?8RCf8vQ`9?auK5OH-21~-X`U6e9n(^J0LcT&&>P#YOo*VF# z1T{qzC^t@Jq+-g828vrz`3psMdX$Db{5;E+L}Y@O*i)H_%X)Z^SMozC>{)?!EPJ)_ zG+$w*-*_67x#70(L+IP&ak2;<oq_aJpuM*{Gunr(<s}2*b}DJ&Jow3P2<yQZdcX~K zDWs~(V!FL|<7uLdMZwEF7L9bML0u;-HH0G;pp|n|%{gzJo;sbhyV~}VDpS2ur_sRd z<x-<^+JbpnO`!DEXQX@E7QbLUXkMV4VHI=)Cj_R>26#WIYxw9Iyv<@SaZT&3U~0g& z+|BAnYlHBd)ovyyJXIoK2|FMrKcG_O!&s_t4X?##DStM=!ZQKM!^5o@B#WXJ8K;*8 zC6~<ku3~CslIU8dzZG6(iL?+rJy1S`LyBA*c4_PDi*t!aq>Of*5l6=m!~9vhcBWF` zAxO7wig`0-Y9340`Ax0v?CWVbQo>H#%qH5K;Ay|aQ|}YI)BMNm6U45CHltl>ZC}WM zH7ZJtFRy970YAZQVEoH}Artd&_9ZGc**xesG-V)e%yig)x@^80%(<>{q6cj(>!&I} zN|{;JWb}e$4))(I#p#-quGt$vYVE6hML4pZhxM0rY)NNnlke^F%7_O!R}@``LeGM0 zNM*)!=V_gn6CbRq*9v*WG#kB<6K|Nrwc|5#R^-eelq}>RR?IY%Qd+~vfi+Jxy+>Ow zdzKTPLGB^-=#!B*OybhMdzCPQ=WDe6`w0D17MLqBXfwF_(|j54FKHMLee4X)&C+!7 z#bHh_wUvV!840ncUT-*fi!Dhe4VEXvrIn0j)e*&Y#7IVp;$pzt$+cm*3>c{Upv=$| zMX-CGVNMT&!ruJg%2HqFyVzx6<f~Iz)Hg%c1tHXt<YFIFTiX*J0jkwiorjEVxCpbz z$?*D#U}j_uoaJH*Pod7toEkZ~`QN+%DuE3@Y?>SGCecR1&x-TgIzr-xbl>q+rk@Am z$SzCQQ1Nwt!t5jUA;Z2e2oiC+x)YQ&(>VGf1yn}^X~ftqmUI(Com;+Q<wUDaY#q+| z)UFyk_q}$<O($M!@3ZYY5tKN6CSBX9=KeW#5F`{u*6aKloIlvqbw^Rs)OgiX*(dnA z5z^zj&Av(7AULn#t>(@q=$te?7PjHaoMfP+;*EALz#^4c^OSHV$0RahYww*y7E#B( zFB!@MdKW1!NJ3jfdNu*GH7B>{XQzO*B?jP}Fg12?-pazgRDNs~xxlV!GdY1R@kC-6 zos(%RL|!<~Un@<g2Ii{t`F500u4%i}HUm!^KE1rJtLxd*09P>VR-647!2aUP?#?aD z?{|w~-tR&2AAMhTu4WK+1Pq8g8}RQ(&}4!OI7o#B-g*j|9+;E<0#HJF*IY#JacoYq zV@~$?5{t#(cKhp6;VYStEErqzXkYv?MC1%)BxdTuRlTM@TG6ua^`^1o8_5^V<?oC% z6j)Lh9T7<F)k-W*SPWSa??orb>H?v!5^a1|Q^2`aeJqi(!xa?26@`Jt$AkM{8XzJ2 zY}AB*_QId>hwPuIAQbGRum-S@6n1g}9c`5VXpQ{;=?qG>PvU8Qce_#j-dz*`jNj`A z0Dk`jl!gsMlGzz}|IPe=Ti!%}6AJtu|H=1<w=|3e;kQ&mhzJ52|NkL3BWXZn{x^O7 zZ+_Z;l6w+XgZP)f7ZHo^|KR`I2!;dzu>Mw=<UjcTA%7fF$U#H)@AUp#5&y<P01E^{ ziZ}=%7@SD|%NqY@&KCe6`WG3FM1PshIFbLY141}SVPB~Jkcm3DT6z8(C;UUuf8X5x zr=V2oKQjn>T3h^^3I1^({FkHqpR8FLwh58sqW@o3_z%AS`mWOax8Q$xKb859U=crS z+y7JWziym=hK~x{UlS>?p{f0g8xelrPyxSH!~m$k0{|%O0028cmRH?X$L_5&kAa-3 nwW1`Cj*7<{MQ0gFX)kF`bv_<>7A<QRPjy=jFLxFzE7AW4ZRT~? delta 11229 zcmaKS1yEhh((S?B-8HzoySrO(ceeoH;O-6~xVyVs2o~HmxLa_0B;WtvTY2xkd#a|+ zu3f#?O!w|R-P64?%+^hTO0r<!=l}o!8Zb_6uboSrIR*m?0FXff08juJfT@WigQuOX zx*7xkjPw(`#bA=6OCA&U(2wKdVaH|uB+$wh_gO?xb@%&iaHYGXaAcb%sWia+?F{2Q zmmbon^UCox-^2J9%5&3So~ss{LY~sv0x4?R@r0C}@vUUvWqW~^`u@9vGi)%PY!*Ir z)YAobW^B;}3nIQJ@^;WZ9h4@e)$qTzlv3>#UsX@q4#>z?9U+R?$5n`p{dNt-RW`Rc zbF743ULA`CsYExmQ6|w(U!$~pdLqW9m{NZEsX`)B8ff%tzPy@?mOGF}eEQ)0&Ge$G z1XjMzLjHK8q$&*<zFD?MPM*42>^BCV`aym|ctc;3bwyGZFC>JvZ)7P_izFy1=@+*o zjZ7WZFPV+_fLWKJybtT910}S3;4@zBcGl>jVx%SIhBkE_q~AuF`Ys*&(q$3J+vmTM z8p2du<9vttrarTp%-@WOQ`Ho8Uj0pvk#8Yg1nd>vi;Q>%$RbY0Mn>#KtFX2_=@f_q zo9{`}xQd*t-WiWH&QrsdM}!7{HtP$_IZiEp1LJ94ts2nFa0_IBxAe66VP}S!w%xgU za`$FZMUTcUzyc;{eOsC==aQ6}DlAYWE!nXVk`()iQ;v%h)19_-bt}L%a4F?_c7*h> z3Ge%*{Gv>r)Th4o+#Iup1kZ?ef8QYg*jHgu1o>C>x|q}IirX&z_FITkrZ6MR&`K@^ z8I9|pBw%oiy<qp7boCwHU#^pA4$A!7Md~v^*+9VFeJODYjP1`2XmENE=-<x87Oq2_ z$PdBz`);BG1P0KI`1g;Qg-LyuuFa7xlC$98XfLb}+y^YR1S3^y6;>a$PcXCPd^lh? z6rYHA*5F2DPyhn=u-^EM?R7a%We$XE5t%STkT53+7%!2Uv`Bhv6yVD1JS$Ccpuu*5 zyhHE%3!6obGlx(mjzd)vzead8c_>{o;o5qJ8e3=r2(_0^+7cNh@xr<=gst(hOSj=I zCPLpY5YAVE?*s)a&+?;wVLt!kAPk;*QmfaDJ*qhw-88i&wqz7{KM~cWCA0qh#C&f= z;%zf?+mHMH==Jmz4*mljobjQ6qE%D_84vWnmr^%h&n-)BprH9pwv0_5mq33Zq<TNF zr>)zS3(Rjz_q4Rjoe{w?-sV|meWQN{JmDEs9e@91)O*E_BWQBg3e0-q35*HuZ(FxP za{n>nE#w-^Z_C!G?*@Y=u0qiOe}ANQnrn%8k|-PT3T-J32@;)mxvWmeL?B=>E(t#@ za2$uN8+l-4mq*V=x>)0OeNsM?ej99U_euK!mSEV$OvFsVR~P|BwVvZglW_5qvky-F z8IDh6mt<G+Ablyhfke}7`Sqo(xVXL8!u|HJqv&NH!N=94fp^)vYnlispn2)uWDid} zUfEws`w^-?5#zWNkMmA)!2^-Dara1OaCNOx%2R2Hh!FlRn?Rr6%|~LUA@sn&n@e19 z?z%0G{dCorDvk15#<HGm_3iHX&IPFlm@gPISakSBc;-~M;Yqk(e>5-zXW;~5h4*7V zKO+2%f6zy$m5-XCpQH?dMTeENZYT+9$6V1l+ia&*t=fJ#V={C`C@Q(j^=n0^7$ni$ zlHb`1jC$xWziII!0O&%lf=1;|`ieoc>r`hX+@ZPYN=hk{6x2-T-R5~TV6M_)E67cR zjDFJoid7mA$z<_U3@&$t{=gC`0!K|Q!xK{>V`wN)fbIgn=h({v<R7(N9<R|gnR`y6 zfz=a$*UAxEkjI_EZpc{A*jS%5LJ@Yh5%6aL<p&FeMwBfH&A8nL6UG<iPbw6LSr;vY z&ZmqephQA4l%Pu&`@p5}$OQh~(KF6xDC{mzz)sl@*8JNcXT*iT6qpgFLO$S}@&^4` zXpz|&ybo&7nLS_>Xji<Fq+#X>y`R)HV=Ye&e?M8EYI&4pvm}0BbJT)NmWk~lCWUhm zUlU^UYSzA|)wRUFnXi>8r2U1@M@~%yyUN>Qf0O)5I&(Z@W>ME5D0C{!E#W8DCc5!h z%N(f}3}NqsM~3V;DP2DEce=3qPbJ3G6S8;g&O|QAN><1UK-H?xGOF&OyX|WbTEeyo z3<_9VQ57nTN^PVSGAF@l{n|P^Qs?kKh;GtUV5BULh^k`nI*}JnY(bk){4>{foWE)T z*+Oa^3+#-`BzgggDw4tD?R2>H;QN$v>ddjmSy}$r45u;|rCh4)o6NPwr#;qRUb@y0 zEWg4tQ9iN41JQGt*Q2&g>C)vU5AOAwO_uO8N+gBYcJUX7zlm{G0O+k(72fjT`xtw5 z_)wO2PCZEnT*Vf@%!K>!Oem3JiIKiv(g|bj7q`~3hY}v0?wNXH<iu(_%p7xnX08Ju zny+LnkrxIXgK~^R3lIuVEkL#yAL(x{)0cY-XJBsv2f5s0?td=k*kh-$2oONJ?u5M- zwYavF_(9h}r<Nr4S(bu}FZSR|D}!<`4lKo>*;X_knmOUr+uNWXTYzT{JT5^;VAox6 z%O#S1vgAjp_9+J!?zkH8(VuN)*L$es5>4(#1wJjkm_s%(&k@{LYCu-Wlx}P@iRsDs z@3#{GBNN&}xJO;}T7|y)LROhr_d8XN!=D(IaV}cUu`;PlFTwi=OYfU+Ny~ZJb3(Oq z*|<R&H#^?vxiaY2Mpv0U*4Kned-Wb&po7-V%|(|L_eV4590?Nm<67O4)rf2ogSvr+ zqGnb}L;Z*X;r8SJ?dx?xK}O7q6<QnZlb4ePPR?w}k|=h$-PeJa(@tM%4*B5&*+JQH zU)i~R+zL|ztG6pqk0H2>uL6`S=d64{x|kuX=DJG_Posw00UH?s1)qg`S=Hm!2=z_b zvh#=bwmt+G(1$v(j+G*;veKvO+cu{is0QzC^19wASLQ5d4B-2u8tK?k1fADPD>e=S zYhB^HejGd_-et*31`yoac~v!zUVupy8~$QA;+coI)bPNCyATcez&?)tLi`-Ig_`bR zx%Wnt>`%m+=@d@YYuDz-PPI#Hn@0B>WK<^H9M}aSXr{%~Io`FqY;z=$;-5V==~ixn zwYguW)qv}EK8@Nj<~X5!7pRbJ{>zF2Xx(l-Y3<Y^TBuU4uV>eG^XVQ!)ZYEXuoLeW zs7wB~a`67vS<nq>N<X6&(<j(IyivZ6zJV_+`osjjgO2W#-IE>+H)v)lfVQZ0-<!rK z?gWM2DM9^~#GgQujzI(S)lJ0<4uh>b>^Ii!(dYB1cD`|7|2_LzQX|`H+e-*GFb#aN zMPr^uhnyUcW~{PQP{jN|YdVyK&jK?^6~^{_-CR8iJ?;4GxcRJL+VSpghZ|1Pf#8K* zItDwu+k3Z>++tTOLzi;o`v8oDC|3RXIyB70UdxySxD#pZ*wL~{c$0(=u6`%wwR}<X z+xHF?TFM9~@Sj>rEbcj^&&To@KyOfU@<Evqhza|10i3AYGK`|~@DWyY*nF*N$8$^s z)cEJE)8_|U_UB(UO-&x_myOCFeHW^uAHdbmTkbQY`oDO*{c_h2xk`NkqNA!8vMuv+ z`2>OHJOoZ9TU6=ov+hP@K{&W_{c>2xzS@z~*oHBlg&3;3G^L=eLM>Kwq0kuHbC=Yt z*gC6fFpK+&@-eRd4dk!bsU7oxW12{UMD-_Bf?&h!-)h-<YA|z&i~LDOIE~H!WF_28 zOpiCH{A#0-L?b>(uU9zn-}+(Pz(d=pS@&QyIQ5EGcMn@dWY_qDDaSBd=O=VHI#c-O z;=DaFvw$}foe5Iz3D_evZ$&rOeJ?AmEI(yJ^F4l?9BY2qX9<XH9na4MCN^5~V!CYY zaDV)W!kfvrr>SToX8wczIB%Q?B$@n_9x|(AKWW`Fv7GHmUJPep`!LaPvonR#>&kb^ z#fhKiN9*ABLR)p=lK(oVHBM0i8XxIxMqulR#E$?HFDy75UmGGA0E4iKFj|P1p)(7} zF=E@>G2(z2e1&i{oBWy&@H*E^(y-bj!cV9h)QpbH2*RG23Fk^?Fk9hMFg>63u7A*4 zIt^Idno@1mF!d?)VA@S8hb5+tg>f~#y>t(yN{R@XI~d0;sb$=xUk^L)9IXJLNXfcX z@o0%8q%87jwY(s3L(b+EerF<-31qW7C8ZiWD+aAPG?X~fpD$7nnAsRG(R6s8(xlqO zZq)v<DnD?m1LN!AD10J-$*1vIeAQJYqV*Dk{FivYTNmm8vd>evk6s)Ak;eFzLL*r< zO_`zo8pk#giWXPgO;a-&S&yCyrT8OdB|6Rvy>^ZRlx;p?u_AtJeYxeN+GE)@@z+T4 z`qfLX?AZx~2*&CuVC`1RVC4>Z-tEGeSy!}ioCQ|`<{)sf`HcumrpGG1uy2ec8amW4 zRrTeOolI@mLGAHDADb_BWO8v&SSa{wg>3UAD7p6A{+<J!Xu!cN6AM~}ES7N1eF;r| zwE^l;@gBOt8jW1|2kW4YyUhW4KcPwUAAEjOlnxY$oqNznKqPP~-t196#tA7a_=-q9 zuG~Po95b*|(oDtj=aEu44p(crPk1C0UrJ;-SG;LWj5V1{m`P|8Gw?9lP2|BLP6_sp z^BfKOBfjtg4f&-c`^rA{_?q945X|8PvGGp`UF>{gse;(sw4yd!(jJ7X2O&5ff75C$ zaEsJ}LDqc<14`ErWj2h=H+lEfB$e^{^V|mSCu@H}EUaF1SU9Fp$W_0~re_mMVvMB{ z!e%E?DBNUa80m{v{nF6Th>`FFy*-B~eNYeqG?9?7%9?{7<S5TkNY-~6UayV`$1}iG zxNAO<Bn?7_q`cbSMT+Y%v+m%ay4K7Tv8q@4@FoSx1H6|l5FnM!Cv}@&Aj&m0D$sjd zNyP#mTU|g%UFLHm*vKYc0)OGPk)zM60Q!Qr)<51Zo7ZQmrw8KBF#6KnhnbmohM)h$ zg87jF)o!cSh^9e1<n32wRjP^Vv_Eikoh3Lfyi}0j2D>#a?FAdw@`*t-l)-^l1`eP$ z;}=pg4aAG2N8$Cx`+CZr0J>9{C$wznc)s|M2crFYdfSnCw?VV>dXfh*M~EXdxvER# zxD9Q{A5cLn#sgj1=+LprncAF+i{_#=(YiRut8TmGab{bym-iF{(|LSa#u9Ah&M7>S zO6Yfq;H`$ezyGY=&l10I09JkV0eLHM_9B|h2iSbY?7fzF?HDg0G3fhim*a5Ahk|0G z3}&zG1pN5a3`nEj!PUDQ>o<Y+wz~1;2@V<}(-V6`_;sthP;cM7>F{GmJsgY6{LhIg zjgJ7VFbOv-E^!)dua=k(m<01rl$d~!D&r4kj`J89AL&6tok{uQW_R@c_7tiZB>-Yt z(ZJP_E8L#&PcJbuo`*Rept%&d4HB|y<OH+jgND0<jy9i{YBIhn6+2s6W><VSs$g_= z2AhO;vg|t3+vW%E@!aKy*$lnMF-r_3ym^e{fprBMQfaJQYBzN>3k8#z{MSeSy|ZZV z!anCfmnYY)Rf&WAXg(plg=J5Aza%8jW1x%mue2=Z8xun{c|L<@%#HTplJw8+d>{9U z7^x3AgWe$U{ZOuy@O|v2pE|oy4re-r1SOMJHqU)QzTQWE*vrw`-fdoFi=XY;U$qk> zWC-u!tw)E6{;HoOptmfW31sKslGa`cd2<D$m80ab?}EU2@qaS?3Ci%jh>orWZUAU) zX`4>OHkvHv!}|GKewgPWt+FD68d=<qxVCYsW}3tq>AHq7vY;!zGDLizR_D&G?Nn1Z zCfN+r_w5Bp=v`T#f=9cnr{#Hl;(aE-8oLQdRu<~#oMY#QZy+KpYFL`b&Y>rXckCU` zp7gK5Y?xXq-vD7hqef_ta%k(!IMsnrX5lU<TJe#N%n#-OiTR1qFkq#Up$B&Y!EDZA z8IG^m1+x_W_>P*Z*L`AVqJ4|(TkKjyP?BxP6H>!gvq~y~bPH{;jcT9rT@JcENO~3i z@-HV`hl>;A*ZEs6lw>BwhZ@piQrKJ`-qZ+w-jwf8?S;>6iy*1n#z(mE7nHykwLsg? zNKA&f88yX)=W64M<<(z`YCZkJCrS9nj#_L}_AA2X+G+;~FjL!}-E5T&Jqp<EL>V%; zX^XuWS;Jg7f56^N$Mxi=S9@6Yt@TAa>438%a;+q()HN99eflK)vZ0nTPV>=OE<68Q z!~S=6!~Hh;S4E{W1ALe+xpW)g_Sbu{HP#=graRwPoYLqVSA$Vv6J`p9;**=v7A)$Y z>6W;3EQBx+l^Qkb!cSu43K!kWaU^7&1P{Ze*Vv(z4aX403LMIEx@BBYgxB*JJ(FLk zItfrE4cCu!;Bc>9sV*A*lJ$(?gCiPrE8_W}7zapi<kiyTKdHHS8E;Skc|*avgx!7g zv=9N0@DSuC6mP3m)8Z^{&pt8n)v*-K`|fm{TbE5KJMDeTmV+^opW$rs#@#l-)ZeN! zusO6+$UinenlBj6td2`hoCPw!bjD>X(~<Yk_JOiQVfS>2O0UysB&Yf34#=Ozl6A2b zeF<mJ)BEB4=%I*Ns)<DltbPWCi^QjSnzI)7fjp_IV<IFu__coJv^)(Jf6iq2d3nE0 zUtg+wfXYfu=*!n_4Q((F<-CyY{3w=QtFX7WCOkn*QrpYEFPfV3jD11EdQbicnn7ZV zDgj)E3B;eTD}I`Zpz+YF>=$r^1l(6(<kh*ys4hPgO=3mbMDo5$%WN5Uu;XzdMoETK zcz=?Mt_ER0l~e8nMU`?v5$xwXy4R&Z62VU~8izIMlf=nMk&%m~`rk|n@TXX~P4wYP z4H^JYOZ3Mk|C3HpH8Q>!p3<p{0KDYkk!UBwst6swkBtb`caLb5bk3=ErEF<z$iAPB zP%p6-ow^XWhQqIdu`+{|J2wHFfMWoO!Y#|0V>g+{o!EVL`?&|ZrNhEy+<L>_^g!P> zB}fOnM6r<=o<K1_x9R3Sxg{T@32ME0K73h%G9Pd@OP9It1-5PioA-JAJHZgJwO@$~ z%Am7<_(P;Zgrx0Lcgb~?B%0GEN%YSgnMg({P+|m-_=B09-0`1ly!c!bU7g42R6g5p zU1Rj<%)%(Gq#i5#=IF0>8Hw!B>4XWqdEX9Vq%-iJn?66B+dq37AVlz?c-=PjQ`KJd zoE`&RA%h9~o_@>(?<6S3TqE27SJ9?q+<PIkp=0Z(j3*Gfug6aXU{gxXG;WnDL2T<T zEl(i(wlU!xt{=HOPMKEkIVW_39PW^HZ*N1Z`FSXyO`TuxZS)d#z_8dib1R9)a96Y7 zb6KIkq}%ATL6vfwrt~MlW@~^|7J!2L_pt-1sjc;jZ1h!i5HKW&3MjDR4&cN=rF+Oo zdkXrP58v$W-F9;2kLkU*a8;9NAZ>Eg2My6=z$#f1>Jr7RBzooc*eNw~4JowM&1fjf zv7@{{w-3{Hxj~ZM?KefgMmy~2GbU;rFK^x4nn1n0sHxU7l>bBuY3iUk`}9M+i?Iv% zCH0X#8%mOpd8Ay$pGpkVI7&G}wSSBCG3n>5Bj4&<WYO8>I4r3F6*Rn*pU{@ZGRZ_H zgdbV-@UIr72o=g*Lsq%D60h{UBw{O#%6h*-EuBuw+dS@?GIO){pzc<ix+GLSmV|D? z<!Dr|k~%s<x43=j$b}y*VUkgVZBhZmJ`pYt9T8BI>rY^1E&NK#!A@JR(idzzT%<)k zWL)TGsAE3P6hc!R*g^Gl$idsW?~f#H6agDMoX^Z&6Ol_^r~)ruTQE!YN%<x~8e7Kf z1WcUXioLAvrq9$(*-b-DLOnBD(#387t8beW2_md-WQ+$aT-;dL#P1uM6W<w7Mp-AP zN8Gm4Uv(KYErnidJVT3VLA;&?Ge1SF;DW$6)CI>UQ2q7mQES)hxJ`KQ>}Gp;W%H+t zTN0&Iv2rcjV8f{qcE*G=VI~Lok*{+|Bb!0h1*4jRR1bXE{?l>+hIkYSq_xPaMTf7P z&<Q&dwzvG_A=xgRTrSmut^KF^aIlwaUshn8O4>P$;X3WereJNG?tM|mTG!15S9x+? zCdq2?1Sd9Axgntwk}JTjYN4@rGv;-0H995Fhc9pSCo+Z!m@>k|@ZoAnq!W^~<ZQj` z%mc-F%V`oJ{MQnJ_*g){ULp8?F7$rlAY_p!k^y8>Xp$i0fUu~vO4m{tBL)1tk}55i zoJ-W&pFAy5iB@s*h$8aEGU^aI!Mb@ekd}=o<T(J5Ae`qFbEzU!xFlRDz-;#&s<N{? zUQ{w$5@5_IEZSedN!QUill;xh{zmb5T6H&(9FcqwWvJAx%m)aFRD4`^EIK)sMg=8- z7UN{VIID=*q$YwXOIXnNMDP?k$n}}&K56k6#@7jfSHp0w#=^-Bp3Ymn*hSwdQGy|M zZ0WeDx!8(p&bziGZ^)}dTC%F7(=BvnIH4MqVNA<+raJ<BxGyQkM?m}#-U`Lfi`ug1 z6?tpfjmA~-jSh&yG(qhN$z@s1seaE3&5s;E!%@m%;p#!5%UXV0vz96QIF?u2vlC$~ zd$UYwBU#ZH$C0C1TdO4*W&ocIrPts>)O@8jJw>J^WmL(VaWf*+(Ae=o$Z?pOkeQ<T z8?p?Oo1W7!=h%Qx9dZDQoKYjh+5~yVlB15s?FwqVQY4V_w)mhysIGoMKX?(rr@qEq z^aT+jrY?WytZGuQ@J)Q5C(vBumts%lSP*M~byQLW)fAe(kI{_rV8rbQb>GkYjs+4R z4;XH}5~{im8#BAqA`F$aBtY~NXP?=<ZH1z7Yu})`p}JHqJEREI)qdlBRP$xaYl18; zOa|<u-UDDP;b2@eDb|th0?BgLIROU<7b1l60Wtz<hEVUl%c_Kf?U4L0#6(afXhCGY zt`KVUtIP--XmaSZYvYe~ER0Q~7${K^d7N55Zm%q|cld<}1vDLE#eBu5qPh7$4!fI4 zQcl5iEGNi^Z`^!(x**sRfp%XfJb_>%O!=rP0MZ3)O7c!p;>Tx&r+Nj|lU>+VwVLuD zUstZ@-LPbUv%vUj=6*Y+i#b8VGm8I|q_QU*h^#dLCd(($S}T_2;}(@a#%sIp(RJ>^ zEszi(x=HY`NDGzJDc<XmSPrW{Dm_-P>(xED6i7?}JT@h|+CAtnLeAl5bV$jbD1&vd z5Yz?U5bF!`cbzMAF|L#E(T0Igg_f>$2$VG@O_HOv4GEe1wvUA*7CT>p-Nq3N{TLqN z(q({fxO8**k(|0Hbu=&tqNTu(c|_2Qmm56PU;QKhl1!Z)${xH4dE3LwnrEB$8=LZ1 z_2SRd)6%+1u)+igv4uy_jE)uvT0$_5Nyk5c)jecGDwtScwg&E<iiRxX5Oh`&1}?`p z>xA67g=@XFBqR3_b%<{6hL-GXkvxkJbKld3(-zVrho{r}%T;KROalkx5D^|6pD7O_ zOkLYR=l0SEGV+I+*N<`HFW5pIq{ErfWTKc^h9$S@T(}wJ&GIT+INLyvK%hSho@mAZ zms`LCp(w^2iHRfZhpR3OK;+<6&yhs|5t8T2A0GzNcF;k{4%sc)x?qnb0l^am#(D9p z*d+{zR!X%R4al#oHA+1K+cXGmMv+3%xZCyO>|yD2Bg2)m3EBKKm`|+~tD^UCslk~y z;a^l0vM{KTmrDLO;rP>?OoS)-r*|YkqL1>s?EyhOuk{200Q?@<i5p;8Kn7=1qklCk z|70Tw7}1OXYq=;SF=B^IFm&VsH9&6(1u}S#5D9`9)3MQ1Q&JSYGY{FU5-fFc)>WA0 zTmB1?MDab5ECve7ni#ntGq&_`tK~_Sdlh~h?mplgumgB($2b1Erl?JpC$Z-oDOQkm z=mM&J6m0wr=-x`Lq8Oa}akOght?$d_#bTZOh};H~iPEh}f62pl4s;1ZxqW08md<Rj z39_Vv_*On}Xe(CGa4u)?9dyo?QD!j=39+BHLe8_)(vu;-;<r}EtJj&1>n2nd=by`L z&!p=S^0(L+`d!Otq>Jc>ct5ULmrFO@oTJ~?z15^91Ah+b*^?Z-nIG8Qcs><bg1#CC zi@(9<^SI9Vy7H_(3U9*FeVN?}jUeS+RItA)D)Y_QLQ&H?DPK1xUI*3fx7^XpH9TH& zm0~f8&GdE$EqgIzN?a-_w#zFqV-OTSa?(-|xi0W<x0IWoQt;iR0V|gpGi4wOe=l>C zFr$<<18bv=LwAegf)l9S9a9bNm7s$iQ!SXxWYR}jEjgOxrz^QxQi1ne$vj`jrDj{; zo*nnYaYn_d!lG!zCY+UaCUp(4%RE=PXYeXoXGomt`5J7paMd@I*3mnKNjd2l4;STl znqErz?)K$?>JB(Z@nZJve1X!UL1d4P0$)@mff0NpgmCeJ?YaRhZpWH;F<<tskiJGA zkVz94O-c_?hvS|(BgFSF`SE;>4z*fg;0&K76Gs}A&4UG-kIJi)hs?&@gvdLyUVr-z z->sm<rcqEWDmU}6#Hzk3)N!rPVlUOFpulvC4y@C!`Qbjb*^+cx)?`J*)rJvr%GV1Q z0@ObG4p-#w%U`+{sAKQLEEQK{$EBEM3_Sim6B$f;q?QG;Ducp~kPi{2_d2x?;!6w~ zR$bQqq+1I3R^zhqCg8y-rU@UbT>lg37D~L|N2{#C2Y`bUXeFV2_AlcCk+lj+sttY3 z!pSd!nDRbL@E*+TOXDk!g;DOmLag$>0diYsjB4VCLKXK}+H@znuRlL&L`%;uGHhI+ zaI&Oo3R$tO>|(ikttbgfr$|VF;rFA0vRb9!E>6LHo9}h1odX90ZP#*XF)aP)kVSRX zUiO&8*UdP~VuFN4x1DK_XXs73kyljpD?pq6=Z5v+g5LcH(xWoflMdChsNNqiY(Uz8 zH`08S;-8Rh8GYF=kJ22+2XGzoHqt}hdr;yfHDZS$T??lVhmYKj&^ZXy>dII#!a#Ll zFCbMvy_W@FUN-PJ<IzXa0DHs{vRhPb+}oi;PgV#I6}HLUOUIuR`IFE?xyM#A^2VXI zJThz(Ml1n-9mg+Qd@ufX_~wCAXP~&9%lBm*zu^)T5*Ao$vjQ<OVc`UF0z*)g_&geS zRDg(fOg>YD2(bt@3X@1!95iJ}5E)HL0u&L*$y$L%?TD#>%))|8urtmH85?fmup9F7 zCW_BMKCg>0#F154&{vt)QPVNWmiukQeAGE6FIvWXsJgE{%DM!0v1?E?Hb7ttBXe~d z$BtZj10u{@-vwt7;v){y3)VJryrr#a5D91`Qo07Rleh51MFeIk3(olwvGFnVCl1j= zt^#=}w>dx*Qdeull~IX;7IUv6i#zw&V%Z0vS&qfGvECdk7nF*<rh#TOx5!XTl1&1E zP|0fC@)Li|Fr+%~8UWP1IFK`*7T@n%gLOaO42Uk{1uRs5wGzCdjodTO`~#dVz<49H zsIK?mDw#qGAfNq<XS7{iNYr67R!ohg?&31&ThP7A2~E!XIfGZom0ZY87?$uQ5A6Eq zZbv_{)TnR)8-5RI_GF)r7_(l3KdILknOANTRN1V7CfVImwegS>3^3ewscF!lM2UJb z@44M@01U${kQGyggBo6>Do#xRep->zRDk!_coIx2nW8$)0oDFZc4e?67!?-Rnm*$L zqRs>pJ@zw!jjLEY57Aarp;AZT2PUM7khZhMoO$h1!l8(7M^RHV*hIPvMhaM!NsIXX zJP({g(p|VSPfEynC5-FR<0zkkbP>Y>C6EDp^>Y_lIgo$F5N)qw5FUqjhD+&t%piD= z8Q8%0kilT>;$Z);xDlP?pm@ZDKD6;ftsrHe7jA-wCF~jMT)i%)>_03Yr9I+hI9IjX zw1$kZ@zR6`IUu;mx#H!!&#kD~-gV+nkSs7!z;YoeS0EE^q@uQbp)wG0Uz$me{)J*l zp<0mGmVmQEN2$EmU?|;_rvcUph{2O7x&eawSfacuRbDgU48u^4NRWYsLKZBGNeiPl zrXBSNmprnyJfkFo*j(az*yKFwEb?JTol+0MXoJUk7HN~*B(qO>(zZbd0lU@O6lC7T zbM<w}5{ka%1o3O>W06-Xwrg2Gd0^HUNrkB6EaLI0&NhKJgWFV^m;!<|5R3*bAe@@* zXgv*QcpyK{r8eD(W+n;xC_!!NF)Ytq6$M53UW65a&@3a0TOtXYWy_f`S4GADPO(hN zf<up<BPY*gDzhZ7<}Rnu4@)qf`jJ-iwJ`z7vH?iLTDWz0qy4R$*aRo*-fZ9JtZS%T za*jddiB{7H{ra2bL{@$eu<JOONgDl8z5TFUxB3%4N9TeJTUIbpF^x>w#LAU?Io$Nk z&-~2hf{#UH*+2JOkReYx5#h(Ik0B?Dzj{mPP?wm&bO&(OAqCbYVs?Kdx&y^UeSzjr zz*N~ykoCs<0C!=%_lkAO6#Fv>2K<Q_hx7FIYaGQiYt&K@NhcJeB9JQ9T}5sHRuw(u zf+`tZSb<{2tX<B?Rx3t)_ta7lEu^p|n<W<sAK4Zyf87v>v4V&oh!i~`i17Y*<G@2C zpY6m=vAPa_JFIUQgYUJ@=&%{>-YYtE`Azd8IKQj7UBaUb5!FN5SJ6Fit#oB{nwh5k zyCG_D5GJn<<BtkF;?bwh-T#Y*1#}Wa1im||4C((QHn<EPrsn^0&^7HT`xPd5pN$2r zJn_VJ8al;@s(bXWUj#MKD%+Cm^UdVj@+y}vJ1px^O!O95R{XL+OM&xN86@z5^3HgW zKIb-T#5^3*u{C;}XR)>RffM)W-wQ=88zdicX=pfWqXiPRX$gS3_*7_8k`2&-&AVAu z_v3q$6sN3xW+AzVE0M8Ez9A<g>R>@z&60lOaDKvl_%$e4S(G&yc1c*Ds3{NJENE&B zk~A>7L05N6)9y_b8B~}Se2S+Y)S$RwiAbQRBx6|(n9*HO0-?PraG@un>`9gb>T`}z zv&2Q9^mf(>GQxpiv5O`wxh-}-ziTSk>VGMz?V{3p!RWAdl&A=e%hf9Zs}bR@MQ}+T z)HQW?tN{!`doqj|v&w+GNsbnQqBSPZ%y=W_STUw_MrqvIeiEj;XE^%w<yaEG$*VzG zzVBQbT@R@-VDW;7_t}2dN$dc4by+dKy^sD`ywn1YUx*$kMv|o*du5(eH|H*DOUOeV znlHJ~YVA_{GT$SwW^TLvn(@-K4`_XIu#K%BnPttsK16r+T(XAe54P~Q<d?88+xJ#~ zFLIk|-nNCe`^66INl8xe_crd-aB~nr`L>sGnbWgR(f4duK(ja9vUcI+UZ8);ow9R= z?`lhf0+|5vp}y`|Mm5-X&_V@C;w5i<{U99l1n8mib}Fn5won*my<E8(kgQmDZ-k55 zL6nQi54RUaDO%ufPNopmH;YSxnkY5sTAli0*T)gM4Arc?4;)`uSSO#$jO<-!{CiUz zeMRkaekTDYz7N9Rv_CT!tHeDp<U}An(x1ZKH4H*6OAQMfCo9wD6%2wC-R*b9A94~H zSMuW!uz*%bUE!)Tp`zMSl(<v#Gdx_79c?W?E==T@aG1Dl^qm8^`QBYre1M8k&+ggw z`OX2_`N{VA3Bft~(cT^|x~-Y1neD*t@iDxL?EuE+wuu>Z!F$sd1QZ?YotY^0AE}85 z?<)lWK;B1z|L?1R5fx$nAu9gnLH!HjAB03520SoMP(b1q7(Twb+J6zOc^AwB`z=WF z-b4M(w6gdQc>nO(5E(JSU?6_WVkYWCz;XQ-`IMMmzUlY1*1Rv94DfFaPW>Yx{{h&9 zME-98e`sD%007<lv$+2Q@LTx;|6-`$02o3HE|x})X8%pOKmBh4nD>3<ePI0sa)kH? zgrC9lJr@2)J^rt{?|bbR`!57>>^}&^3}(M~tN#e`&q=P02@h<M_>XYnDHAOF|7+rZ zruyrI_t#W5B!8Y3_~*p;|DWpbfd4-b|M*QI<!=Z~k$)!u{{`l+E%`4PEt-GyIAMnQ z4|@E=tNr@}`}eCa@W-V8=V?I}B)NZE0pgv13wWOe0U!_lZV7q-fB_)RCL_ve<RvXD qZzXBvU}D3n?x|z1%J_*z$3}$BluN|K)RUFT(^*Z1meo?8|Nj6kXE{m$ diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml index 76e66e9..dee0f0b 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xml @@ -1224,11 +1224,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:b371cbca</spirit:value> + <spirit:value>9:e6611a58</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1243,11 +1243,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:b371cbca</spirit:value> + <spirit:value>9:e6611a58</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1262,11 +1262,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:9213207a</spirit:value> + <spirit:value>9:9bcb93bd</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1281,11 +1281,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:9213207a</spirit:value> + <spirit:value>9:9bcb93bd</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1296,7 +1296,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:f5d765c9</spirit:value> + <spirit:value>9:c737c113</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1310,11 +1310,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:14:26 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:27:01 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:9213207a</spirit:value> + <spirit:value>9:9bcb93bd</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1328,11 +1328,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:9213207a</spirit:value> + <spirit:value>9:9bcb93bd</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -1343,7 +1343,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:9213207a</spirit:value> + <spirit:value>9:9bcb93bd</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -3560,15 +3560,16 @@ <spirit:enumeration>HIGH</spirit:enumeration> <spirit:enumeration>OPTIMIZED</spirit:enumeration> </spirit:choice> - <spirit:choice> - <spirit:name>choice_list_ac75ef1e</spirit:name> - <spirit:enumeration>Custom</spirit:enumeration> - </spirit:choice> <spirit:choice> <spirit:name>choice_list_b9d38208</spirit:name> <spirit:enumeration>CLKFBOUT</spirit:enumeration> <spirit:enumeration>CLKOUT0</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_list_ce26ebdb</spirit:name> + <spirit:enumeration>Custom</spirit:enumeration> + <spirit:enumeration>reset</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_list_e099fe6c</spirit:name> <spirit:enumeration>MMCM</spirit:enumeration> @@ -3600,12 +3601,6 @@ <spirit:enumeration spirit:text="User-Controlled On-Chip">FDBK_ONCHIP</spirit:enumeration> <spirit:enumeration spirit:text="User-Controlled Off-Chip">FDBK_OFFCHIP</spirit:enumeration> </spirit:choice> - <spirit:choice> - <spirit:name>choice_pairs_340369e0</spirit:name> - <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration> - <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration> - <spirit:enumeration spirit:text="sys diff clock">sys_diff_clock</spirit:enumeration> - </spirit:choice> <spirit:choice> <spirit:name>choice_pairs_3c2d3ec7</spirit:name> <spirit:enumeration spirit:text="Single-ended">SINGLE</spirit:enumeration> @@ -3658,6 +3653,11 @@ <spirit:enumeration spirit:text="Units UI">Units_UI</spirit:enumeration> <spirit:enumeration spirit:text="Units ps">Units_ps</spirit:enumeration> </spirit:choice> + <spirit:choice> + <spirit:name>choice_pairs_c6542ce1</spirit:name> + <spirit:enumeration spirit:text="Custom">Custom</spirit:enumeration> + <spirit:enumeration spirit:text="sys clock">sys_clock</spirit:enumeration> + </spirit:choice> <spirit:choice> <spirit:name>choice_pairs_e1c87518</spirit:name> <spirit:enumeration spirit:text="Primary Clock">REL_PRIMARY</spirit:enumeration> @@ -4776,11 +4776,11 @@ </spirit:parameter> <spirit:parameter> <spirit:name>CLK_IN1_BOARD_INTERFACE</spirit:name> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.8">Custom</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN1_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_c6542ce1" spirit:order="13.8">Custom</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>CLK_IN2_BOARD_INTERFACE</spirit:name> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_340369e0" spirit:order="13.9">Custom</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CLK_IN2_BOARD_INTERFACE" spirit:choiceRef="choice_pairs_c6542ce1" spirit:order="13.9">Custom</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>DIFF_CLK_IN1_BOARD_INTERFACE</spirit:name> @@ -4796,7 +4796,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>RESET_BOARD_INTERFACE</spirit:name> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ac75ef1e" spirit:order="21.4">Custom</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.RESET_BOARD_INTERFACE" spirit:choiceRef="choice_list_ce26ebdb" spirit:order="21.4">Custom</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>ENABLE_CDDC</spirit:name> @@ -4952,7 +4952,7 @@ </xilinx:configElementInfos> </xilinx:coreExtensions> <xilinx:packagingInfo> - <xilinx:xilinxVersion>2024.1</xilinx:xilinxVersion> + <xilinx:xilinxVersion>2024.1.2</xilinx:xilinxVersion> <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="52494094"/> <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="f72112df"/> <xilinx:checksum xilinx:scope="ports" xilinx:value="4f3d3737"/> diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v index 210dadf..b18775e 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v @@ -1,15 +1,15 @@ // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -// Date : Thu Nov 14 05:14:26 2024 +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:27:01 2024 // Host : hogtest running 64-bit unknown // Command : write_verilog -force -mode funcsim {/home/hogtest/Projets/Cours // FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.v} // Design : design_1_clk_wiz_0_1 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7z010iclg225-1L +// Device : xc7a200tsbg484-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl index 4354b7b..e9bdf97 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl @@ -1,15 +1,15 @@ -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 --- Date : Thu Nov 14 05:14:26 2024 +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:27:01 2024 -- Host : hogtest running 64-bit unknown -- Command : write_vhdl -force -mode funcsim {/home/hogtest/Projets/Cours -- FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_sim_netlist.vhdl} -- Design : design_1_clk_wiz_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7z010iclg225-1L +-- Device : xc7a200tsbg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v index 71e8b7a..2b93794 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v @@ -1,14 +1,14 @@ // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -// Date : Thu Nov 14 05:14:26 2024 +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:27:01 2024 // Host : hogtest running 64-bit unknown // Command : write_verilog -force -mode synth_stub {/home/hogtest/Projets/Cours // FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.v} // Design : design_1_clk_wiz_0_1 // Purpose : Stub declaration of top-level module interface -// Device : xc7z010iclg225-1L +// Device : xc7a200tsbg484-1 // -------------------------------------------------------------------------------- // This empty module with port declaration file causes synthesis tools to infer a black box for IP. diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl index 8a75873..3ed893e 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl @@ -1,14 +1,14 @@ -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 --- Date : Thu Nov 14 05:14:26 2024 +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:27:01 2024 -- Host : hogtest running 64-bit unknown -- Command : write_vhdl -force -mode synth_stub {/home/hogtest/Projets/Cours -- FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_stub.vhdl} -- Design : design_1_clk_wiz_0_1 -- Purpose : Stub declaration of top-level module interface --- Device : xc7z010iclg225-1L +-- Device : xc7a200tsbg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp index 9ede92cb91547980481333a5c7d31c42e7524a6e..6ec581282fc1093a7f0d442c7e5cb0dbfadc8585 100644 GIT binary patch delta 12697 zcmaKzWmFx}lBf>{cXxLQ5Zon5aCdiicR0Aa1b26LLU4E2;O;Jg<RzIqGxxrkx!r58 z?myL4yJ|~y_18J}*Y+R<X>bS(00003FbML|Y*vnIAOZpa(og^ZGyoQ0V(h@^VQZtR z0to;gjFK=POc}S^Wx@zu?pM~(oTSg7vV?;HQ?(0GY0sljFf&ab@GtF+b;`WIpvEZt zGD*>xaq;r&?nTGO^K~IhNnx*xBzg)$GdZ~Q#lVWd@$Cz<Fi5(t-71m{kgD)y8bUG+ zxm)(3`66vbyR!omfSi3T<B=S8>hVmok^6Aeyw`(_uHLVnr%oXYXay4!a;f?h&I%w3 zsFEWJMK?vzVFgAOm0?fNT~9WnIu3;{&=}?koE6a1ZU0dCx}I<g%~{yBKSf1E`Z;mT z$X#LhG<zbd4^&p=M6g?V!3v1Mz=VwWC^o$&20tEz{{e<QEVDSOgf*F3KUQiapeXH2 z@Q~4j9C~Et6L5*5*Cw%<!*Wi|OEN~oO|}n`TY;vZfY>0=IJp2k6m8!;t>{xHhPCRb zjehOp4>x4Kq}E;a;%}Td435qW!5<hSoe#J1N?RTIO+h!>3bT+UT;h~+b_d1nQi|>7 zFDEUV7h|qA{4;^MeBYt+4DoylY|lu%rl-d1f$KNeOwmgnGmBL8V^-8l7sW=Bq5@+$ z{4~avY$`RKuflp6Q-X$Zl525YbHK^X4mr-YO$wff3-6cd?P|+U>p`JcpDze6hS1^m z#u-pEDa<e3#o89s)Tp)SV`=)|!?kPimuLLw$D49HExA^o`d4#MUG~<7v!C`LOO|5B z(HG(#(O!wpgMt~9-c;*yM10u2C$69L&A7h)dZRiF>Lvcy@ic?%R;~yJ05By90qH@1 zMv!m3yfu&+W$@|;Em4ljc}?Vft_O;3uZVVnTCmcWv}kK4D(S@LhjmWPkkjH+(vP0s zIFm+MWMn*>8dkjSi7xH!UpM`TKa>~!JTWNojI3AE5Dn@NBv=KHU>jxZ9T9c#ujgW# zl-&O8WX)6@w7>roK2q{Z`{dJ)Ibu*fXMs|%{YfiL%mc~*X5)l(VSU0*MB`XO5U<rY zO6*Ve(UF)+_9KCY)i_&*wtjWYSdE_i7doiU!HL}RF#D=?3t;9Ni78_k4kZm)au>ot z^a3iYNrJRkb(Tb#>`BWx8{-uD?^yCL=MOK4<ZSeqp2vL{AIch&p{Zna7D+%C#qUsS z)%$OnsNIUkU8(v&PtGfj_BGeK$JfG|qz*Y=1q!Wx2*dM+TNo;ni>Q!bWky1EDjUOK zqP5jr?oi$G(Uc4^Q##^<qfO(d4^4PfMT3?L``G{L@?$hLBIVyM@BKZE$^T4YQ)f$a zJAGz-rzCm~<fJEXWX!*DNbI!_WWpJ|dc)M`n-q;eNy3L90|lR8p!wQG)5Ky09+eKV zWrdIu1Y?}C1jsNGe^Kgd!A!0jM3ar#cq;KVKC_H**0{}}3nlmt!HTD*0Uvq*5>na^ z&46lPlQpiTtLJ^m?Zniy*J})h=ry|hxO}#9r=V$wzpW7|oZyc`4<jx{$q+v=f*^VV z=3`;J1GWL{4;n=mCBe|S+r1QMJ++3R5HWDYmT2Vw>aGfYdbDg<x6zFd-+mR>L}bO` zeQ3k)4a4S=L*#{vnoxtvZ&F2i^<J5gD_O!zP+uCw|Li2W8nOSnt976yT|{>&S(BDU zOKsjt?Np1CAK87%F@kv<De9msmuw&#;=2_<k!dT{!1k0nxw#=gEJygDF85eaqSGq4 zx`F)WfZ@%JCEQHR^5_Tlug<m)3)o7sg=G6Xw86r`+<$7=c&IUReHJG9bFdg1{k<}C zGUW&A8Q08+0tCM{p{}$nT4)QpQa+Tc&!l_pJb;1290jYKdijYfk^~5+;EsvFMWH1^ zM&r4layB;AYF3nhGnF917%=1bbXrau+}(EsavH=Ek?q~Np4z_l7;a&KyijR^;ShOE z?x4W^CA;X5zp~7~O=Dgp(Cjg2phFJg=1n!R=n}eh*hr@>P#}LhAhYII3fiwc(32&S zC{NI=W&et;4k;;1u<>=CForP<OihL*8G{2qc&N~g%hu}7JS3^tBZl|uo0<aVY?i%E zN)jHlayC6)ZA`Gr60TY_=ykHLw(M8c7?hDQ+W8DmZit}bMwUgkX}#g*u@WGpxxVBF z9~(@J=e`DJeYoGP<r!0Kqy2}~Srn#@eJN7|6E)$d$savyAq}JRbJFgW^mjaNDjZvS zcS*OKyg?UG_;1ha?g+H#M}0)aDnvA$Ds}u-q74P%0(4L};;Tp@qzw98FhfYQeFv-j zsg|twoM6xcy8jZYBtd9_KU-LmKeR6TpREpe)>gqB8UWBj0sx2sut}sG_(||EaDO8i zqAnYcFOHeBWK~=`D`CZdQPWI?G!_>T@K&||;Ds15YC%yQOgZUgW^%<7Y-k%*W#C9~ zl{gTb3kggEMNh^B(1l0QRVWQcsQ8mPzQh|N>6Gn$axCHk!``EF@?YL$#fy;)u;!oN zdh&byx?I~SKE2O&am9Ikyk-HicDa0!0zK;tZf<Z1R<Uuoamd-*AQM+<$mf3ZFuY$l zlq+sg(5*_-*2aWw{eT_1-VZ;GG%e6&FE!uvey{IskwLTw!ZE6{6puYx=;2FI58{yS zz4-RkkJlUfjrVQ1Zib0}-kvKPeX?8MzGnYZf3)%A8*3(hp)&yv9ylzBvt`;Qi|~*n zAU(9RVgNF&&t|yh2Vv*zdB&NiL;+)cFk~9ifZe<$+a|Dx$-0s`eL*^Ys1K*o9TouJ z%eBjrpm)s}Am?SZrhrTu<;m_UiYpporR!a6j7b{St{}}qIU?Q-`~?F!F<g#z9pR9I zkS}3nXaN=?Dmk35hAIV0gQpoh55di9lv!rMpA=K5skd+w#t3E9r%WsSq%PY~hOC9? zK4@KwTL&%V^noaV1^CrB69(zd0wVG8CV=Fz05!T;4E4KEF-!q<93d~XyP+6;y6EQ> z@mmszQHQonpP_K~0_O6BFu2pb`!mQk@%vBlA-dE3Unz3@pCAcA<P0d6*yE~C^34}^ zB33Def>Sh>rHdIT$=kY$r85is!&X?^V2h4mVq8p@;r?{Jok4gt9;F%V>$ekju-}71 zV8+(=x4#yk2|F(G^MNCi#qHWGoWH)F5_!N#*UKrr5%5LhDBCrM=Y)wnJj%J!u7bmB zM6%q8_+eFOMBmMUd}B6-37%E(f`gK+bLWyb4L#-Dk+4wlDWc{fxhN_Z?oz4%(rBGv zhYo32+{x?Uas3Sx$v{+GuE2Rw1TF7vUkx<y>8tdbWfvf^^R=JoV5jb#C~IESHI1vv zOc#6sbJVrQfC{EFhV%VnNc+NvKImPUW{9{bOzR(N>*r6POx@h2atN~~!Jtp5r+brJ zazlxu&kwOjZoFzqBH%G7;U!rCRJY8iX$SeAW7bb{<AppvYeY~BXLb?F2dBltc>A&> zAP?b6?WakY{J!-(-aV(_TsoW)DclTiQ73vW>pZiYzJwp=TPbVRZ4?rQRfn`mBjqC! zo?WJN7+3)DZ&|ifT{4#|0Xc`tod?i|p<MfWl5cRC^2o*SIR{O9U?D~0A-N>8F+`;) zX?pO?EHS9!LtJItZ9ulovZ;f#3)yNIXXrq&dOw<AGAP2F0SxZMu%)oQ6no6xIQ5*g zxNx3Ust?~#&C6~}_geKEw?=w!vo4Xk)INZlAC!T%_LxLPVttmKd9WxS6eqMw_C_F2 z?^&-g<Z4%An{xtWvn~;rw8n99%4c^Fd}Lx`i+1+74tO@{Z4B2=f6t7aYuFPVo%)9w zTQ5tlFlCosylXaK{bF%*g>}yhbKa>SdLW)8W3WL&j~mPv*mDVoIx0dRCxnsSMp6`{ z9C%QG!?h307hOU|BdNd~uv|EgTiYIZG<pW9;anncr?+&Jg^{<$IUmdV)R1XIEyUEj zy77HzvE~+;N6+Ush$7Wrfq~5;WLJDXj=;qG&ydKfpOv_u(F$K|Of!Q%exPvW_6adm zv)#_I<aVjoH7bzD%m}ERD~+>)TwG$C=vxNilq{GDKl4O+RWQUBf4xQ&J94jkBH%&G z=w2>)lIld*GI4<mbyr+&iH*?SteNg@#lc!+L%-j=whg^2`ktTuYn={!%P`F)oTkt8 z6;P=><~PH{<CD7MlHHB;O4-)OWZ!Y-J8oH1_OSs$hjL!Ea(<%!Nu}O`{mW+3-L)?$ zl=ZRV$o-YAP@Gk9UG-fpsvo&b#cHuq8qwSn%Wot;GrQ#5+Jkp@`;udMU!wzq(PsR? zH8~5Fyx;X83%sD&Zb>xuh<`4V4D##n>*J_j!2}vpO5pgierL8UptAS!@*^l||L*Pc zkiOaO3)Y@GE-2tz-}6VDtzmuRH=1eC&4=i3W6y=A43MdeTPQ=YfEa7j>Vk5xN()K; z{I3_}V0g0Gfr4Fc9ZbbAZlK5I+)^cn3-x{JuuM?*Yc1`LNZ6*f;QKs=ImR)V7>A`U zAtdaSSsTI^GZW|)pthVqj)gK;=SNwuwXZ*ix2RJ}Ge7FKC%g&fG^LfE)u(+2y&e^l zlw^Lxl>60MD!L!>jG+S(`NBoHk;sn83!^G!YUA!y027Hmn2=R9M3{vj<49&O7y)CP z^$f1*k+<;kwuGK9fQ=vVpfC&L1>Y+1wh71*=|l%0n{eV$K=({0jSq(%#|1-!XS={p zwE!kOd}wuwJ6YULO=)&CyNe|TDL03-zB98+>lR#~3w}|$K5W+C8XK(xx&KgQmP)zb zINo@iA|KA>dM*;Yt>n|}{<w>U$*J2dxN8+DopKe^)*o1T<MI*^UP;GKvxV*$hLK8p z#p&W8&MR`Y`$B5ok&{@e71tP;vx%485~{5&1>u#*vrA(B>mQjF!hgPsidpMyCV`L{ z{%+c}$e1AGzp0dw5z~*822lY-d45NOODz8G4m)Y4n-;BhXeHT^Pe}64V+YsqwIOIM z^Hhyy(~}C@>fOW@;XvEHHRHN26IyjSq~;&cm=Q}7I;ujX&^G>?{NtOLRzbsKJCq>h zCjRg^9{a{?!86qSiG3@mL+|%EIqqAosXZMx5b7Qx%?`wFkQue{=>arR95kW5e}AgV zap-*N>tXZhF~aM^;Ke})<q3wgV8oD-r9%Tq;4U8Pgi@#uWLaLPGLa~#2eqMwQY<M_ znht-?B`oQIHGAP36SS+w)j^Ms$p4*rTf)#O?8jZzsJOcOmYniKj|>C1Kte^zjT+N2 zXxP{1Q8h{bdoS|IkN4xt&wVb|dYnEH3dA(6jqL|v(FnR5=W5cQE@s(V-R5?zm&IpV zpz#Is6K>@`?o*ve9Xyl32eCQu#u^WuNkQb%4`eGR_)qbcszc>KQw8OO3;{TL(W&)X zourAyqF=LE1d2Q7I4(o&Y!u-M@Tc$aL2NpDlvZ>`0&#u`6u$t>?Ct5V+Ii$3s2;5~ zXk5c6^}kDLkXb!`1587;!;f(VC=61^Fl2Ca#<e`FTuvv5j$bDD%EhPqN@hBUD0`P4 ze^2NVq>)cF2J3^C1jde;R?(wL&^>#PkfQNt4Ni@eOv{-s=^Kfdi+dzPxgWj-fw*tQ zH?b#%kB;qXre}HK=X;F{mHM%}koDY@t$R6GZhGEQcoofd9_^F+r~LXJZ*n7h`~>~d z{7=dSD!aXnNpx0tXUC3_=Z=r&_HmtmJ}Yd&oDF8CLYB=&jvjAhiht-DZjA{XQWqPp zR~Cb6VvIVsLmoieh!y%?uzoI`3qmK5tcB>Rx9`A;beYp4UXb&NArFA-#K<VTMR{$d zt=L^?od?drK&>JpS!zEY91pooe5HL8y?&;QX3v|hdmC9)>~7vPAzX!9hHW)CjYvk; z^IR8O_<3)Ve4nPrDMBDw->4}to6dK2K9?8k#WoeFu2?d}qD3g9%2LH91B!*qv_8;A z1cG~&7NZPtqfU`hYISvLiIeF78BJw<gcwXM%kkM)+>OOM3CbC^V@8Ba-msd3F(L;k zj5jdk**@dV_@jd8nyAC92ff`(wQ){fs4S74#v*3o%9d9<hU_ZNn3vdUt@$F`Jq&c1 z&~KX9P%g`%_1NW>zlR*Gf#idWD#(S&NNNWhNywz9lXXNk4i#X;bm)9(K2D_H`gs!+ zD`-Pm^G9DK^AZ6<7HELCd7&FBKX&m0(=>aOv`8VG<l`tApVW?sZk>H?Cl`e5pECT* z-&~oT1Vi<<FF>z1w#?Bg{+uftpRcVoKOB9C_=xml!$l6l@qiC*36y9du&cOozG^uA ztLVsmrOCKQgPxIi=mp(Dm}$1JQ1V*Ug^w_yMtlS8Me&+6BgDdpP9@m3J-t5k)>*M! zY$bO8XNM#5W7*-2kV;LdnOUzG?TH^drAv(>-8AB`&OzYAQy(j~v?nxzd}6{>u{@(y zuYi4TvO#q_N^3z2C#YrQCl){&&Pnh~22JCfD6`a<5aM&<O^mePUIp3W8Sm#qpwg@5 z{ji+E@nNxBmM|xzm(`^yEm|Ad<zDP;JskOW%=Pp=aD3j<F9w~2)u&K6@f60zgS|8p zVN8%v;v64KVr$aDC>y{zw2#6waNz3fY_)tqPxN9%JL5-FA)q+H=<Bxo6b8S;LLgh5 zBDFJXKLqVAb562v6`aR~bfEwNPwdEb=7ov@0$w3v8kbK_*?ZCewT!<oH36%oQd!`! zH1efb`Nz%@h`HoPZ5Y2#>iqcT7n5tWJ!qmYjC$+t96nfpAW8~8GS7rYbXyIz<U0Sf ziO--;byiBkFCYf;RB@I_;)h_v#t$(_km?fR1_)NroWJNzt&?j=u9Cm;8?Mk@FN?FQ zTz`y)v8>BIg#Z2vvz31*V~HvOi>B5=Md4fKyMP6D9N4GuMQ^#S&|^qCV%qZ}B%$(F zMSM_0ME5eMiwVnyaM|Yi<n4ReR~VveKTB7S$e!z2K0A;fe^j~S@P^U;m%%8Ute?BJ z;fJ>Oqn(L9Zv99wKS)SZ;|b)liaP}A?+%qH?(pYFym{aW%sqao?R;7@_G-|+vpj#m zZXP*Ue0w|YBdY%-z@5DO6;pC~1TV$O+CxS|5_t0!;DVcuwBB4zoT?NuL9<|`vKnma z%2G`Nli>pD@>f)SwI39V`jDtJqaHsKvB5L}NU=d&Fe&;X@I?D_?haJLOS%i76wLJ@ z9qZ=tw5bw%3GXf1p=UcKT9+PM&y{B^<T{-=qIsOkqQKSrozU_&j$EQ!1YdG$$&)Qp zEYh#O#WGM+7o8FK+YdXO+GqLHo3z%Of9jvB(mDY#=rqX7ixny<z^GQ}2{G0}Fh-RC zUD$V#x%^IZH3;y@9M(QtFq=?j<K?Qh7MD7^EbFPp4Vla*c;83QRpNRR9qHgaeKxEU zmKD5&C8nM(VE4niGQ4;dn@Y!}KTPAPAj`{qO1XxjLm~lqaXn+tRSkjFr>QS(BYR!9 z1Qdb33Bmf)ICMbFe7F8~-HJYPy?BDCf~0>c+noxlxL8p?$Jn825N(Wlv!8qzB0&38 zP#+UyYB7<y<Gre+`EWNRv|aF?<C`kQ*uF^0AU%dgsLu$WhvQ`!HPuvVQ8GEFY-5cO zwIYL!k=pa#+~>7c4JMD-FYC2i9a}rpOMwJ>sy1VC7@ppRKMe7wDsbRkf}omqdvsZH z=zGFa^N(+vf7%egw@UVvQzG4KxF8Sfm}O~(sl<-?SzMXjgTWuUt=UiLZYk7y3Ztda zLZXtcSf)pbJ-1G_w?znk*XqphHiQY{{SpahN$y-gwm6b;ulS>Rz-cIva8ndJW^)b{ zCUicvw;*CX9Vd@MZox6_%H6<c9fKhS<&F$vR+_srs_JF~GwpWtkx>qYGQKZJ9~gQU z^jsV40hgYF^?683%r{0^d$t0&e6<j76ed&P|D_X0C&-x59Z4*E16HCeUZ3<Ve<LB3 z>8l3}9F{+NQnWfFI)bBwrC;;t4<QlI4NA#OrsyxukQNyy{P4l=`KNAietEAwY~T22 zufgjgRjbs0=r(-DRx+6p*&_bfYi!QZq-(Qv*Cq-^nl&UV9Q)z43;e=Ex`dxzcE(X+ zs-ubZ)NT8Tw4dDzpO#XitU}moFc)(Y*C;jm32xx(g0}js>LQbx**z4E4SJ;*G-X>` zIvi78jkcZp7^wz7yoeXx%$h(NTp5e;5>J(&&C79gO7|tk2FUYE2D!Imp?~gEVT*^P zW_y>Q3K9t(vpEjtqzSb5rdhpZLMGBx!Ox7|7zP8k&-J8-!zz5e6>RMgF(9u=J?@eO z(dB4W?e;Pzy!ZrVbV_AvWxiq{NI1`yvyV?z8`?aTc>>%D7_!EqP4L2$dxnWK`aM02 z%eoUw_gWMp*qihu@1#LJO)NcKXrQc7JJ#gl`bzGSc?T_)2xoJN_lyb@9q+^cFfcPN z738u3o$4EPSQ=yw<yurMx6GbDPA^?KJZJ9BE^=Vfj&x64FF$2k+kVLodYIl@s4)W^ z5wh(YQn#@4JC)rV%WJ=Bj<3itkgB^aC)7x|>t66&XX4-@XQ0km;bb_!zSbD(u=)nO zluGFMt~N-)`5}(?b0?hSe~f^VvSNf}=5i_4BXI8DTEu)%48{Zdx+)!oVS*EJc3LK> zb?q6)9#r$V6TRQUw_y1MvYLTwoW8WTFviL9lOI`C1QUq;<W*-h)Qn_yU}SI9b1&79 z>g4Nk4C5>c&3-lDl>kE!zDA9`-LF6qE<?r<iFh*AI83$@;%_ugQ`>DI(I$*HEBi|3 z&v3IMJ3!JW>pabKiBa>W!x4%c=SA9r4U6#+hTL7M-gDPM%a4H7=wqCWNP^5`dBLm% zi?T}U%e<#2or$S(-(s=X02~IBr7Q6S%g5_@7)b47F9~)g|J7}Hppf^&0zBmrzOjjP zNB(!=2bCjeYCt`cX~c}z(SMfb0Dr$c6>omKO^U;3{9AvcY5w?b5U)q64OlbPK&P*( zs3EFjXC^}!-8YNqBvxEfH)2I^mVfp`xhtLt#&8TQ4YFIOeW%@=&*h>9qW~iZ+x&T+ zKTI-;yO5%pwzz#&zJj^5t^1U@pTpnfIFw#{Z_JZR?-??5*44G@Cw+MtbtUAnhqROv z)gA*<w~#e<FzIy|wfzK_fEs|o!K?0rh-}BkB}4xGiu3)ZTg)#8Nacl7ZOsNJid^^^ zFD?{zK=A#hY^XIipp-i1{e8(JbI83*mfT5p$P1Jx^1(?cOL%=N0V^6N;OrV&Bw!me z4W?I#zfFWu#yLQ&_A;mT+1yy@`uyecy7UuhpBGfRXB#a+CkjcIA59U*CkJjAKEj)^ z<gtdHuYIEI5_XT1Ek(0AKkIhD>mhv^_2z9%nCNrk+tMOv*?Y{V6*jL|w#&U4B+%!N zr0ex~T}qtXyCHoE@3G?>vt+sMtbX@8l*#_=J;j>lO}hx8<Byi$J$S;wtC&)-P(%U3 zq?K4LpQAO#x@umMOOeB^&MvX6XjYS=&32Zf-KnO5Gcr<S*7InD+Y>O33)qhi@9~F? z82PxN|JVY*-Pk;@@}byS3U`l!a7h?JxJhTF*wYs`dg6@nuH(#aybFmR>itXeyD#sr zsqa*SGzVG9OFXj7eY}R0WMNNQk=-hw?>KU;swui@6m3^zN8Ob(O-mFfc?unVh!CGq zH7LlO-nsWBr^1Oe65yQ}&LcNq=+Sok`{BEh4|(m;cRdD|u5OybP-Q>CO6_CoMS{e~ zYbhts#%J{;oP-S2)ig0a*Ehhi<kN(6eaL1p!tSC-IzV%DZ`Gmt5@q5Y!##=*^0A&K zZ~s+ddVdv7=`MW`sX=c8;)?G8hDq16gi||oFgSfS`7-F`VG=jmTn0_iNWbDr8q6v; zw}w;MG*3a9ZI$i(+gT|tQ(A>t(X@Ru#!bmEXOy;0*L~8uWXW@XTx#5<!<ZVW*HS?j z>2wfNUe?8|C}mmQJkKWEyabY>Eq0!wEl%Fgyef{oAv1_{6^nfouHAc|n)i&XRqB&Y zPU5NPVg{OI*>ufGbqdALu308Fi%A`1DN0$8CgWM>yq{c7d2E53wTlweK$4Yn!P%e? zuntL=voBfj(~s=kcxWKQ0|P#h;6w22@PbiZe~ll*cOJ!8CUlNOsswr3^7GZf*Eg&? zm?lDkuUSSaS2rl}oMgF3X;Oy#i2WfaSaL^5>$K(g`}};l;f?_pBAl<|Q5lH}KNN`S z&r=3<XA4to#u-`7KCP+?o@hh1jpfm1fSsh1G7;F8IMOd1=>z&UYXChh(RG${yQQ(w z_-z03U@oA|)Lr|`2EsM3Cfz17zn+@bO*-S2wRRe*EITJF*I9wAui$N``>^YEye$<( z;be*h(Qn-pM`ewQ@Os`XE)+7-b4`di-qpS3%T?RolgG~}7!0i+2@(3$mrGur?^($p zas1X}n}pObvB#+z&P-8#pD2$WdJLTf)|H&3iDSqzv0;gu7F7H@a>ZFjO{wF>6_2UR zA&z|~>C3phHlMi(X2d*6goQ%ddRDvaw2zyrdbfm!*D+{VE}23g0(F<Eu?Lz>)F3<g zNH62uxi{T*Z2d)2=WP*(Ylef0I-tptsXsv;s~zkx-9Q|}5!8G(jGKs*pa%;=FB7(w zq-7=heMrJ3HIkr$koLIH`e}lNaDs&p$c9ivA%<{_!bxQqY<VNj#0+@h>uF!*@CT`k z%BloR&B$zj)c_~BZ0H;17J|9mg%f3K@6&=hn9B~jsmS$y+*ez3Cl&4xhZ23EEWW|) zEQt<RRWg3U*RRSKIL^2GriGI~Bx}sS&=eQi?)Z*)-#ShOV&+#6mi^%wUDnD<(R%H% z&n<r@w@~G2m4;jWWaSN@{jhh>?#yLV)?#k0D_yNRm;ZE+ks&Y2vJi5DpM=IJ(feR@ z8YT%d?#$#$`ecj|87cWCFB~r;7Hi!N_U%!%$4*eUmVis%Bbnz3A9|b2<ec!N^=K$l zwG@-Wfgs!&G?bfRpA~y}*rg>A{VS})ffKT25%pluWkNQ*K+iMhTf__<Eghhkj>SR^ zjiOpQMuHQO<enre)`Ses&$LdvQx%nBnv{*dqx9UvVz$=etC`Mja#Q7K8>{p-Z`Bu4 z69B$I5RojaCdvwl79Fl}B^Eoij+FFPvhB~q?{*UOAm2tRBF*F3N!xLV3lCPgpX{t! z)nJPQy*)KYZ_dj7bpScY6^dHJx+~8beZ?==<zUGZA5iXJ(=FN0KFK~4SrdqHUOmSk zu09U+-imhZh7R~Kqu9R4%Ou~>oNIG!WQ0J%5Y&q$fG=>jL%Xu*Y99r*RlUj#TEfaG z$p^%9fi&$1dU>&Eky73UlEsav?o~A!79Vd}Qt-z@k=*geuM3$Q;za1e=5orP`0n_w zlHdc*$TE||XVqzAs0o(lw3Mq6snf)L08<U#6@2t(wtH7I<%*6R9QApxj0~gAg%N>9 z^9Us0n$8=rN>IxQ2?pU8+7%kI`3-b@JnP)CK|2^$hH=$w^rB^K9kt;Xp<n2nHuDe0 zblexZq!uXY)T5k2rc?{vriEsUntV~O@-B_C1!WM;R_ulA)*~zGI=6IfTF%kD!C8J7 zhEVEHKwuK_xXIO|q8Q6`nl+G6+cYko-3Y34c&wSymJp~S%VMZmPdn@a6sxR(Bxrd! zpdDT*Snw_q`;-BkeAX55lPP?rPF9Q+izuf8F<5p&l)W}~#290~z`n6jN!CMiuU#&8 zsF|9=Z9*ux<UHbWmXDp!aH}OlX-+r4_Jw5o_u`v+eu`DhdTdZI9B)awEcQqs&@bFf z<a9yT+py4PUf30@r!@~;4&{hpZ!>ocgD^~q3X!9otJ-aGDo!PMPpRa1K!o+T*nVsr zT?S0UKv*w3&!hc$=TQ?KT^bqkeOWP}u6nxNx6C%bp^jl`G2pUYtUTu)a)7k!g}jwe z<j`?z+?-NB^rATAp6n8hJyY@ec;H<+iF{uF{yrR9Ji!%7@5BKdnjE7#$u`C`h@kUO zhOp+OedNdm>~Lgp^g#b|Rbg40qb?<V5U<HRz}ZuyIHsP(pRdei{^qjv*ZcC`OaMl3 zkccg(`RqPplQp0@x9}5-e)kefp|5s-_+vAkwTWOPG91Q{JR3MEACsQ^PpQd$V#z*} zw`X>eG9!{rZoQrYme2&O_;pDm5Yc&&&!vJ9C2go*lB^nr_L2xqxX=2*B{61G<IlGI zjdyX#gDYZ8jBh8;l<DD)odDP!-|lQ|1DJ>ml`C~yjCj@vL#f_h&va%+lLm|1-6NK} zUGXbQzmQHDozmhqCeQU&E7rfE>1xSyc;Jz%5XP6T5<g+lcN1^PJscVCgDMWWqq+Ii zWy{S9H^6Cg&!l)_oQSJj>RVi&qzgh2$F<!UB)7dP3XHY5%)$<czfDqIg-Po!n8xR% zy<_R8ln(+e3zx{t3R(KH==xq&(Zzche^Sjw5|pny2F$=Ha3P5s#qR|;!p!z)pj*Fv z3LqnNPh$0WGZ2D5jKVsQ1Fb@D%e}XnKSFCQJCfSX{V+b8(c~!=Mt~$?6&A@E`Q)9f zTfVcv%!_AGC{3dwWgCPtMxV&_1zi0$9)9sYkswild{>(g<kvkR&fm@0Mw34;V>l(s zjI|X{jCFxkB_ipoxIatYHkM`x`QTBp7DM%d!Y2mLoszIA%*CR!2`ZZ)fOzcNa+_aO z@H(C`e_$<}K?Qb>x{}IsYuTytl}}oXH3D8?I&^}?xMqqhHAVKSM~@bKgMAn+Bo&>V zB+U$76)X0;M1CT&=re$CBXKi5{aoEcVvxsFj?x`}aqj(HS1;ZaCe5fZf@96T;+g6- zP8liW_|J?S@-GaQ#7E8cxA^W%t%vyMi#hmB)c0;k0H8bRJ2mZp=jpf@#SDN~@jN79 zCfh9V9u#QsplC-XCXz@7NQll$XY#}<EWAZiZ5h&H7HmG4H}{*ZSm>kKS{@@G(euko zIq=JCnM{Rw)#}ldCe2mADqsnKgFyKVW{r=Z79weB$kg>EaX4UgZeJq}E>9M6YsQQ| zD>vvXC=a=&eh_PCma)(Z^_$HNJb#i~Dd8CK)Q5%imbbV{`M?2zp#@T0Qy?Fqgq#&u zM!c|B<I(A^(!jzITbt@^@du6dp(MjZgQ>ciCXXWfKwnPts#L&YCAr#Au53%=&&F)b zTSWTD@V#FpM_)TmSf0V~EnvuLo|Rh`0y2rhKqcTB+;CoC2Z^aZ^Y>IwDj7eeWCBYf zb2*M-=xDwdey_~3A(}~r+!GiJdf>p3mC)-<V3n7}kDClPHmK(`Fqrn1^Fr^+?d?## zK((E*+sDVk4=SYBEun-@dTz(pvI(%Ska{i|tdR+4Lp<z9y<v!|?zM!Ti>^c1Ppy!o z21O{obe7t7wuNGO3lAk$K7B)h*jdKvB<n5TzCjs9q8dQm)x_czk<93MU97Stpg;}R z*R(+u*A&A?gN7U~)7qo4splH=Z7Ugsa$NI#3mhae6=PXozKs{ajrL*4l7Dbo>94Qg z$F|uIjNvMZP1$lW|7pEbYa|mTJBQjQ0g6XTG&iZ2JkG|*@)U_;D1b_VwjQ02f(tR8 z&j#y|+F3Sj|A}@Cfz(5+%KFqg2d3MTiTif-5!!28D{r%P>}0Pl@Z@bhhAO6~cI;Or zE`kWqYj+#sBaq9T*ks3P4opqK2KG3ifuL9tKQH`~@Uq?Uk*BSt7a`X){b`N@BS_N* zK^rPmGUMj+yhW*^t3(L0LOA4f#U<ATBRxmNQ6LSth^Acb0Y{DMJ87TOSJu5bqa+y+ z!}N@iG1mo~XpL$8M;{;ZDA`;1nuNhGa=i3zP}<I?jnKm_E2LR&#OcV?{k`#Qx9D8n zq(9>^0)k;&z{XU$r<Mbcx2D@Neu4svKHh7%1kL|~mMBVR3uK(9bF$5OlFwD5DZmi< zws-sH@J_@Qj6`KpK?#;Cd*pWkaUbkg;dbjypAq==!)@2g4wx@V)AmM@*>KPU)kSW< z(pPR{p0s%AGtb6;_%$$f*)K`Npt5mQ2ybj}R)=%4jv+6;j~gauo_yR<C0wAx)5%|w zQL)rCJG9EQwDl>ak4X;&fx0%SlPY~L9S!BZ#dIk?M<)eJHx|?%>F|!16UW|R$8Chr zV9^?|rxHuS{71_i358)u@n|LC;1c^B41lQV`3+uZJ&Kk{!6fmdD9n*4qA;i^A`oO4 zu#$-iCsDlx@8S19x4rek1&=}BOGU=R{m@K=2chxozh)~8Vb5b-Gc4^3Xno}<Zp{Bq z@f+mv*F|ykAA5kc?>7%=C!@It+P}cI6rYO~R&t9fY9^teG+Ct0Q-S#5I)@NK7_m%` z$@PgxAWDo!FWwQz6d{O)Q4ZEC+e95j*DHC(c=$o=GYV!f2{`fuS%N+&24&!DRTM`B zuI%<5(NGm#k(QC_JuuM{AU@IwCM+ww4%s$t5~_TP;(|Um?M%I*$I@;MSd|i4in{(Z zv<pVh=K(qRs-=vAG_^pXi?geW=pz~n3_=nKaw@w7-Wwd7a7M!zq>505RCHOzO2n=V zNs}>zhl8K-&CCii;@pCCgp?77Ugth+-Dx!nw;4|bGKLTBUD;*9iE;cmLPwfG&s^M} zy@O?6E;aA_k@SWNao1dYAp&s5zqLTJeszF|4m6`!?&_EuCdhg%f&9{!%%ziaUy$CI z&p+4a!}@e4pu&-%ORG1+BTX0d(bW;gleVNbC1|fM6|zuo@?Op=vFFw<{Eoyxqp&4y zXqm^?=Y}WVfHZ-0wVEj*q{yXj2VV%|rkHvF(fLjhnT9<gSbc=l6|0xSKgok!>$GUB zb@5L0`d7_Y;c#g6|Bq_xTM;yI{EZKG{$A|K{-RNMN#`8!pnpKXG0D2}>%Sr3s~1f1 z6siEx?hX`<E4WPqG?y7QCfNLu7<v34WA_&HV})No&Wp+*)ufzI2amX~JbmA8y1*YT z5gn*f0&<PWRD}?d3Kj#7c+`gF_ibuc*f`xh9>8Z?3kR&jE;!!IvLZ@-E8|~g4hW!- zW|%(3fGUJf`{~9h+p2Inn#jmR;IQeH(Y!S35gwrFs^3#e6OsvP6i<e1&oWelrOJG$ zFU0-u-Kt9|z6b3kI>JFKq7hR<GmS~(>>_Lf3fk`2PnzPk3pkxxc3t0zn2%iuw^A`R z=o_vLsiVKwn=36>j~*=KapXynrlkp^c^jMMfiRGT1tK4!(KRtd6;g{%4@)uFGb58> z`i?RzuW9B@CYXx{;$c(cXz3_1JwQcLu9owQK8O|GsRC%K*pHXZ7}o^*4Lt@YbZsiP zL@`KmCgp5zZG0C{M<(=zdwD0iJ)2t|FE<wyE_E)L#c-u95@KBGMtE!L(;=htG}jkv zppTGUG)Vme-sR$rn$H3L1q1FJ*tH#cdo>LB==ythYe=9mBuQR`NpEJHi~}X4Y*XGx zY|F|LEW|gU-Y6JC=9Jc(n=8P|Li8T|UQ$t-z0sbpCZ(!ZpVd9Esh=+roeJeVEP2C) zr&TyZWtzztJ@g)_i>{P!Q;Io(mR<n|)Hg4z1i_u&#jtJ+$J$R<_2F9#l9ZuFKqn-+ z*EHCfZ&gI*PX~8gZ+tvkq|Gc_gcy!6DD}->h`CPOR2$zh-QaVLLkM2KyW1ac+60M5 z@1@3VJB$0uxrf-P5*}Wzy=9%_b*i@NV;QTsCG_sY!B(rY**#WyW4ZH2{o&N%{u@+J za%W=wlbbYFGsOb`CMW+zt|v*blCu3FT>mr$X)quL_<!^5|HUK!9^E7W#NXUI0PvfY z1r!Cy0F!1p2$I@a;r~zJe<f@GS$H4(k1(CHi>nc%n}x}5ULN)zy!`)S!(V1pfDH>6 z0QpBs*ul`r(Dpwl`+p+^Fi;{_`faK1??&@amR|&DK>xLTh7FzY|LXQ%*6}w$0(^l^ za%3mqceXHeF#Wei{-G}>;Q@e=-;LlO>V9kf?WmF@2X+dA|EcMp%D8pxSilziKbrVV zP0aqS9>rnyLgnAjjs4w-{;69<0T$GM9+1Sx0sFrM<Uf@CS3>%Gog(}fBLOsjg?~{) za{e2i{_8sT&jAH8{`M&?_W$hVe`Lm}ocO>lravlF-2X=hA%Fin0RSNUK0iPJ00FE3 z03+Zt8@Gg#s-`n5v%H+0isWZ0RYO}VVRcUhX&YuW2U$5gYj!aqb4?pBO?P`|{{II) CpFQ9J delta 12680 zcmaKz1yCJJx3)L#?(Xgu2=4Cg?jGDHxVyW%TL|tJ2oN;5TW|;x^d{$A`Og2}`%PEZ zu3gn@diPpAtKXh}_N7yv6QC>y0f`9$fnY&j=^S-p;fOV#!9XAsXb=bn1P3xVb7uB( zu-8zB0zpuCb6E|i$i-)~Vumh#t#8n7jW+q1nP8b>4w)fS;Uz9iY@mb86UjFu$v-O( z_>T{Lqpeaus#<l86EY$(@c!w?YrZ8NmE`g+O<gB3kET1Zm1f)yn4+uhyZ&L51<C)# zi!BY)X7-7b9VZSUvG-D*4^mJtp>bXd`q8;U?%wLnV!Pu=fO5?mYBI}`4wbDBPdgFQ z>jB9cU%6LM;7I8UsuwGCR*`@q>kaEi1P1IFDuJDp;N9hPjY}P%Yjx&Fbi_^(db=9? zLum!PLQ`(p?S;A)Fe&b=fkR2Yv=;uc1~HvVfmvkJa8zwoN{%lEQ7a@h8s|nImX7W? zjtmRSJX|$t3-JP0H33#scSk`orejCZcyZNXu9T<J5M9IoN-w%ODyI$uZgfzl-@t`( zxz^E=-y6y99aRkGXWJj0jaJTi+qM(YgJ+W`?T5UU)*+jrzy|DCP+CQ(CFGFPuXbZT zw>9IaA}<qJhiP#O4W~V`W?cT*<0sr;nAS~_qsJ|!Ys8MXko9sM?&~#JB&W0Xvn@iL z2U2dnxBPxPYqC)(&8^X|MXkGZV&fKadumy3ofKJkuANv+>ApG1jzg`RaeR%Aj0C(a zXPilno!f+)GVrS(Qm7=j4Pj$F^b>a+Tbxw3ikYS7N%u*pF0^;xJ&rC9jd%&y0l(X1 z!wc?z&X&7ll0v25vxONP1R_oDM<E1^T;1GFnLYk{zW667*@3g64qrUc5$CDbRFl8Z z5R2<w&d|?BDStsv{(v-MF4Lsh>z}MqVd#T*opkTZk;ysy9g~LPrdI0y`0BN8>G-xG zN%n^sg4)+rT)I#RP9DawM1cfrnqw~9OxQa%beOXDa9uKvNYri#Ilvkq!gg%NKxA$7 zfN>|85_L)Of*smO9Q4^V&D)0a9XtOAO<osgnzOb&@S|9jM$sQgI;=HVyL>fY(Fn}g za<%5Bu-K$%Gj2kJHz4JRoWrPeD&>)_WG9Z-m?>Gw=!<421CPC^D8}c9*A#y!)HGe$ zUnMDQ8d>2MS<+35;s9mZinXQutR_2Ek7tfZUnO0BRl(+uxi5aLJJX>#nQvcdZMb`E zuG+0q)K#X$4~8^3D*6daUGlZsJw%O~NQ?T^ID$FrIRAavdc{?2mbis1$^O!y>mgqY zK4r<U_xv8tKLb#*J2bEII{>}E13>ZnaZMgV!AmB9M8W#=tokSQI|Z@g4qrTD8S#G= zkHAe9f+PnZH^asA2`Hk9Loa{XY)_Xp;}M2n{$LN3Zv>^O4t&B&X&6RVh~>Y0?rFSj z8F|m>t$-zl>=cBD#83k@c->1%=OU&6p_5hCsFnIXH)wi2s)oB>M|8lM4JGZw{nWdn zrUA*BQKC><8WB5$qBsd#y38ED=qE_9rSTGU4%r9n!I7iF*Li>N%-6f+f=nW7=s+mZ zBM7WJB_Vy{Tz2?WH$>t5Qd|>87nAF=7P~cwKv*6|6e?lK5UjjH5Az~mZ%w0S7dysq zvKLF<UUDk#;{T!6rItz+>z-0eekvuoc@?8wD@Iwu$emyh-c5r1GaZd=L)k#jg>cf> zcT~%KPZI}@j`=9%agPi%zA9>UtNHfCA;sS(8d0lWVjAxe{^{Vbpu(Wo-wuxWT`B&| z@Z`@>D8L`@f<=INzG~ZhX|nN1h?4%f*iB6S`efr~jgJN=w>d;yZ)QjR)Mo4zw9oS# z`t!Kh33fI0U7n+BE3wuhh3$%_+<`)YSq=LquPkI55-a;o6{l<QLh%cP%a!Xp-PT6F zAZkWLJD8)PNIhSn-b?9$S6Sx%t?L6IP@l;9VvQ(P+`wm0>Ze2mL2L`Nr>3`9rW9um z4rJOi7v`xP;xl2oq<5%UBPce~KoiYv%&+W+m*9;8bkI!r0XP_hvE1uMWh%7Xse0Ij z!D2NQpXf5-4BWKN;|$$2hHN9Gy`17v>sZQ*O0=Ytwponp^<;8^DA}Mu`mQm+Ar_pK z6JVw8p<2zZ+n8M+D(E<%Y?e&09FHd{?)6Omqj1}bWDE7ArUU(`A{~^f`eG-7%yzW= z;~VaB6^tx{{IS~43)WoPOpB7Ue$q1zOA1s?k{ARs#Ds4+gT@cqlR0H=N#jieSLn)0 z3ykU}S6}lQN<HO@V+~*C9G%O_Xg=i-uX7KrYaI>*w^8vW*ZO`f`A6K6Eno!ytXIip zFa~gc*0mEX?Oyd{L|B%;Vi&5VkU*e?mRG(YTl-BK|Ms-5l^Xd=d}QEr&EBmKQslTb zr!JfvzPF|M1#gJRCkYRe4}=#<Lm?y(V2G3-Wx(0S1HXh;>3?_+vy&i3N~k&<$p90z z1FNqp==?F@;;S_$wZ?~9tH-Afqu%p?mkj~|73CD5J5*|^?Gw;8C17wCpI_yZU3{}^ z>)OJ{E3ksMsn<#@1Y8X)nq_w`JgPdh+d4XFL9JC9;$FnC@V^)Ied}o{qJ28<b=hPT zuzg$nTth1rhf`vlM=x9WmEHL!pWE@kDfmtD4L2>T%n4ed&2``$2FbS;1b?94jFYoW z=#V=XSojXpxdm{wbo0>vh<T8;AzUTL8vHA64whGO?mUY?Lb{l~;UiTB(n8+ZJBNBm zyh%RQGBPBHChaWaU++K-m|xh^qt6D~!04C9Z7BFc__6or*-Fu{GTn1q<21s+vB??w zag}5ILtoKkwdGZzkJPqhi9|Cei)KXOBhlk1s@ZY1Vd;SJ)6n<&4f50M*&ZB>OX6HY zrBR|dED+eVGl4P`_=B2rdN->l_@^SMYgtMKLBbb(WTN2`^P&n*4+$8)DhL)PDq-=; zbOT4@W}|d{4jH?0)XI2wiGqkCth{TAf*3<mD%qcCMX?rS9%Iqh`h7_!2W&966;+k` zI|t&>C{Tg(gNX%L#nxO$HEj)Jp(z=M@`Wr_+{?z#YSusU?3SrMOL^!=pdriil9wPp zcxexEy`>m<GH#yYU&1jCE6<mK^7m4=!XPd#3D=^12(6mWIp0D1ZV7Ut=TiQH`b@%R zvSy3c4U4k%Tzeqe43jn!!GS;?Ky0h6cs<~syG8>LLaO731gF^L&tk5d{BraJOGC>p zk(;IBp{$xmD5-;F0QV(Z+-7BRDe(;JL9Px;fEM4|f}KKVvp)+|D(r_6I?Bv*>MV?h zdf+VD>#p-2Kt5*YmnOn`cY*FS$<B|-!8J}e4BibPsQ*26n?t6|vTx9DcqMS*-IISO z!3-~eXFT%6frweiwj7ge&X_1abM#=?X12`BsS*ux`trU(B_DOWfxM;S1K$e<IczVY z-MF}Qa$9mF>fE-8lRVA!GNo7~;cle8JWUg<K~DYw^&;<2WjpTOGmQ|zs*SKE*GE5d zG(z>&yk*MLj}XY&mXPsM3HPmF%=sNSpFJjkAH*2c&|Ywgc@%;|?<xqw$|974$%x)} z_0lmTDN6SRGxp2;C}Gv57lB)3Q&LS4CVt1d`XT66B%3)}t)iorXHkkL#_UBO(i@SU zKMK!<61jGohGCOlETM*<&1lQrQ9&yp#k}IWJh?4@-RWQpamph+pgJ|US@g%f8*VW* zP||m^9w#B=k9L!G++iBX`$EVc)_f9Y=dfptVdXn`yJ(C2sl<&3#wckvk<ky&ioY#8 z++k98oothd*H41yP|LpfBqIQOY8%m@0iQok*xtdS`@qII0KDQ+NxVQY3js}=x&b3l z1H2QQum%CfC>P{%X%6{0xSYI?h@U7B&`=7E&Oj?D?_Uzl^Rv5*0WnMrTrJUjBm^kH z-SJ-)*!(Qo)5D!iG^45|J`WASNY?hq?nYmp(Meao62YB>du>QOoMTd+HKP+(U6sIJ z3P|3(cd-ZSVM%OQ*oHy8ae=s(pD2w!W8d|s|3>(nAn0$-F!qU>4MoT_BQYBe*dAp( zuY4zzb~oS}Gj-v7(e6h1J#e$^Ubho`nu8arxU=F!d-S39oD+MC264hAi|*0mfo@QO z{bFYFO^6;w$SlJnl6Da55oD+QMdUjx{d3N?eQ*!*BXn0^gS8KV|3vH39*z#OVM!rG zwHeMfl@6nBtj5J2`E$^A4##CMpqOv@(}vo%Q+LMp_)?BORsVKHcFrI-JRR?c<tQ@r zdI3(x2~j*fKZ=&wVzf3<fJE@P8frk}<RC-LX399Y%_aTdX#-Gmk#ky3&j`hV_xXAy zoBM{&*xl=Cq<&NSB>J&g9>~2q1TtR9=kmYRv%PT3Z<hCt|19<q0RoSKrOa1jiSfRD z2B)ijI*^1Gy{twecs0EZSE=6?1r)qOZeVX$Krl)vEc44%sZcqG^KILnT&Nt-`|1(( zM0(|<Z;p8cCTZ4G#Sb5mH7C))Nx|2b_TBbzTRnTA{iox=9EqvY(uTkHffzp>oDv}G z^=Nl`d{1&thviEy2vHAoQ^@9wk0n%mH>nV1Fzrm;l}I3DiQDtya@_YaPTB>Ni<8RO zdLW4yfGE9-zZc(zGe52z&!D%->!l{rv=r;JsxcSs6RR>8>ocnphJ6T0C4{M@%DT&) z-~^j~TzLu&pVxhu_=2Ci+_gWd?tcsc$bVH!r+s>eFGcL~yp09!5y($B*Sn@lV+yf9 zO`V+zIzK0_6!&W?&&{RsnVuKySu%JIuQlzlr*@jvF}K_APi62;ws#<>`kJ06v`lE+ z+0lFHb(+2H{A!$1=b}(-&6|?74e&i_;o8_pqgS4rM}u*{6Z*$$tmA#M2KyBO1R6sC zCHJCG|E-_K5V(K-tA1kVwB-6rKbe}cIxR(>z$bbtdsvtt9%rME$xf>7o^9U;;nGd; z>*Y^l0|67?FIjeHkuso^RXU`C9(hP8NzWM7>~*`CNl5ZR^_-X~sSaigc%i#@O1xC> z5oJe8h@T5#D)LvrYu*4WPgUl+J%s(KDFae?=Z4VGfh<|8qK>e%X!4dj{9$_o>ip2T zogU7o<9vJ@iEjjb3~485pbM!rvnk#UiPoQ|%Lhq92Fkd(9a@wGc#C^LcBJ^J(*w!^ zx7s?-2yVk6y)*P%e3L<|mPluRV$(ZnbxGB25tZoX4Q~<?W(8nPT#Lt6Mug3~cy9he z0Clh&A`Yu3gp_V)FBy_E#cNhC1H6cfm@q>Exk}At!QR5pW`(_9;GA}ku8*XhvtTrk zh_`C#Clk`rcd~?{8fCCl<#99x@0@H;jOEqp<(-_0^pZ_=)e)l0iQO|8P?stl8jlFZ zWfBIGEj-K|mo)H}qO4PAg|6oS72=@#;S&vENktuu<k&uO)JOQ_Bv@9wPi7twhi)(; zc|7WInNg(jXCk{qZSSgb??dYQ3mNutqEcc-H{xSkiJFZnh2XyXFEY{2KJ)Eo6$iqU zT>vdz<BX9xNP$M=Y+s-BwULazq#&lLXp*@oj@pDsi#h?v`L8t80)8CO*)(R6HzY*O z5Zd3mCf8;J4_K{^vO?H1J|FuAe#d|(iP(0Dts10mK6>lh5Z{*g5HzD2d|z9Tb@qn; z;TGW-+X;sF_&Am8;R6~4X?<D$X|8>ANSSr+u-^geCw#m6iY|{_k(Gt&^$Yh$-?J37 zr4I$Mmcg}vhgpT5SvR?;2Gq{TL(8vs?!jUu+(E$|c~;3+94#;~y0;L+iQ96^SU%iF z2?QZD=xVRu>IJ`KjJMI-bAl~UycehwKFH~NIud*7`SFWvVJvTpY*45P8>Yi3Uhmsa zZrm0q>Hg3KlTX}*Zx~prlIMfLXeOBy0?&e(J}Y$9K#0iF;E!8@Fw*26Gw6PgrKp&5 z?r@akqnlo1?`QO*m6NaUj2lxUG~RWJG<piE7*#Mj7!jS#IbS^FwLtD1dA0eXjd5eA zsqr@D&ZyypVff5FhdCs{y@4Ap@~LNZJP_+_l1C3{H1e^NMid9Rr-5N@t(}dbee$+) z?i}%|0u0taPP@l?m11Nyf1crwL5p@vzRe^h7kRfKawOV&5%Ypct>(<~nbnV*xJU6D z${0UyZOVbCgE|egT{pqZr-~U;p%y#MjRFZXv9w{lJI9wLF={0~2SbB3S2)g$0?1QC zDYH)pO@UkpGn8y=5@LO23LJe|Et0AqSsqd#eSkEOwKPw&4fF(YwgZa_lA}dm(M1YN z{}z|*y5jZys{nZ_ZvMKkKV#NU;%rsin7sTB(yIDQr9In|8+KJcQeGSVFJ+p2bk^9m zepDM0&-5%`=YIx$p0DqrQ*?E_i?p_O<{pU0VS0j!AtbfkRtohmc=(d9n6Q&URlyT* zOA7e<XlMO`&1>gVz8TmtARb%g@AcC9#zPX1T%Xc_0s)>DxGY-}_HYm7I9hX|@J7yj zes=_id0ZFbTp6LqR*&=ja^Jjca7udfvf_f8<Q#bhks^#Npb>OaN+OU{oIAR#ZOX~a zulHJ3wcBziHJ?9zP)XWjUGzzDRyfFtz8~<Mm>{veCa0bi!HgHF3|ptnS&G1DYNn%% zw<a2(WC|djMQE(SYCB($r`J@Fw-a1Y`O@%h&7p`^nR(8e(GdlO>g4fYJDGoRm4o9l z?SsK9^d2#x@^T1*ioO#QErt`-iAAKheCMqglTxfDy0K|-iw=ZM;((nR*6>_P=PMw+ z4GjfQ3?QL^Kh826I^<`?T)m$ld)}j~7U-9<M2<M4-5fSBDn#F7k3%OKS3tqXw-li| z{`BOzt%JW+orUT-`EdKNSUYWcU`E`LF=4`2I@l6_fuI_Kf3ql<FX7O&oUK%*aUg-S z83!iZiJNUb8KX^01CA(&miD-7h!3D>tW6tYFZVC%%<GJj>Ra+xo6B*8C9=x!%xvxR zK{gA;J-^5M8sz(EpS_hs!3ME~yi*K#I>>v`Lvx$IfUWPFmUuQFFQ{W<`+_|-%NhzL zxN=Jk5OsG`iF$3uUM6Ps`~*o!WaHf_-gPs%_YoAmJk(5{B#-<`Uy#X0@B_kPRKlFX zu7{70i1cb5iXwsu+2kHRaruRn$v2c+o2QCUajc+cfG-kh!4U6P7r)<)9-NvM$r=xl z-pPbdlFnqf+YDo@-nOqzyGQSN-!a0g3I|l8vEDNF)rdPM<sjzRyOari{OoO>Qu6Fa z8_(d-ihGR8>`q;T9~_^@odEzNhOJ@cIXSV}enyys38F$*ZwEq_O$b>4Bi^@<6ia@Q zO1^WD3FN2u0Dh9665)z^jNnlKR&@R4CCUJ|R>r}FI2RZg13Ye=t=fr<*;{~D$YQ_I zT$>tLEmsvy4&TY$G{6tJ{{Gr5E$zb)N>v0wdTY{wDDr^Bl}%FN9nb{VQEF^2hjN4& z&)RY+E!Y>?!D&b&B?Q60baL1_QFb5>j#U`mpyLFVHL#GrO6tB|x&HN6H5HOD$FVl) zt=h7jpDrbb1w?kd(k9tj@VWGi`%Ze+sg+Y;9Z+C0f$}zMc>ne*h-J|0sa2izV>mUS zCWfJ8ed0lu4n6D23}B*0MDG6VZ3sH-d6k->7p0O(_+Ab_OAY*TcZGXQzrx>3wV#NE zM;`S*mS}gOIM0D+&v_sGJq+WkhKo!C?x~v7oh7gP^<W0U%D_j<W6MG7tTqCNFW=U( zbu}%ix7DVbkzrnZc=}!QMw5=%p%Jj2nR75F!c3l$-PYKQ*nvw=`J{ELcfzBc#a?o9 zc`JlLeJDv9<=5&uri=C-6Pl;_PcW6XJ?6pm8^w7km24~2TtRRES6a9x0#YoT!~<NO z;y%Bue#Qm2$ZX8my{S8W&y*~OXZZ&s&{H?(XXS|4xf=})o0Wi_J51eKGagE1As>W` zVW5rbv+()G84yKEr?Pmb^a@GC=b}4teMd-Ib)%7Q(e$ESG-S2clE=XL+zfpmpRMoA zO@?#$5l8*JvS-qic?J0cGUwP9{28yx4~QJ$wx9Zlkkc}31td;9P!OEy`}Ebvi1y|$ zpCcH*N7@<NPDnRL2byrw9qjY?HOvv-vPa0qHsA`kJprOl+;`6b9l1hIYnj&7Z%tHY z4+3WXZDoCoE0Suc^B%js;hR6`vq^~VGCC+dWz|16d3>i8uL!^{IH%cwJ~fqX&t2S; z)V-Bv=rJ}rsCEAZg=?hX6F_>|jP_(^i$z$IUyMn;Jjy!g)N5eQD0J;GEWY!LH`2kG zw68IESp}GgJs9v3qqsjmG|n?P4q(BL$0+xElQl+|VV+o4ez2afGx}O`|LYROiveDz zJjy54{zF=rHH#)AF1)a$hmY)o+nAh$M7OPhq!{^CT8lcSU&=Eo#kzr4DTE+&4KZa= ziPb81gYmldY(^bhfi2|KNaZs+TAqvym|tKe^byb@Zw%GH_}x<KCfGTBtGfg;qTchC zh$~sa6cd9VL}tkLF279}i6i=ycBN%jsM05|A&h^83prL9yfCk>I2Hkmxet|Do3+8# z-24+9rjE7L7pe+gQ%caB#44N&BbBLl6f#$K?8K4_SG|0b!c-(9bBUIade?2&tr+rT z5Gl}m**<Dqv(Nd!ZWfA%`xbM4<+emcwCIv7Kso`3`mNQ5WlushJVtTCHG|H9U(KQp z5W7c#K~s#S00Ywy58pGDg|1%852GJS3+Ld+G!opm4&11BjRQ3{G)JWk7d2p{27Aoi zOZ9sAM7-7ZeaFcQm9i}lCQ-<Y8(vos<OWhgOD(T=xH`hB8&bN6$1EYz!`R@O`wa=9 z?%s^$B@x{Nc1w4OaQaEzO9FuqkgeRuTj#6JS0{VRSRwESwDxw$-6YOJbs2P{ta#!M z(nt%e&>c^&8jt#0Cc*bP^r&TAWBWtLfm8pr3jqCfwlqe*!QuFO7vPxum4NQAJpgSh z%ZqWOA(b9z#X=i{v7xGtc;*&1HO=0od1yVJ_JrZ)D`R#aOv~qN4{OmVp8h$JwzHJi zl=EXHLTWI|--YD-&I(50ReGv`IVx2L={0wzt_k|0W0Ug!bUT(~#IN*i;L3bl46pvC z$?}P*y_2QqZ7cS@wkPuez)3ut)njbm?>ufJ2%m`NkIBgw-XjUajlWikc5$D5=Ve3z zNN%HdM=x^WLlt>`YZxgO0l!l6<*&Lrv)ZzeF#~!z^2)9VmF6P&lN&ek_htr|Bebla z-p>Z1kvS9H>C>a~yG5eXF9aieM3~ce7EhlQTM2fJ2lY1}b`ItN;E5N{gmG<bMHRwS zDT#ZDqc$XjXz!)w@RU4j-0-_a%fFeq(HH(imRd!f?$>iM+S??sOW2E^Zf<>%L6BVf z#*=d=T)64><{bk@)baClTj>oZxIKLh=W^f)uXgU!q2s}AEVtF=eU2IHy((65(MJSl za+msd>y|WCswr71KnXb%9iIlTMahtFZL3<TnxtL1O_ptKs;cZ^j+(3&LsV3Pwt5VX zp=|1h{KipX7fEqr(6IO(&N++kD%9ojicMAO?EBJ~4@t;&+fZbhb*EptdJv%x+{3-s z-Fr<8gNc9d(N<o2asex+WYZKEMOnMtGTiOb28_f(-!pL|fc|2lY_;kOvpmL{XQJz7 zC}%B~3@>d;oe+djqIj5$c%4u%|2W<^2!u}3r7z5<vgR2f5XdYK{OK3`NY=ZBwY|H? z$P`5%F_Onvx-fvaG$XmpCC_33<-MpeW?2iB`Jp0pR5%HVA)VtCw$3fAOnU6e^={Kd zb;iUqt^-OZ;Ig(mZtpca>{~BK+8P5Yj%H&MQ6hXvUEj7MBm5A?yY(;wbj)f~0e)JP z@zx5M5L1n&6H!>JoRU^HO`CEVS>6TS!<rOT`s18d$@h3_Au1!*G-!)*E7seg=}qFa zrMdLE>?78V+KgD;_8J=VdtaVZRlJRgQf77kay0N?p2i#JHj=|CmgvJgi6J-(G#q_0 z2*1HHDh)}arEypHHi8`ES@tST)eI&rZk?mFi%t3RzBpw@l$L9ecXe((_Nphm#<ZW% z5ehxf8FPk|$1=uK)vo57k9NZ7?o|UZHY9kUFej|rKsvbMmM>ZA{czHKDenO$5%BJ2 z#mCDW$5h2}P$H5McScby$2K)}R_3ro?F&84n&+D5BTLSqbmCLo@51bI*pn?!ojkrb zpa+F85)?QL()c3ZW`b)1(Wzly>t6Q*KSG%j+%XVF&ZBt@N~Dq85z<#%I`QIy3-5F* zBf@iC?KLaP7we6wH(*i?JLW<RFrwbA;euQ<L)`e)F6Sapek>2|jU~}2PFi;wq`i=; zpGx&Xz?oHC46>6}6LQdsQ;FIR_mzLYRZ1jutnZSLX|Stj)0-!Lu&+RX84!orjDig7 z_U2KN<9*OHPprQ7+9D+rNh)w*ikK{7J|!+-g!zI&i}piS*xDgxj?$tQa8;H49Xi?f z!`4jLavEnWX7IZqb8Ph-9^a!}cnV^#L6Rb&pBt9D9QBS`a{4#HM^`cF+0UINAd?K1 zsI-P^l^G*WuR}fyY^=MjhPHu0uPd~1@plrVB>o`oqgDC{Kf@Fe9z29mz~KBDJ5_)d z8#@@ILex%%j)VO7B?F&)N{R*<x5JZ^3`T|x99s{Y69-V-D-vW-t5(zqSWuIvTJoQP zSng836rfrb<-BLAJYaYqR&WMydR#9PUM^s8!WnPwba_}943#)*JY1(k0iKHaeLW3F zF9F3Cb@c*G(#x4o8wKUe)z~`cRq~e}RAPoLZNcKJx@1eXE<0b<Xx2^BIp^1`{IJYv zz^6U|I-!YP(TF8{FszDCuUglZC<(c{ynM!wJgJabelsJ*Sp>cgM@>jxR68%Y5}}=> zSXo`Z2~uKy#;xUhg4|YHJ-ElyvCn>q_dxM{`z8+v2gB?_x>taEqlUMZG;miqhvRkL zZ`X~J`*1<j^jT;=w3Du(HZBDx+>Bflz`Xr(^Q;h}DWd{LtC1+uoJ4A)X)8`|3o3-! zcB8~lxnu}lU#X2E^+ql{{w82CDi$3eal*BWUS49LFp{eSzG>o)Oa}4&67Q#V)_71K z^f%RUT)ozc_uHzvk7f2Z-1i=0rpMV_S7X%ij*fcN)}z@UuIn?u*&<a?BSU)#0EhY6 z_+e1a^nKLcNWuQot}jtdAxcOE=7xa<OVjezIxw~a_u9Lx9p0l(_G1m)WNBKvSVWZL z(Sg<zfN4>Tl*#76+#(~R@Kyv@6k);CxIjhn@d_`_ki~)(y`r3Pk`H{y(74Mk>_(&X zhp|1HO8&ge7cG-<&94&^>W;Jo0PmUu<6!*Am>_Z`p{#G&#?9nSml88%U9`O_xuj7k zXP&dRoSj1JyBuTUD=?k`!%F(bnzq<pv2w}b<?lm5KRR0|m*S}5$?Z%)ze+9ATUn7* z&$eg{3#LMo&Q8I0cOZhheUZvNitoQS^@)ba7C%LOceJh~Un0`2n{=1@3RH_56uGq0 zY8~lw+1?Y1E67%`3=n-Ws8kE9yhS`$fHl)oq3^oj#>{5YHboJ(>{T_9LE{h!4UfxB zKA{@IovX`a9D`f6zis+Cor;v_VH`y#wIGHOy0F5L!y_Ext^pwqj!Dr|OlI;?XwN|= z#sELqKjpj&teH<NF!mEI4Pcw1JO{=Wr#Gi8G(r(kmXpJ2c3wsk=V}Ey<AvWaDG-Kx zY-GG?imp#^u#KU^c~c^u=j2D0IXQJI!H*qPn9Y0571u(F8R`=av+~#%h#00xW*<D) z^a<6GJ9Rv@aRcKm_LWyTV0-N28O>S^E37hXD|Xx|xmiME0Jf#ffI$RkSH^^b^E6LI zL4kDnk%iC!PSFi>${F|6<Gaqt6RVoeHgihnvx5gWj#t#rDT}Wq$R*Y>0Z+6{Tz<;_ z>Z}{)vDeTP>v{A%R&dvlyz+{5q+sJ$$mo;$b)?&SE>Pf#SCfW9Z$F$9@}kWZaaiNm zlJyPu^4O5N%^CDE0k#?u3~ZjaHr+a>D#+E~AMd(PDW5h00c0X+5gwj-4_E3eKe0|k zWsDnm>4S+SUCS0HJKS$Qnr5-5Qdc5@JI|4pq#-aPC*wwyna>n588IZi(2yE29ekq* z+Ug^$v*0d#GLsXz^IwT9Ybb|joSMd?OecI`p%R@s*ItT)0FOly?v&2Aih}3g-*&i( zdMPp39C1j=x~AK1z}?77WpZD9V!>Ug+2rXSNQ{Q%Yw&6w*Kpn|<lvy|{n!*)lR?Hv z?95ze&~ty%Dv~=HhgLERse-uXeA?Xz8ou8htPBv8S6Sc>XA3{9qFb;}@uk^!HIOM+ zInPgJUi@?p1jw{BXm~%=QNigeX}HNFjJ7m9@3t-Py*O7!cOcGUG;i)ygIcvo9p-%? z-PI*C)FiZfZFgY55BEm8C7L<2z$R!T!jEoCBf9XjB9r0c+L^|Z&?=YgI=Vo|lQ3HM z`+bX8qq_hRsvO=Sz6!VTI>r<D0&7IPa);^>g~;ZBr1cmEo^^Z^16K~OrPQvy8yu8X zr30#HrUd$^%N9JLOJSm6W;Hs!grD8cbbh)?#b`8CI-gq>-DD>1$PUu=U=dN!nR1f> z<}V+}VmywT0%9eN&To_?L8I1W<?Ky*uu@^w1gV+9eTEOKj=Ti2C`6An0cF^{J`LH8 zjyrUK)I@C*O)H*)H?}Z|NP6@PDKeprs9i-bmky6R5m`Q)uCiN>{v)2;rc!Fo{ZOyS zLA3=)pA6<;BjEa>XkTe~vS`-jTK{}>@C!<-a&%d>p^4$4Z@g=tcIi<C(toM&zX>mu zQLbXO-@I4c?@IiK@Un2VNsgi+`Rlm6hQ<)>&vAJHH&Sge6bSUE68**=hyWLJlmCW# z{*vwZm|qz|kCJZ4Vyq515PhgH5Wz7YSXrgb<RBtD4(c7`nsmq1b*zgqRq30XO$**) zodEtlsW;;3#y};xiPo<#_HWnZJ{{V`j2m@e^PpAG0>~%d{*F`1G1b>vnzG#@O^;O} zcEv={fQOl40<c{7S^Yj%XFnwuZT2a{k$RANrM&}=3|Zn3&5pSnfS4jfL2uS!7S%+x z0E^_zwQ}TDwu<7B&PSJa-9G&`6Scc<;lB=F#N1)i&5lrRvJ%Q5p_3qI6my@7e%4C9 zwAd?zXh>pu37)8H`5wAbs3gBP4Z`tTF!dI)r2NnF1|Tw6%E@w2q=g7F&p1fI{#A<Q zwrA?%X0q%-=fyoxNSUoY{!#AzHd7zl1eH(~L{E<6AMlEL-3{Y$<Nl!3^p#1MS==RS zA5U?md;qps$1$+Qq*JYzZ!D&nKV-;RlP7?Z`DAgf`PZNdBpKV(byP@Af#g0Z5qtGB zg%D*KphFy#h@ZWWCipGP!zpK<Tsjy1la3+0Qss#`$90pAqKKg+`y69Esl@v8*4PYV z@1=i_`H1B&C`xY53Aw_rl2Gq?F$9T<kB`MOlZMzQShShq)P5H9+we|X&iOyAJQHN@ zlV{FaHy?|lt9g%$LHw}hHL&3j$w7{b=rT{U17N*{@e}Bh@KVF2Q}!`Uox(KrKCJzM z$C9O~*5^Fy%o}Du4Gv2$QuZh-hYxjO;9*EXygiG!eJwd0*@1#Zy(2mv)A|zav1eB* zN_LxW1FBb*23@Oo_~tucZQ&$UoBIo>x|QaOBn=^r(OrQUsJ+BbrMF{bb|9&XQB+29 zfX8f0`=WF)rw*y}BpIm6LLEW7GK~^nGl~zgcyQOMzbu2KB7)}W@5kU>bfCDJ6!e(u zeTZFF8Q*YC*wN%ay-m0QJ#N;wX%5*oBN_@??{0m)haS=2s%51eo4eS_xF*<gKF1H4 zrzM+1aWwgCs`OgN35r8Jn+9p14_)oG0R^FQ{JBTX)Cj#5`AvB*B#8OX#0u{&*1dUE zVEkC1+`+91JVy#2f3<zuv}(kRBSAC*o!g4BZ*acBnd3{fdfbXQ%_*-o1oF*r$DfxL zVsAn5KW$G1ycA`(x<Qo#D9)>>MEDMrj>bde`z00S5Is7>Nd(XBo_qGs-U<SUK)&?Z za+gpJu48V7aR$^Ae;-N=O>C$fZ%el`U88J(bsJ9<qdvI=y(YLc3jiyoDk;gj&wzYb zs`q@^OtbQFxPmR09hyzjTvA*$fie`?7#uB;yx0f5Pelbjm=;x3IfPa;<s+#mEINfb zIhqPN0t|XK9*$Ec#pltwxP)Kzz&0FQd=VxbL_-c5V-gNqFuE-e@uW|JW+1&$XAF?7 z2JxlBUgQ^VG9|lYILjbTfZ<`$!$mc+?Zi>y!^^NRp)(WtxE{EgdUGun%qhN1GFiwl z2i_DyJeuc)Okpvm?}?DcFiG)#H-aO|29E)0K|Q->r4l!>P=3JcV##g<-XTpnAkG}g z&apj=e2SI}m{(*=qD~7T&0iBg4?II50aV)!Z4BY}M$-B3*~Y_<L6zQ@)SfZpG7|I0 z)Y}KSCz(s$6%-?5SDO3Q!n~)~AIrJOq0KzVg|~)8w@7*^5p0AEXzkMQH{yXGKKN$5 z`NUO74Z2AgE3~+(Eoss~iMB~<G^m(DCSSf5>cE+c_KHD?yk$LUms~*m)|zP(2tZcv z_N*h7VcdRck|AL}M0u7VRKCD4*%)_%vwgDFD_HMi?B)VV2#p6DPBD^e5UY@8W6txC zA414RyE^p9eh^~$CT+C9fS@&*@|C2x=X&5~*RAwOez$R~&o)y7GQ6`)GxK+5B!FsV z#&rj|&@KapH+{;4Bd8?V{m!da*03heNz;{%;q}z2ZsIQOjsR*_Evo8(?LX81qsQT+ z>u*}{^Y6?~{uj_D$c+H}C*2#H;-s|7iaN4+L#LQZ9Vnhv!tj#p8S2Oi$7{{N3s*Q6 zTOZrs$hRs(SF!2up*s)GK;>;ReBXIUoKMtC_|c!<KS#!5D?LLx6>YGjLi|#7<jX^5 z4ijc}*@&W(AgO&Dz9Cb^!WPP|JU@|MXbC*gRN(~($$uWGfG^SbZr%==t`UyR6d|23 zfF+j_!i>!*@)9Y#@3m_~OBJrC?z8{NWddH53R8o|0BL)M*LFUBi_<D+KxW3iWfcjx z-PRmz(e-;&4|h=klGb`5hsLJn1$wZed&a>`GE|5=tYT3E@j@2KcZAA`krEeMWdh}P zRC)PAmHQE3Qka=IG$;Bjh9lELTsghu%i<^|S9Vke>;O)d%_Z%e`6OG}Py$@~4Q^@@ zd{?jPFmKzjZ6j1_ZuFseYG^kM6I9nj<>ZV}=XHImpK$RDM<xT3Q2jLDD;3_v<-C#m z)Zw{Z7jcyb+KN#pyjiH49wk|hJR9<9HO@fEha)ZEY^olr%aXy~yMEuA9YJthD@8qb zVn4eq{qxC}siC&ddaZ-`Ne8Z|(5J<?h?71vFjI}WzLL6hmHY4kP)_C~(A6Wl&yKE- za@Nv6DSk?8%GP4{UVqkTf&`}5E{cf`RB~7YjbV~pF(f=iv$UsKO)$cK5_gf8@^8qo zCDKj;%D4l&@~V*UGrL$;E#NT*;Taiv<L_Kew8O&jFdoOiR{a?xRh*nZ$91D1d4@~k z@QDqs7rrVS0&|D@ad2%op#uHs+N9xm_k#pp#r~HGAD-{<{EjQ?j~!>atAgj(GmVnh zP49o)UE9Zeab(X>Co9~KThy{z6qtAl-WO6v{8t7&d7PEwj~HowV~qnx`UjMroW()L z^#{KEQ<dewz%e2I2VMUMj0{5hEpI@e-_$0kB#<5)lne$*#;c(Y_cz7<znT9NrTtg) zK8Qc&)Fl7L_zxzUoWO|<p$GM+EkSZS6axPL-9Gu4lK_Gp=I^EnFsR)BchkSgMHmoB z7a0U1`Ojhf8Cpr;98B^@ZbCs<YZGUSe>=2)!7o6B|G0$%%AZ>#Z*swK{{L?Mf8N^u zesfFo4`Y9VKgN6(=9d4q_kRk`e|0QN@`rcS9RF9x|IncS=y>$E9g)CaiuB(&?jM=% zKkfgX4|Pm`dtQhe>3`|{Z<#zb7XK3XJ5RDx{Lirewzf?MxT)m-=T}4h{;LECg!sEK zK!QLl9KUgRkOZfVh${n|vV*)mtE!~DGN*)zi3bn2jiQOO2CJpIxt$V^jEt4Dng^G% IiHyL106$0Ne*gdg diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml index a767369..003f19a 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xml @@ -106,7 +106,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:463e698b</spirit:value> + <spirit:value>9:106f1eff</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -118,7 +118,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:4b2d71c3</spirit:value> + <spirit:value>9:9f623fbb</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -132,11 +132,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:14:27 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:27:01 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:4b2d71c3</spirit:value> + <spirit:value>9:9f623fbb</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -147,7 +147,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:4b2d71c3</spirit:value> + <spirit:value>9:9f623fbb</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -163,11 +163,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:463e698b</spirit:value> + <spirit:value>9:106f1eff</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -183,11 +183,11 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Thu Nov 14 04:13:52 UTC 2024</spirit:value> + <spirit:value>Thu Nov 28 15:26:23 UTC 2024</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:4b2d71c3</spirit:value> + <spirit:value>9:9f623fbb</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -341,7 +341,7 @@ </xilinx:configElementInfos> </xilinx:coreExtensions> <xilinx:packagingInfo> - <xilinx:xilinxVersion>2019.2</xilinx:xilinxVersion> + <xilinx:xilinxVersion>2024.1.2</xilinx:xilinxVersion> </xilinx:packagingInfo> </spirit:vendorExtensions> </spirit:component> diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v index 0d245dd..ec6eb43 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v @@ -1,20 +1,20 @@ // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -// Date : Thu Nov 14 05:14:27 2024 +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:27:01 2024 // Host : hogtest running 64-bit unknown // Command : write_verilog -force -mode funcsim {/home/hogtest/Projets/Cours // FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.v} // Design : design_1_reg_decalage_0_0 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7z010iclg225-1L +// Device : xc7a200tsbg484-1 // -------------------------------------------------------------------------------- `timescale 1 ps / 1 ps (* CHECK_LICENSE_TYPE = "design_1_reg_decalage_0_0,reg_decalage,{}" *) (* DowngradeIPIdentifiedWarnings = "yes" *) (* IP_DEFINITION_SOURCE = "module_ref" *) -(* X_CORE_INFO = "reg_decalage,Vivado 2024.1" *) +(* X_CORE_INFO = "reg_decalage,Vivado 2024.1.2" *) (* NotValidForBitStream *) module design_1_reg_decalage_0_0 (clk, diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl index edda7f8..0d22f98 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl @@ -1,15 +1,15 @@ -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 --- Date : Thu Nov 14 05:14:27 2024 +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:27:01 2024 -- Host : hogtest running 64-bit unknown -- Command : write_vhdl -force -mode funcsim {/home/hogtest/Projets/Cours -- FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_sim_netlist.vhdl} -- Design : design_1_reg_decalage_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7z010iclg225-1L +-- Device : xc7a200tsbg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -294,7 +294,7 @@ entity design_1_reg_decalage_0_0 is attribute IP_DEFINITION_SOURCE : string; attribute IP_DEFINITION_SOURCE of design_1_reg_decalage_0_0 : entity is "module_ref"; attribute X_CORE_INFO : string; - attribute X_CORE_INFO of design_1_reg_decalage_0_0 : entity is "reg_decalage,Vivado 2024.1"; + attribute X_CORE_INFO of design_1_reg_decalage_0_0 : entity is "reg_decalage,Vivado 2024.1.2"; end design_1_reg_decalage_0_0; architecture STRUCTURE of design_1_reg_decalage_0_0 is diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v index 7719f1c..63bb8ef 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v @@ -1,20 +1,20 @@ // Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. // Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -// Date : Thu Nov 14 05:14:27 2024 +// Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +// Date : Thu Nov 28 16:27:01 2024 // Host : hogtest running 64-bit unknown // Command : write_verilog -force -mode synth_stub {/home/hogtest/Projets/Cours // FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.v} // Design : design_1_reg_decalage_0_0 // Purpose : Stub declaration of top-level module interface -// Device : xc7z010iclg225-1L +// Device : xc7a200tsbg484-1 // -------------------------------------------------------------------------------- // This empty module with port declaration file causes synthesis tools to infer a black box for IP. // The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. // Please paste the declaration into a Verilog source file or add the file as an additional source. -(* X_CORE_INFO = "reg_decalage,Vivado 2024.1" *) +(* X_CORE_INFO = "reg_decalage,Vivado 2024.1.2" *) module design_1_reg_decalage_0_0(clk, btnU, btnC, btnD, led) /* synthesis syn_black_box black_box_pad_pin="btnU,btnC,btnD,led[7:0]" */ /* synthesis syn_force_seq_prim="clk" */; diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl index cd9d4c8..58f52d7 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl @@ -1,14 +1,14 @@ -- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. -- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 --- Date : Thu Nov 14 05:14:27 2024 +-- Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +-- Date : Thu Nov 28 16:27:01 2024 -- Host : hogtest running 64-bit unknown -- Command : write_vhdl -force -mode synth_stub {/home/hogtest/Projets/Cours -- FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0_stub.vhdl} -- Design : design_1_reg_decalage_0_0 -- Purpose : Stub declaration of top-level module interface --- Device : xc7z010iclg225-1L +-- Device : xc7a200tsbg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -30,6 +30,6 @@ attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,btnU,btnC,btnD,led[7:0]"; attribute X_CORE_INFO : string; -attribute X_CORE_INFO of stub : architecture is "reg_decalage,Vivado 2024.1"; +attribute X_CORE_INFO of stub : architecture is "reg_decalage,Vivado 2024.1.2"; begin end; diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v index e8ddc80..2a68790 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v @@ -50,9 +50,9 @@ // IP VLNV: xilinx.com:module_ref:reg_decalage:1.0 // IP Revision: 1 -(* X_CORE_INFO = "reg_decalage,Vivado 2024.1" *) +(* X_CORE_INFO = "reg_decalage,Vivado 2024.1.2" *) (* CHECK_LICENSE_TYPE = "design_1_reg_decalage_0_0,reg_decalage,{}" *) -(* CORE_GENERATION_INFO = "design_1_reg_decalage_0_0,reg_decalage,{x_ipProduct=Vivado 2024.1,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=reg_decalage,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) +(* CORE_GENERATION_INFO = "design_1_reg_decalage_0_0,reg_decalage,{x_ipProduct=Vivado 2024.1.2,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=reg_decalage,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED}" *) (* IP_DEFINITION_SOURCE = "module_ref" *) (* DowngradeIPIdentifiedWarnings = "yes" *) module design_1_reg_decalage_0_0 ( diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v index 0f48b75..04fe639 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/sim/design_1.v @@ -1,8 +1,8 @@ //Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. //Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- -//Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -//Date : Thu Nov 14 05:13:51 2024 +//Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +//Date : Thu Nov 28 16:26:23 2024 //Host : hogtest running 64-bit unknown //Command : generate_target design_1.bd //Design : design_1 diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.hwdef b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.hwdef index d57f5d3b38baf25264df78463f060df51bca781a..940b1b3754f59fc3b6b8fe50c5b1895d72295b0d 100644 GIT binary patch delta 6178 zcmZXYbx_pdw}*E@5s-$ZySrNuX=#=aknXOf^NXak)Y6U89Rf>8mxOdG(z1YbNL{_Z z`^UX=-*?WuGiRRp%sX@bIrEeY?+62$DyUD0Kp+r0NF^-LK-1L`%M}a)8K8hb7=N#w zkCn9zx39C4uFg{sYJTi%TQ4nR*HB)fAh_wbb7MJ+4T~x?XDI*2aQavcY?)GHB4RW3 z$NRUu+!F`ohn>55i7lJIwmqNNLf<qD;~!)b=cvcIYZBAYS4#)FzN&-!0Z@9;a8SVW zUiv3L`}g7)l=UQ->CVa?V?T2Rm|dz-TngH#@qvS31n6iV_Zl2cXylkGTgF;A=^ZD1 z*fO9j{pdC@o<#au>%1&`ck=BGSoO7mO%bWR7M^I~bazQ#e@j*S^5HX{u$t4o@H3`R zC4;ds&ElQfiBQ@_lvH;DAi%@RWr)@cOsA}_CafTc9N!^q-sdR&8XGdyYJ%UCRX(x! zqe{$6%rFA0f8<1E59xp&kOg&xQ)4uLK8){jMzAx!ugR~d3*1y08k+U`iJe^je0jIS zmAVTTPse(+aZOf;@V7Yr8S%^RGY$vdK8a;Cc4p?9Mg#f4$n5leG5b!bc7X#4jH8Av z)1GH}*bJhKaW1`L=IlwMD-YSx=69ff6XF7){m+EFUU1B$LqMS0lsqsSum)RcP41f1 zF4Jk&_24F0Y2dW=I8gn@2sx80YAUhP*opk8AEO8{oKD)l`&xN8@N&AVHy8wfG~>X6 zO*Q4wOh}}QV7oNvzWDL}_hZ}j{m`Z?a>e4d$*7ilg~c^trFvlBMbfn9h-YO+>G5L4 z8)+|MIBtuJoZD#VeES(N?Cu7<@X=^yG=4Esw)C!FGOJG85eWpAu4T#f@#gyWomdB) zE_iU50FGYYTh#r3i(mh4niD(nT&d398+@(+`OtY2S@@&df6l>ptE9M9%E2!h2wKW> z_8FtuJ)EB#RtX)u4D{;`)UWa$n_J69Tx=rdHijlk4_07Z`rW|FdgIXVRz_)G!keGg zw}JCwFTXb%){FF4-fY<Wb&skqSJ&?X=P%c*ODC_^K7U}RiZVZ@J3;BV`co2=szUg{ zhmo>K5vMr97=(L6OLyA|ksGmo!Tu}wdv)N4_b=txGQN5XJkalwJuGsyR4&O1o4ERD zkUiR|V>I1CDWe{MC*+)E9yl9oZ-`{``6x!b-Sfvud>W&c?&<rC`1rV2awx;CMW<d6 zOlhq$T=tO2<9?OL<yCoFDXDG*I;n}?1J8I!Co=w-H9G%gE}t(R9ei~>rwO|pQC5^e zy!8Nc-*(*@>SG32wr#+jD^H*ZA@tii;Z}2(S=?AZM4B8xQ**-XRKIkp8#_)K$xo1` zYJVM<m3d-BIoCl->;vtB47eS4?MB)EJn4h-QiXp!+p2y0z=}aw#X6uBwOu4dg$2{4 zRLbfiMw%y9m-YsH#FgJ1DdnT|r)2(iEw0)DewkroeqfA!EKJPecJa(4rdjR*>-+e- zr(9JfVb?oA!@cEAozeYz>`&NP&GqJs!R~2q-qX@PUhZ_>qw?*5YEMD6MU=Azm(PAa zp6B<sS0bTX-`4I=FLt;0?Yg=G#NWCcPyY5vf0_aWBktzM5+236S66ASCQLRD7wXzX zvTsQIT$I(*#!ccnpCI`JO*;qX=T66a2k*XSt1B!4qS2Ax7~3)UOk8;*3i=AAh|VdJ zg7L0<SaAeeu64g4Zth$XY%{^47>|xL2;)1$XH<a)rtzN$FW<A3NDd~lVJ2#-=nxMW z7^R&5M5a%=T{v0y!tpiJbiTy*j2}B$DuZI5<<&v&3dsXwNW!MjCq36hLoUuHG{JFl zVQ8;_FZ!V;Jm{qCbh&YZo4j`Pr%i^g!lzmbPDf#h(7@z-j;t@G4eoF4Pq(f~k*}K7 zAIMh=!wS1C9Rqf04Lx>gC-YT1rRSrf-t-+bm}iNR+vRAMNRFUS=UJ&upDOVg5VclG zhc;_`-J5HAl$I(KgNfgy-sA_iYPD76kY%C*inyK80CutJv9k9BPh%9zA*T5Cu>CsV zLMYUAsYNH5Z`Ie+C-F%%)(j-wNFK-XO7qX0Ta|nfE{AnruODq}X<sF8IrE$4h5OMF zx}YeF8>*OXpB%346et(X>r%GgR!1#AMlRz91`l75&B=*p*6Ha9p(`hZ;fL?_!J6*? zd-9C}Lzmh(qs!C{?ouKjF)#0Q?FIzs*A{o_Gq-eY5g#!o#MrN%Rrya_TipC~6^I-g zmm^pf{^~~+_jK+7<MxT8X(q(;59&wLk|7AswZDDu^rQd9ds40aLj__GIndSZuS>=g z!$&A3DTo}ZFUAwV>gsmf0k`cl50cE}6vS|N*%54KkK2~W=NEMKqoR9yUL)fCKm0k) zI=7^V&#$Dlk3PIUNo-<mv8wO)xg{0<q1!o5p|8X<*Kfe8$E)K{CP$ckgLw&4*~Bsn zRNp&<?j|t%s`K@nT$Ex-bGQROn~CSUF2o;R_W?cMtwm$)B)F)t&|j^v+`6sqQWz!k zA^Nss34~<UiPjUyY$@d_zLeUSIen%0Vl2Sh!iK#rAizs}h~_qlh2`T2%BKi%ry`u& zpnh1l9Q-lgtN1YFbWJy+uhZ1N6fKF(eH;qnBQRLMaeDb<-%OPo65&>gLo{!peHOvZ zN&?Jox(^S1bB}hRHTnn+6Mc=hCMW%V?+Hp3M17Y^44nkQzjr-uf2hdAslVBU1nIb8 zyMfq6si;CQh2x(Uz>bt7B<*!L=7#vf7_W6uop+_Y&U_7@*GzUJi)6sUXn@Yn{9)aP z+yoWjxDCuuN+B+KjpE^TJk6(huORwE6#&YqwKb7qN)mc4X{ftBJVc0<_NMQ+qHUd{ zKx;ol;YENZo1Fb~Ng)znq1(H<;lZ$X1GF4*H+z3x4<lr<OyDeKI;R(5m5FWH9h3`4 zim1fc>7@JBrC(nA&syRYebw($Vk(%>FUpWqnf`@WKWA9jXV>iaz4<QcVoi^6?;J>S zn*QVLdw(UR-=hBT8Q}66W^%e0j(;f3G>M3qdIfiG85>%<w0-kHBwc>WbV~xAC#<AH zcdnjaELy8h;>RRb5zaeEh*#t|BpoBxQ`TmdQ`&R1fn!6rJ?n$4@{H~IL?p~|)qXzG z@4YnUN@|ZDnZhc0{ROlVW8N`wY7fl3S}KBSfXyprc7k~ea+5s5GX(WpUE0ND-qM|; z!;1&BAa{5xk;-JuZK#-988t#WSX1Qe3J%!v4rcX7hO(1;Q`A&3sp=~48aa4yT#C(L z#?p$GR>6t@{g*$d$zONiH-32xA~`r8sI~CL_?Ga>hz=6_*r{>Xs%Yv3rGcNwAlHyo z!bbPH5)OEg7(voDVG3iKRt)Q)!3;Q&Yr-A=dyGjwkK8Fm83TrSdnGyKI?+@aDiih+ zV)SGo6O@!9L)cq@Wy?1tjs$%&%dKWCKMpsp7d2oQd^bxH+*G_*z~?+Tjl=54k&GiA zH3dE;8y2_w8c{b3W+IcG2Ee$Th-GP!v(8yXJ{4<p;W2V745&*30R_VrT)8B?jEU(q zq^gntznu|Tz;|F@j;g#0odzd1wC#gVSQ~K2Ee+t}1y52Ksg9?jV{sOD{`d>xP5O99 zkz)^;=lEB(R-X1siE)LEKW&<rkR{q4DvnO&p+q97TDy|#OiMz5Sjo>LN_A+&2p3CU z%+=%(&zv>?B-S}ztwvb>EHcX}AoZ3p1)Js6$&VAWk?!(+d*w7tD(A;jx&Uz%mJy<8 zq|$rw{84hxA?~WaJ58fiB43Exd55LX(*wmn%|Z{3mrZ7_Xp@M1uE*Uq)BvG}KmMPC zC2i6%eS2OOEs@Ltizd2GV+f23?~Ttl8^H*DiIdov9}i)VGwikO!Uu<L2;<FVlx{<J z;>h9sc(+8qWNY0|K(J+x$jVJEXS3uZ*R95#|MzX4?|I!~evYNK=Dn>GW`A~X+3TW4 ztG7soUQ6$##`nQLi0WX<7-Q18_Y;Lru!i@))5hC}qDOB6rL>+duhE(MF)T313`5x| z<|e$Nd+$Gry*98{7k9;mXC*bvW*8ZtZOZynU9dPTp3fsNZj0sg&V;Fb2_NcDUrEJF z4HmEHTQ*Li9u_H}Vd@FBU4BUFU2#h4?fhH$SV=f~)TEXEn^3acBf28m!$kPgoCrck z5iGPp!w{hUH0|nni^Z<ya^c$@b!yQkbzS&)abT~sTo?`Qle(899GZGdY`ea6Ar}}% z@7hPz#enDFD%>v2SzYhZdOdWrAT!;9ng;EJ$_S7F8M5*X*#Y93ss7<-+Kt+?>W=u= z@Zgwxq!m%(r*bgUa$#(IVepPa^*mxA1+3zJPys}`z}(0aUGSH&jy?5Iud*+$286R~ zyOA&j7>W{WObvGLt4+ppKiqKTH-`dP1GgqxW87v4zmJ6SmIE8XYf?njGpvjCPPD|= zl~!ARWIQx&WIS-6PehRHIb_<D#JL`yP*#5^2Qo_=P(ZEi1a_+&drpYf0`PK7t9D}j z0P2A8BO40px*v9g(SvL+j#z&&WU~apXGGUro9q1e?V5PikvGt67U$4e(lziM?Ku)w zM2EM$X@?cwMnSRpAm{kbUUUSl*pRPJ0bM?GWnf0eCtOul&<`VHa_RuuLl~#kqs^s_ z2OZ3R(Z!vl6@qKOVqZaB5!fW#L*O3|Pzz^8e@(hD7UZV)R2)YcPGzk^3$7m9?T=Wr z%Br}`?Ji9bJbQT0pZB9|&{o3}={cJ8PUM6i;#ZMfP4CL)!<O{5mqa7J6;mgNwz_Zw z-5wia`&!m67~bba{d<w_ldhCb<d$tR2M^u4xM-M`TdAB;dMF2h&)9SH+_1V3fMpWS z(KxgHl8||Qo$ykMb1&<}k&vUApYu|nxRpjJn>NhvAmA6H3WF6-na?dV%4Qqk16ws3 z^MR(s<Ym29d&ER`rb#RoZ)h&@@Cy39lz#S0j_MtIRY<>_7Gs1B6hELWgn3lX!A`gc z<pymr#@NN~wf-Ysqsd#yyj7e9&~4JAs*n-B=%s^Bs8GI88_1qmspCc%$<}gh@}ZEd zC^01BrkcI6bpzje|Be+6>Z%*yM@SQ|;+Bz0csCF7N-xyjLVH&S@s}B=CP`Bs4gRpm zMzVJLLFsB5`YxA=m1<<sL+te+#4;>E(RF<@gd}#r;-q!SzM+AtJr6tz5R-d#M+Vz3 z=h+?<Ok7qpr?3h-%tlm&SH{Krf6$%Enq}G@kJTP=CCylGda%q9%iA5_RQRB{5L87! z#S8m_ZDqS!o;xJ!v(ROUV>|@g^90rwSZD-T>x~U?m|){Psm=&@NOsq<5@(|3{!WI6 z=bpUI@dS+#I$Y@~HnThM4TU@FO3)~}v8?ilwn;IHtOka{Q`D~T2X8|^3Jp{GeKizQ zklKr$!s>I-yxfc!X8H{yf^EFR-{sW`nljA6Oc#CCO7PR_08yKM-0FzKq{X%S`0%k6 zzhLaI)FHx^8pjBBNGzZ4sRyYAD`Se-+6o>;W}G%*sf=0s$S@a>Xr!v}Y153KpDb(S z!z~Y_f-w_IMvpP&*R<+jc@jR2E&k!?tYXR%JHzLDcRsSrgs_oeD?4V{BWazoWGnvn zq{*r~aW?$w<AK+rv9t^$R$KET+{_F!?!07~SmRd1LB5!}$*Pf4E0K-JZ+{~T)p{}> z%#v5STQlNRhk5|3zduc(yDDPSQQ{|);US+m%K4jyZHGDNnUb{9{2%InpoIU1=3gjK zGNkqueUqfK=%^A+1njYbWy=zSMr6GbPV=G4cV#b>!SCyy-+m_}A>br4{~bA6maUc- z5GTRkW`KdH=)a#DyGrF8#4d(9i~<d~+JWZre)YEMD4Ay1t3uEof|zoiSRT7or8UpL z>lGCw{>5b}w&g;&tH+Ttm>~W|dA`PkG24BiJB!eh(KZfw5y7L91Q{`(?-ipx`|Gs* zaJdfU;99zG2Y#P}{R+!12<x?-LT4AzO$D{bt}`v)`9Z%I<TVvLIuOa-O9040cX{}p zDA(9L7aZmCP8S~9b`+U6rOi@zSf=e=l@yS;SDUU(1c;Ae!#E47*j@A;lPs}@WX)@p zaKM}d3g~7@{4$`}5`0v*xod^3!Nme61q0@9)8spHC*bV5t^U*<z!Xm@aF7J6i?X+> z#ldMo2f0SD(=Nw(F>p~13}-VmyMbHweooJV&~8i9L;Id4Lmq$L-c?k?$&@Gb<Kz7Y zx5|n4+pVVfB1xRI41L{r6LT7v+-u(r#qLAvTWs+mT+W;vlE}4+5^2X3x~bGpR7~Xl z94Zc5a4LnYvHDbrpokZ`7df5TJJP)ktcuAw`YFYlZlnlU2E9H&HRxh6%b<i)ve~hc ze$IN3ySvuF`r1O>X|zz&EyHJ<Rw?`H-kWkK7V>qlfmw-Mv>p;A(<ALQ%9im-d@PGu z31;yeCnB_OF-<_!`2apH!Z;FLEkcBeW2xr?IvDC&@a&s4%wq33=MuRtV{100)aSXM z4(wzddSAg)->nENYR`Drqd{y*W$i4Bu{#|wsCcn%P51m7?>6trf#;N!WCGo1Tg)2O zUv}3w_^NHvklRdiM~%I*`wDsHX+eP>Kc?4;KynT-p&{<W4Lm*^b%D4Ja}Y(a)}<yt zc;o%y=gv?z_XQ5Z0u|>rbBJp6t!^gB*kP>tlbQ0W{V4EcPs{M=^ojNcvj+34^ko>p zoI&u}mLFU++wb5p(PkfIZs0hvr|C_BW!tu7hF8B(2KT)WmY%IFyHbg~*lvl~s7lV% zVV(%tPw%rF=K21Sx8EfCdUJElQaBr!p~rvrMj&17RMRil+pEz|;JNzKjhw@u%63Wv zKl`WMWXyq9Sp(5}RtXFP@VXk*K~Ih2`wm2=ZkJ>{dcnKRie&3aYF%pA7l~(VKQcmV zS#67_-pItZJH-&P?!6TX50=!LYO3&*mVuI~l<L=*OxWU0^|cW;Q+Kkm>+xHN+w$l= zox=PUZM}DGg4zWy-lQA#lP8rk?RxVB?NDU|QXmRIkt*$fUkyVPd5I}>{p&ze7v@4K zx`tc5V6&A<Ns+<%ibgf}1L04snMugT@%8SCDPhImb9UL%j%o9Q1^(5|Exl1{svh;t zWRs?F!|Wc%rwa-j!f%YS>m94wgrh2S7i>hk#wIV3HSv+`!VPn%%W;)&{hj-f5zCGO zc|dnXm$2Mf3mtJE7Am7sLRu#XkxphB70u#Y#FH>+vVkqTrTOW%=?Qm3_47-tYLog0 zHQzN0B%#J~+`UG+GiT(D2I8M{q8N$d8#Zb=Q{<kv*ZHo%28;ufkK_+ud{(P$aF={L z2hwZmoJx{8HiO<JV7up$(w@}be}oJGzWxI*Gs?G?xtQ%Hv@o~B4xkLiaa8#vciDp@ z;yuUt7CF}nLVW6Z<x3KppCW&cbvIg?1Wib>k}lzTB3dV>K=zls2ve)q!eafeZaQ=~ z*^-}+ev>F3nkDgy9gBOFiwso6G)FTbP&0#^x-^zF1tpK>dZg)j1h@;y_m$EEfC$&l z!3KIc(~NWm5=jqyJ5^N52p8#)CHq>twy*IlERa26f4Qw|U>p`BfgOnV6qejGE0q~A zY;6dOf0J4i-{>P067&vx@fMXQf@v(j2l2I;dxc-xcbB%S&FNA9C8wU$_X4gS#L&eZ z85N=>mgo1DMwg{U+NB$Q+#MU>C=O*0@mtN)9(5&V-gAUKw@%>gPyd|s%#=q*`=-P2 z=ZmHoAvzK6%L;eh^?a^oZI6dh&&Asq8l}e74o<g*b1!pO305LuY7NbCZ#9R{C#<F; zsLqR39s!$~Z{uwLJPPEMcWme<C<eWpTX4R)(TPuy3=W4-`;OEMD^*^onYeUsliuW* zk5GpPN$gIUytvAL7MQ5dA>Am@5xspx^2Wdrhji~)eXwl#HsjE;Fi`GGfQd1s`L9t| z`ybtZr2dcFZImOqK*9b$8a_pVoI&89cK=tJDqs{MRHFZ{f-^uMtiKBX-}&qAK_&0< zQ*y{DQ2!>Sw3AbSJyYh%X#^d}K_G4rFTalmzqx~gf+oMdqlUb#EWd&<ua&yUTN`U- XPdiT?KOPqm8#`T1Ck1ymLCOCD<t^qi delta 6165 zcmZXYWl$T;ySIb8ySsaFElwavC@w+6gHzlJ1PRdMlor<_#kI6p36v77xJz*lP@rg` zaCqML%$f6_v-j-YANDub?9BaPXRckTDk&Hkgn>y3003|S9eiFED2WbEGc*7|799Y< zdn%V8sM9~f!JZx_##jIhK!~Jsz+1@6Xi>_zhJgl`&<6CyO(K^_YBmfV-p1frUjO0f zwy5P9TLg7dqIl=wK*;#-LO)B#h?Uv(#4nK6m%5e7CNf2Qnky%3!9IXnNq`E>T=H%X zZiJhL=#h|Q%jYA})B*PR=7WpW5uuP-mI3;Fpazf>d(v${kM>KLy1}UZNM|*}2Y+oN z#y{zxNWEH2Ji*s>>g%cf7qxC~CNm5W7`-bRrs-xdw?a`a37>Ca9hTGvDUH*{O3?R^ z!RSaNEkVBSQ32=WivdMTTy>bxj6sw^j<sIpl`DznyV#_*By2pa+v<mHUq(eA_H^H^ zTbcA$A(4BwBU4G7g9C_lu*i=Vc6DnS8_sgt-U|Dr;JdG}#qI>A^)J0Z5B#q_Jg_^M zb?2ufn|CB>l;U=pQkVVE{0q`8TVt_hun3An`Cc|`5b>1Ka%I02^buvFVtNx14-+$b z$MWwagaFw8J&7^jLRDWX0AM)dGa4Uk#CJ=k`RBZuD5VcaD7BN7!ThE9tV+ha(I#~t z;E>lfc1g3bm&W2-%}ms#drP)K2f~|T9Rtu$9tlS#dgYa8<N%@3fb){ayK9&*?D66J zC=B9Zn}sq#Oe)B_%OuWjg?3vjUNTG%t^bXW``wXOx7)IJ={EVYc8+N8Iu-Uy7~@eY z9LUo<h<AV2$*SGwqkdKwCyuA3BIl#!gAlt#jlP+)E%;|Z7G)!`O!G5yTFyOyehd=4 z_h*Y7$Wkc5z_Rw$FMlM-o0bTfk{2xf7dg&S1CwNY-bV1XcunRpWKO|KDu0lv*Y<Zm z>fBW$mxw3utJO6<v!c@TR!i8=xD2Gqx-CyV$@r>teDZWs*y#W#kUfYtHADLk87(^K zPv=&=HiC>A{`}aKc>=egkFxDYp!k&*QsSoD%EPS1nY`gkr`b29TtjrrRhb0zm+1II zRpP|=RTP@Vg|CU7I)mAN_ir7ATzz6K4&~QG^bSTfQsP|b%YGugeis2dE#B|$-dCzr zbZ#AZgV$4Z`1-_1aqj~B!QfJ(h}rC->ivG|xUB@&$0EUi@2xcwG(G7rM~YTn?8od> zzae|I;vLrus6Flyz1i#U+Th%{BvQb%s{InS=z!O6r^%kdM_BY?TD)*%I)NspA3~k_ z4VN)&Jya$4)xFD+LA=TaOkvSBMOr@^GxJ^8PPC+}C=;>xqY{-wcwg2RW(afpH9iae z){q(@F%yn9?HcAAc{oW0=j)XkHifuZytYs^>DX5FdqRcLNLe9s+W1Kb;w=2O%R2md zh&94mP@3lUxefTC{PW)?X(lYgCb*b^P@(KWaP9=wZo^M6KcCP)u;b+~6#C~EXRfyo z)4l<6w$Hl@g{4msYb<xN83@=h>^QvzF@Bf#vBl<EQ6YjvDyTUJM!i?DZoG8lbj01r z8nZj1<qr{J-mPiXS~-B~ZgMilW}FH~o^|x`;mXm6aOfkb4{p2nQcEKz`WrBn#`aSE zf+bxeGuDgl7LGSzw*332f!>mZ`up<SSyzM+{)VTT66)!q?1masdm=^8qiQnV6I<yh zo4z2P$DZ#S(#!$~GM~l~m7;u4`R>ICKb;!Sw_=zhjwPs+wP#!axGo^l$LPok@~I)2 z6bwYlAj<3O;%gA(YdguyzDn%}GX0*9!2HZykHUgpIdDBJ1A+bJ)NW!er|GMBT2;Gv z8cMI?B#p2~ZNxrsU-XiZ$VEbIN(xVPiMuKzQ!iocLl5+l!u;+g$wm)n{t$08ZF&gf zj9J0E+`;0&EeAs_b>&SEhGtHW?FYYzLPZ3>*~ErWDcWOGUgZr^ul>T~tt1Y~u2^g- z7GY0=S<Dp-(_05YpV9=pu`l;G_hx3D^!KOY-1&}w*I_Fw3tanV9zo!EE95@%lB{+I zW6EvV>ig=I6?EKOccojSk$Z}L#l~~ChtGmIj^Z21$lUoyR{+jWhgao0&7Mh}pTth4 zJ_lw=EkOXTJHp2tZJ^~b$dXHtE6XTEUB~o<o%|cD1C+PW4$5O)DUb2VB1S^w=1!(m zItf_oR?7V_gAShVaE^rwO4}2GL&FetRr8<&^9~TsmM|o#)Bg$I8ki;g|M5Sc@bhDk z4ws+<=KsNWfaa$l9kf9Q_ABM_K3RpWofi`)=s<y>1EyX`XyC~dOsy02%jJaqMYAtW zI_VrFH1lMtuWdwj2@}oLDSA&!wAW9bLP0BU{dQ6pTn2TDW@oF#E40d8mqlW3<>8>K z2t>ew_L67!yy(+_F&nE+GRZDo!iAE!k)QMKyxYd-+c--Dak4~S%;2Jb1b7|z#Fe@b zwbkLoC5I5TmEy#~JavfLYH;E-I<Z%#F=9GrBgZ9syqPFWH<?#YGaCnVZWcPZN>T8M zN|%rpigx_`Uw@Ro1J$GUT5iK?q7B=jlyG^I;$rf=ToGdxSp<D*%mqDF)+sSuK(d$& z=d7=Df`nBL4Q-D6<9P}pCQT>%{!tm^){uapcB31GYrGDlUw=CN{W19)T@}#Z`&sc{ z=y6z8$)z0dJg8xPDtH8sZiRETDLqys;NLci-IPjv(NBy!GPWM%P13<F%jYArpvOXs z2blCQRASbczgPZTn^fxkY<Gi7Pt-b>tB0Of`8<Bt#BVH1Ep`SiHt?!g_4O3x(i}~& z<lRLV>lw`3MRbt7^mzvwbQ_{l$UH=DtaFR~FzdO?9lx~{;|(m`Kv!$M+@@7Ybt8oH z;*Co4VHwkbXeWcT<(O1FE(=kj)}E_@Dc4;Q_vm<X7(gkX2!@~c_Y9+RLl4I+BTU=m zatOUX1Yd=jL$9Rr;6I&VIi9ezO&$^*D%jMc8th%Gs|03!-7NiQmsIyb02Vb!j`<uo zQ2I_P&MA?vT$thm>qYFoliE93h(Ak?lNtRFA_DUazMJPH9R_9~;7|Avn2^(;2k@Dz z&qc4<)kyi^gP|T;Nwv{#1h_aST^zGWqS1TSUmV`3T1h6Ml~}<ajOM|h<Fvm`3<P?0 zz>r`iWk+6?DzSGJ0?rn0NbsO4QuS3ZZ<#;6FPE9u_FDYIb_G1e5x7nK2nV1xxN^pX zE`JMZc}Xy-h61(As#@66__A5zofNwKhgi#8qgUo}PDo$qUm#U7>Rl3cv$Znw<h1!? zDeW<x^#~L^e8zyEMQA7&=N7oB$f1<5t6#6i^gD)4R6ax%;d4hH>jKjMsmJpI=eA_q z*98D7?DFe4D;u>N%xi1OkZ4sRM=tS`DxOP8B}{hbrkG5hQc5reot06=QZ(PdIN4B4 z6&%3}FLxZK&GsssS;2C)Y(aNBAnA^#K$DcJSEah{YfCUY!Qc`<nQE2`(@Y#pktiVY zjXb`bouQN!ld=MSUCfesW(l!qFXt1<-=P$vqrIDs<n|7-mWnKudHo`bI_SO44Nqx# zQ#+t;`ZuZO?PM_fHi6b*C{9HKC+#-hdf0fKA9-!$ZM_u(A(qz=ls@B?^maMDrCa7n zMkrH(XR3cuS<41o!HPODdJ(q%N`2PEwTWfyB%1YAZdnEt{|!nT5TH~pS(yT9ByLgj zi7;Bv>^WObS*4m_*)ZdIaKGv(Ci(m2C&|Fw*^TaF0-|fJZf)S=1=>R~i{Viszej`4 z=l-AYF!{6Pu(MAPL7PR5{+Ew^4||kmR1AM)7QE)YJ<$*hKD%*1R5EOxxkVe8b5Qit zym2(}N<hzZJc8qq08tpUz``<SkjwJp;)fn>y?EurVmJOm%y9Dd-T+X)Qg6Us=QRtz zYzc83>2n8PRR|$l#QHWolvefrW(cpqojf{W9n%31F04|X%)i&6-21m&325n>TSr0< z8a20c^RKU8JY)NchXbRK^$7j7iWTGYp*kcn{sbOgD>GqGy6h6h!skJK5!qQu7PVp$ zP_L-Yc`aqc86;xFdCj9&*8d(S$)x*?H(CFZJUwk!YlKn1@6CG#Y@)5v-o|sPYk~>~ zXYfji<#r0Q46a=mM-LswjA`JHZ~#ru4X22Tr_(_GRyzR6;|;@e6OiA%AH@<?y3qk! zEs71;JpJ8KCecg7DYEsu=xOY8X7$e+e>r4*Kg^;zJ0!NWuqAz~q`s>6ECo4j97BFG zp)mkf^pmZ*^g65Veaq50T!LcE-#k-<ON9q_jzry!{#kYa?V?^*=cgwrlb?QYPZkB| zV=|+nz{AyH@i3LM?mXjWf_*QWLv=%|rZ(Gak_1y%%7sY}R{9$OCuj&=eCo<Ono;?T zgoMk(OMDmVKLR;!dJbdnrFyHnOVJv-V9vDyy=RoUXUg6YO}Qew7Dw+D#-s%85Qg03 z{d|fizyppvvw%#a{F5)13tes!manJXB4&0?a#*R<f?$AglCJ9fky(yU1BSG?0n&2F zzi&*@r|neZwq7aqS>kHvEcc^S1K_$CF6Wy@MZJrqa4p($_<$uh4}0=+zc3SaE*zHW z&(p?A#vv2LSeX+H`}U>TgM=18HTpE*hm|=PRpjlnk+wDGvKy)%&-9$`#tN%AxlUNv z>~E8O!(gAQEI%5>UHp6lf<mn%twL5`?7mRQi4`iu_u=Awu0pSNOEyJ2Jf4<2aU_T{ zA5!axQ)GGx=6hS9UB7}E<=#t28RO33!$c@-up%VPYD}z(Q7C9tKd4W0r@J5d7iZyd zqFBIwo?Fwc+<aj?sZ@p6BU)nDXT5^rZCu({)-~8M3YYL#!McIH<b*v=|8IX5fikPg zuAD>3ae&%_Yf2YM$s^8jhjZDiU~(0FABeAA!ui9IgbS>dlSZ$DG-q7~Ff@%25|Pg} za4dmpz8@x~|C$G7kKtwj0-3l};|&jqb&7^J`MIp)?eVR`=6NQFCSxLFAL{s9+wQ5# zQLuY3A^TeORWr8|ewLjm8_!J)_F=lR-zOr>Z>AFzZdc8`CNCu5@B-geA(CeY#7tEC z7U<LIN!?k0Ifd!mSL8d?NQi^UYz3)B@(S1mmOr5Aln+!ne$5x#<~HxqDOOH@b=%>@ zr=LAkHSKXiS}G3exM&<k|K43UZf3ydmx0wk(mE3V`u)d8Elq1Syp|Iz?i=YosNe1= zpDK3pIeb8{BgJXr;Gv^IU#S8N?QAAY*v^IU<^^sIONYPDFsCjt9u?binM~x}EBM;R zYR*k5vHxAePv+&Sksp_SX?=Cf1T&Lc+Z3Kc>Y>{~Orj$r{UDagXU(C>)9S57)=t=Q z9((Q>*gGe+Iq%z#k!x%hD>ZFXqFUc5RFY<vd=WcCBAL9!u?3-gy(?WI5ZhU-ZZp_n zDL}}q94bctG6Fk>7JnGJ^oqejD5J@3^BqBwG+on9dh*a~e?eVM&KPkz|HpFc_q-WV z6I8=cIhm&r^gA*~tMm1}+mw~lci89WQrsL<O`>$^gk#VN#n-+jA9S(V<9IU;Hg%EJ zIV23u%uw~5q=i37Bvf6Fnw#ToZw_Gxi9x;2yCK%lWzj&z{2_D6PeXKDwz>{?Gz=MJ z2j<yHPY->zZzIvpZ^#|9uhF+qu=NB((;K6G`OKk{`hSIB`>SIArqo^yoI1k&&1X7z z;Itw_W1aM!Z%7a-m8lU!Y9T4-tHtkbGy>kCv%(=B+7nRtR|ft%FDZ)?vF-FXqdNO0 zTq`6@-5<-uyhQ1%Dw3=XHVE7=5*5YC)9_6DAY@d6#iExQXILrn)p`0zNOkEqpZLG7 zqYG3U%dn(Q<4E{c%HdvyPnHhMd&Q6_>e<PQNusB0DHvJMTHV|z=S>O)v72%s=F?oR zK3lQaxC}3-L#gtVC8eT8a;u>CKkTDqc^ho?mJB5&&-+v|1037g3H)m_owle!O5u+> zpkC_$wbcEpt-Qb)KDoKqU$tiDL0Ac23;MBPve;ltD(UJ^gOhQ6Ao~VwV)P#rnxuAx z&!Pdp%gBhl7jNSBklDq$niiasoAkTzNwT~~==ZW+SP|RX8|*cjU>UfD*X(|M)l?_f zpg&&IObp7XP&(di1AU<@9t6RlU3kufPrL`TyvJGFGxKV_06QSv%4Ws6L9J=?T*<H$ zv06Kd<!>=j7TfQH-@GDHAg<Oz<`!x|KQ%T9Ue*TIL}S-`;%GVM22#3ASQ)<H_<S@m zWgXYQeE?c%s&+m)mLTCIO!UPZN|%%+$4YDh#q+^udl!fjLotWYTxEalaw(F(LWM{R z;*)1{<oN<A1$QBGz|Gxo1XKKj0~YKbNAnC$LdL}ewGVbBatD-2Mg{hI8LYC+Sn=w; z4o6dTjM9O9yA09WgL4f3k1q|_bzF1rC_xDYytg~dWbl~DUJ}8s&5Ypdws~hCJWI2- zrnX=-8Q6rr<4mjP;#o@6>PE&SDsg9988Usp^_L!o!B|H(jra}aPOa;KIj`SK3OT>8 z+0mFinf|7Bq*>@t9v)Uz-Z!5|KIR`g*y}y`OeAQe$%DjghDr<F;R?)-w*7ka%h!B9 z-+2M@9*}37{f=(>ok=>t#;vc8+c{yyH39SV1;fd?jL|l?0cT{^k~a*Q!~VsY9j$C; z(9I9o?iFuu?yi4e7sx;fb8cHKCheZ*%?iGZh?=h%yawf7?o`BXetJu1!mS^5p4Hcv zMzUHC{%-T;W@KcGAZHZ8pZ>{48DA5%UD7OfeE!cQl)4uGJKgfu`-u+;ed*;JufKUG zHhV)2gUKh*dpf&j>hn#5fAGV53Q2M)YL1(Sga>hf_Iv?RA=q!bVPaU_AM#UFE$~KB z&0_kjB?7aghnGOrt?DURJZ&e50*7|F{PCWW0Y4rO#ZVh#VIkGJlZ|SCHJe;BAyfB! zWZllh8R>9H2(3D#I}-<c6g0%e`rNPv)bZ2|7|=r$zy#TM_sSmJB}!~lcV>Zh%QcGg zNYm<;0G|sfZV^}x8LH)S9Z@|or}b;r1*Ouf@?FQ>6WlsyX|bWkQ_;O(nYt%3Qg^xj zKH6Q#$6cWQa$$DP8l|>V?XJkUyp!>_!@aKLJlW$mdTxt9%f|go4*aTa;J4ZAe6Gu! z)OWk=C=%_rO`m9rub-XirL}$I{&Ox7ND)M-OziaMj0pu}M_Eq=x!lqmuVKRE{lgu! z^U|*;UiLU-|CL~TuKR}wsFW0`i%9?KYhMsIye#SjYguc75q0+kt<?TA&S~><17zkR z^`iIvc{e<T$S{+Z^|awWkwWwIDnY@HJHuVZcp|BsbQq`kW2?XY(P_vS!Ylt11>xP$ z0Nz?9a$pGdOcI5oGkfZJn~~pi=y#<uHW*gC+)ojO4Q$z4oQ_s*9umVI-mPOaD6|GA z?GlCRhG%|ho59^~9}6sF{V}2U4bMEbZCQ}l(>B(3Pj_c$#l}XjWW%Tsk+<s?7=mBL zHEo}EfO>Y<cZSqX!wjlbqszAKqtlJ=^WfL7S!##1$LH-(o=dF9fLVEHbuTk=;x8DY z-DT|YJ@bFour1IuqFt>{==HwsAMY_bwEP<fB<=E}{dvi-mNh;ydrjd2@;+-WM5qkZ zA8-DSy%{3lH?=3pdRWMts-TEPuN*j*Ex!?!RxwDo;`PN;Q|L-o_!G*OH~jG@ez%%{ zEyXj~)(6;ZJ^oQkY#{%bZ7y-R@NK&2$mIw+N1`pw6%r%%+tSwA$YMRvQ=0ieAVisg z8?~|;T!Fon^ALm-r!r&gI~)A<@vuXvyJ*;FYM$iFHx7|SE?N85)sbUqMvgpj^XB+o zz^dPbjr{K-=No1*o~VDEPf0U7ZOxsWWQ&V=x8&){-A)`U8e%u8&{lt+oKt4jA7x%` zbw1+!hqi5rqPavT`VW(y5kt=*{;xUzcY{G_=#&_g|JU+n0|10i7XIJ$q~8H$QSljz z^bEfLl5!f09NM0IyvdWM|Idsx4FCXj@^f|evK13{fZBsWSlIs(FrKRIsSD8q0Kx## xXWr6*zCQjIvQP^Pcj-`VM<X8*FINXB7-VQ*>>(-v3~}^y@Nt*b_i}bt{4df?o<9Hp diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v index 0f48b75..04fe639 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v @@ -1,8 +1,8 @@ //Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. //Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- -//Tool Version: Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -//Date : Thu Nov 14 05:13:51 2024 +//Tool Version: Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +//Date : Thu Nov 28 16:26:23 2024 //Host : hogtest running 64-bit unknown //Command : generate_target design_1.bd //Design : design_1 diff --git a/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml b/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml index 9ef0b3e..e6108c1 100644 --- a/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml +++ b/Vivado/labo1b/labo1b.gen/sources_1/bd/mref/reg_decalage/component.xml @@ -152,7 +152,7 @@ <spirit:vendorExtensions> <xilinx:coreExtensions> <xilinx:supportedFamilies> - <xilinx:family xilinx:lifeCycle="Production">zynq</xilinx:family> + <xilinx:family xilinx:lifeCycle="Production">artix7</xilinx:family> </xilinx:supportedFamilies> <xilinx:taxonomies> <xilinx:taxonomy>/UserIP</xilinx:taxonomy> @@ -164,10 +164,10 @@ <xilinx:designToolContext>IPI</xilinx:designToolContext> </xilinx:designToolContexts> <xilinx:coreRevision>1</xilinx:coreRevision> - <xilinx:coreCreationDateTime>2024-11-14T04:12:35Z</xilinx:coreCreationDateTime> + <xilinx:coreCreationDateTime>2024-11-28T15:26:09Z</xilinx:coreCreationDateTime> </xilinx:coreExtensions> <xilinx:packagingInfo> - <xilinx:xilinxVersion>2024.1</xilinx:xilinxVersion> + <xilinx:xilinxVersion>2024.1.2</xilinx:xilinxVersion> </xilinx:packagingInfo> </spirit:vendorExtensions> </spirit:component> diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt index ccad536..2e8fbc5 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/README.txt @@ -1,5 +1,5 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required # to simulate the design for a simulator, the directory structure diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh index 8ad93f3..46dfc7b 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/activehdl/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -47,7 +47,7 @@ #********************************************************************************************************** # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh index 080cac5..bf338e3 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/modelsim/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -50,7 +50,7 @@ set -Eeuo pipefail # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh index 68ea1e1..476d6f7 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/questa/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -50,7 +50,7 @@ set -Eeuo pipefail # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh index 165e7bf..59d9e0e 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/riviera/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -50,7 +50,7 @@ set -Eeuo pipefail # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh index 1987ffa..942a8f0 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/vcs/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -68,7 +68,7 @@ design_libs=(xpm xil_defaultlib) sim_lib_dir="vcs_lib" # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh index b025b0e..e1263c8 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xcelium/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -71,7 +71,7 @@ design_libs=(simprims_ver xpm xil_defaultlib) sim_lib_dir="xcelium_lib" # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt index 9300ddb..02bb184 100644 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/README.txt @@ -1,11 +1,11 @@ ################################################################################ -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # # README.txt: Please read the sections below to understand the steps required to # run the exported script and how to fetch design source file details # from the file_info.txt file. # -# Generated by export_simulation on Thu Nov 14 05:13:52 CET 2024 +# Generated by export_simulation on Thu Nov 28 16:26:24 CET 2024 # ################################################################################ diff --git a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh index efa8fdf..a03b492 100755 --- a/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh +++ b/Vivado/labo1b/labo1b.ip_user_files/sim_scripts/xsim/reg_decalage.sh @@ -1,9 +1,9 @@ #!/bin/bash -f #********************************************************************************************************** -# Vivado (TM) v2024.1 (64-bit) +# Vivado (TM) v2024.1.2 (64-bit) # -# Script generated by Vivado on Thu Nov 14 05:13:52 CET 2024 -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# Script generated by Vivado on Thu Nov 28 16:26:24 CET 2024 +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. # Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. @@ -36,7 +36,7 @@ xvlog_opts="--incr --relax -L uvm" xvhdl_opts="--incr --relax " # script info -echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1 (64-bit)-id)\n" +echo -e "reg_decalage.sh - Script generated by export_simulation (Vivado v2024.1.2 (64-bit)-id)\n" # main steps run() diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml new file mode 100644 index 0000000..baa467b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_24.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml new file mode 100644 index 0000000..53c308b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_25.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml new file mode 100644 index 0000000..2d61184 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_26.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml new file mode 100644 index 0000000..baa467b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_27.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml new file mode 100644 index 0000000..53c308b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_28.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml new file mode 100644 index 0000000..2d61184 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_29.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml new file mode 100644 index 0000000..53b4033 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_30.xml @@ -0,0 +1,17 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="design_1_clk_wiz_0_1_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="design_1_reg_decalage_0_0_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"> + <Parent Id="design_1_clk_wiz_0_1_synth_1"/> + <Parent Id="design_1_reg_decalage_0_0_synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml new file mode 100644 index 0000000..53c308b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_31.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml new file mode 100644 index 0000000..36eb488 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_32.xml @@ -0,0 +1,13 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="design_1_clk_wiz_0_1_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="design_1_reg_decalage_0_0_synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml new file mode 100644 index 0000000..baa467b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_33.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml new file mode 100644 index 0000000..53c308b --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_34.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml new file mode 100644 index 0000000..2d61184 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/.jobs/vrs_config_35.xml @@ -0,0 +1,12 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="impl_1" LaunchDir="/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst index 28afbc3..8c2495d 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/.vivado.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7733" HostCore="8" HostMemory="8102388"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="13921" HostCore="8" HostMemory="8102392"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp index d5f03d18e5bc4ef47e0f3fc1b4ee30427e23978f..6ece7ce8c3412b63b16c092ee644b417aba27c3e 100644 GIT binary patch delta 11285 zcmaKS1yEeUvi9Q6;_e>Yg1ZHGcX#(-i!M%p1%i8Ead-D%!2<yjTmr!f5cE%SpWOTY zci*X+I<;Hf(>>kaoayQAZ`Rp1Aax};csu|AfDAB?2r|eeY$axa1pv$t0RSWb3c%XR zo!#HXSw|ZI05=jZZ#R-Y=~*m+2VUOM@@~jBhy)BM892rnrQs2x%?ec25*VJK_-d_N z?te$JGK!72Sed?geEB8N#?J7r+zQn(moRd!f+{}sT;NzG0WQgmN6sFE@>x$s1AY@{ z;<ADYrRiH+Y;PkuZUBp38|-EgZ9Z!7+rlKo;H~Fs@ftFukj!?HUO*3x18h46Cu*@Y zf>bWdEK;LT2vI^hw)-?PQ);m(=VU*>p4>38lV(X|*nM?;BQ*33?x(BL1m$|dWvZ~+ z1=g!nZGyEPA<Z*uQ#?74P5KU&nM(nTeJPYlK8P#eGefo!g|U$&RB)Zugg389<kw|I z<PU{72L*f9(H{b3ch{!<*>stSO`{BnIf@(=*psyi?NouNHbmuh=ZTMCoZZ*ir4nm+ zPCDbxW_7+@wg@7z!jF~LeuyRXVkS<Taq-)^g6*T<UDiJZ5g_4%@~q;#w6}M4O*1F) z(uFf9-Gslgnv8vhW4jyQQ2~&Y4MczO#wfo1(M`xwPY%Kyvj2d_Mm|_v-D>$Y7e|Ta zQe)s;l2~CV%=7Ck;o+cnCk{|y6{B+zcKU0(DofKB5}~;1wL8#MqJzX*1o%vL`c-Qx zO4P+3(XbYd-%vCf$i<;WV`Mv&dmW?o!cc*66~ij)MvDcRvCEZijVD}J^Gf^5723aO zsPHztGb~?vBjTlS0L<{rtUngklX-F#a4b~UkNTv8WoW^|K88?rNkj;WQau$1kvEy1 z0sj?TcfB(2UcmqWcfSYeA8^@tIY10x$^Ia#$sd*%2JSZmA$4%PfB)ErV}n8dgGmCR z03Qe`0te_10AU1R#-8<^{dKtnWTmM7JltHif8Si(oRg*v4x96@GAMUN!wxEn6=djf z2>6vlaDPdGeO>|jO|B%L7O?70p870n1Khi%@LgN)ijhb>1?k&TgLWIn)yf`pi{Of6 zhXa1*VPqP%UAcBomTT>?s#;WQ6R6UHm<@NhFxt7lUBsFRBTMnaZO|-G$xa$n`s?L4 z>giGFa#Pv)sNiSp<oe=~+3Uck>i5p%wak6aC3yGL$XS0=x0^Hz{?P09OEyiv_<Lsa z^Q~nqA@QuAWL5nqG8;{74rDGKCf@^eA)=kOa*WNdnGe8u%zcF{D{r&+bL4q=>T=p# z9?!Bwbtl@KQWu3U`ewn4@+#tNKhJ{@ikX(?Kepluv8D|}Y8Nd;pnactndEeq_eeT# zWjvT)S2V<Wb=QK!|HUDYOhmyyriLscent6X>HA4bT?9xc67yeEyXbv|kiNlQmS?P> zuPN0y3qDjPgQqj^_fWn36@*CEXjr2fM_<#})p&+(oifv?w%ab?_a1nKe}IO*H5mkt zF0vQ<ZW{#^8eZz}j<1bP@r%%ZlUn4%qZI!2)DOkg<SHSTmyoXu3tty_gdeQM2p(=p z?`HS?{km_RK_B=7%C=9@>k^q0x3<pb&&|ENEyX-e?w{|DK3RNd)ikLg>gvKr0Wv?7 zM9e)eluR-vF<3UNJY7=;QYnZpHDxDTHSj!ynUl@16pd)Q-_|Uj?VpCdfI;Z-7(+ad z-~}<apO2j_DbYl>WF^OLXXs;rWJHc|DA7o3Lz5*Upkb~B_XkCjNcjC#->+_K7*$rz z_~w{RI7<4F)`h-o<rW>X@)n<TxiKn^lSjAVtI9D$M<nt+vnou^7pawe9am>DsiP5I z|4f^YL7f)5ky~Sxq_`?dxAtaI4n}y!j?<6P6gtmxPsc2hv9+*=iIPzmE7C#no<d5q zOzIb52W5kuNHD6f)0~P8Gc}@$CZjRq@$5GWv42W7ZMpi_s9<DZ(Y0-1Gttg2w8d`L zCm0qwhzem1V=uxQ7^ZECYR6FpT&}cUxdUy7Qx&wsOG<%K$>`C6<93n$9F9!{2vBq1 z)Q}$JGh9(DYl~&H^AOFMejq$K?Hj6f#*C^{keTzBJa9Af(33!2^NFpOT+t9xd7hM( zANd0FV6^ra0@2n=DJ`sGG!W_a`Z>Gd>$U5IBuvVIZM=!q-K~;hoWc6D{QT&hkL4bG zj=sTFeel3SitltI9D^vsF&<3{*W4m8XlK0dv+4CEgR`?JFVWOTG}GRnI_-*W_m|I8 zfpSZY1JlZWU@mwG#n;EJP?@B$556+^P+R9Ms}EVh;EY~q{#f*l!k_FjeGz)h$9`gE z94>qIfiZQ$@B=@L<)+;JF`6JUjSJT?0XGD16fsG1eBQG-`zf5<JZ_y4k;u0J_m=I= zECY-s$~w`}BXKQ3O*Yp7x?|-&^8=ZuGaxGU$a`xZ)l@DU?vS37j=WX}5Bov_*txVT zbLs-DfqGcp3Xip(D{kaEc+xo;dq`VxEY<>nh1)d4rF>eE%%@nHR$E*HFedwyC$1Bw z$)aK6_ZwyjVAE;FT1%j%HH`CAt8s~>BFY0SUjmjGiPYS(kbvb;?U}wxlb34tA!t)h zz$eLnd!^8oh=^MZ1JQdo=DDn$SD-Ewxe=KV3JJHbfR|kvCR4D06<itgUc2FcU<)uX z9<E-<9ld`AQ{E6F6iEYS4MNn~m8UR#C(E+I8b(<o!c~Cp<N8TAgvbVZ`M%gqylVMl zKOciIT-}$cew$Z6JIUmr3gdpF<scVLO+8LKk|bI}=-0`99c9<mq>l73a%EqnoavwF z3F+QKa0OcPS-c`iD9hh>%?TH5?WlawqGyy2w(*V5R>1GUqRO*qx)F?6F*UU=x+WO4 zDgd3AajZr8CdzOfSR`tFMt7!x@oew&Mfl{1a%n0u5nR}<%`aHhT?Xw*uRtiBBtg`h z<86p$Y343ELeKN?$vYg;g>plEG|_c6zFy~KW$Epvp`^;DoRuv;Bxa!pc2qU@XsDl6 zW4qDLx6$cNlu+|4<4P~jxl4XIzvcs)>Bz5o-jNLDuJ)S@c^{7WLSn^q>?wLx^(dE6 zD{B;{2Kb4}7Hy^cqf`Pb=|M>!XwOyT4*cqG$%JrNH=O3YN_4<(TtWy#ei~zZGqG)* zCT5XtJggNCwugaR!szeEyH$8zUZChf@3(TLWl&t_5UN#QJxC5&Zv~=5d^*Xmz3;?^ z!na(1DvzzI^EaYDhJtUVTXjMyD=p_7tGfs@wsgQ(z8hC-qS<pLSs>_1Wk}Qb)*Ef+ zmZPN>a8oZp-1cK)>)x$!$TC0Q8oQ1^jH{XfzqR0FBqD@SyuE?7UoFdDOAf7cV1}p+ zsmDw7L5joF)}wIpux9z$oBz!`%H7pfUjWPa%cwu3Z=(GZ<qli##2M!^(x~3u2C<)i z+)MZc%R2j*ru)@rQjn!NEY+yho<2PRst2Gf(>mjHMEMyti;EsfnamPT2KD)3a@hv{ zRr|$+*-NVGz{y7P0qeT|uP8IdactTP@t6CzaZR2gvb8&VGLJ+hMM_`%+#&_+u8eJI z!vzS;ERGwZcWQ_(UiqISP4TB_K9^r9*5on`V#ioYj<1Bpor7lhb$)ncLhu&S)-K6Z zrW7YA%i8=XZ^r_cP)qbR-47Tra8e#`P9DEFzrMTXj9wEyyIY0UbRRE|O66AiX$Ps4 zZceZDfrL4vf9-tTK#8r?Bsn7|6O;FvPkX+b*V3!K?C_MG3>Ow7d%Ikh=x@nRy5nKF zh%j1tA;Z8@i}Q+6%~N-3-*@c&)ZA%pvrTdyu2FK+3(UV9p9ZVn0<IvBm`wi&Oo&hp zZvHti;o`UA{u`JGa&iLUwlxX76ykfSIV7Y}<VPIS4ohQy>##!PCcg`Fi~GL$8YkWQ z84H_#)g;)Qfw`f5L*;v_8fN>@6SMZ6DBor)Ru3~;$<}m5jU=n%GNZ16TPULr(ca7p zLc~NfNYlWy4{t6S-7`Wi*-G~ojGie*%gi3;fd(~SU;rpJ+9vWz%L^`=3!k8QV7d*U zt{H5qMQPugv>1f($gHI%5ay8rEgk}iLex*!o2QF{QApVv6jrDiC?!NUUFJVg(V2{- zZ1)o17H=n(nUsG^@Wj2Y^<TJZSht7XOBytQ28TmXskirax2&lvN|rln4V&!)h;Uo# z<fzidSitnir8f{<VSE7i;mpl7f??};j5Udm1zUB5PfZn2WkSWVXKA3KPq1Y@20TdW z(#5P4-aBeZHxzV-mHg>FExz$mXrIV?e-o^%u->~VGET71<Mk!DAVXQFS=${&6pI@q zc80>P&YwOL`Y@ob4p%!8)G;_X3fN=pM=?j1Z|zna6a<5TT~Ix-Yu*J|-0!<@U`G$4 zI*N0jSeOK<q)iFR+CBHCpic97^ty9ED0v7)!6r=N@nnIW*EA328p2sXz=Er0O)^j5 zmW?EBTb(4wa%__mX_?7Yg8<LhsW#C1Y{-6uO`M~!&j}l%xf7z(%46j7wLw=ymxlc^ zwt>ipdENZN!Xd1-X1LOgH=;34acXPtk8sa02D?$|8Dl6fVExH6b%~>RE}>+ImQjfE z7zGpyO@WQD9?t+@pyu;J*1N-p5Oc{2vzw%`CKy9C!iz#C68Gf!_(T~|gjf)+NN1AK zC#9p-jD!={?pO4tWMHb28lFoM%lm|imSZ#Ous+8zA{1bV&kdPgR#S^pdno^a{S?KS z8#*3*z`cA6lxT{V(fFJs$Gowh;rc#l$y3*i04d1P>E5%0i$<0+xd>42c(3OB)y2+; z6uE4)wk0az>#-n@MBp;>bR;NmfPdcLK`nj_A;@Y?d$G76IO;GuBL2{)UgNt!+4Ojs z{-fqMAvu8l-A|94R#g<(lcQ6`=D`PTkR1;mHAz9S6J|f9o1ZULetG5{<&g+*pw#aE zPVeoWkmM0Vzero!z-XI*znz?{xgZlqV8;#0rSLO{BpTet&GVH+P6MbvXj##V9j{U$ z<*oo1GgjzhPa4k>(J6piX6bE<O0?VQ&&i9F#_i++2MlN&b4H*wbJS>^Ame%NNKf~L zrH*@g<no^K=;pa2k!hDwR^SU!i&22CxNC+OP6-;P!@G&)RFR!uG~H@1%4j`9aE2%@ zuU-pwk5K3ebrc(Wu|9&r92rd^4K>0VR>8^2_dvy2QWLbCFUH|!OFW*lfj`W2SfN5U zrCO>mR4nVX@LaQ!aK=W2i@63$3)J9C3GE~;W%*L*+n;!=9Ku}6i!quF!B|dnE3PDK zpyzZRG*Xpc<&HC?&&6J<BT^ux4;9FJN4>o*h#WCPnG#dg)6JmAytt4<3HXOJQZ^Em zz|0|><dg;SH#>G84M^RzT1>c1uASVD%{|{Pe%jbCNLxcaVvnNCM?Kw78pLi4i;#q@ z1}`iy<~hvsmaF)`GmlJl%-$DoMyE9)4qfFKpZ$UFF5<q12UhKwPNyOhwxQkxXNYK^ z2IUBR-lIFduKNU1!Hj$l562<a=a)NCC`NQYBvB?4$>TJ|W+2S_lZG;Q=huf1FWnP% zl_CyjZ*iPR5D+Ch=sZ`~i@aOwF>YRL)8z>Z&o)snA05pWs2+f+(5IB~ol*06^3qy& z2AK=JFLw#BJq#U@!%l7X#C&vhY37Wg?8OKEuro@G34lxx>KnuiVW{iIXW3hCM6w6c zAZW!0hqRj7&_W^a+*fJEIV!9LG^8g}CCm&c@*nBmZziV|eE}(Y#emr0nfj*e60_d7 z_q!0StlO>#$kf=H;C>bj?c(-*v>5s+*s|DX8>c*JzGI^PwxsQ;WMCczac*a?l9-r- zmDO1s_P|IV^a9s6;}CB1vQnRm3@`mrJ&D!6b^5J|m(v?-Qi;|eaZl>a8^@goF`2sb z)lp5!)Exf)4}5#RWa(R~2>|Fo#X+XB!;B{k2)~Y32*=oiaa{jb_F(`rvJAeOTqC0W zJAyKkyo3q-=2`aaY&d+ff_=XSSpD7~B>CBhWR^lEAg)!i{$xyNx?4&GWDGosl)xzD zM^#d?n<vp^U$<XsaYZ?pDDhf?{#Al|Epr|3KFJi1vFkO<q4!tJ7bLZKTRoYBht|@| z&!f_d&AGxkW9j-yFvx!x{NPI~)7>!g=={vHT}QRP`4mp++GmvmUWC~2J|oowsgjm4 z)PhbQK}5qknm1FcSohy^<q8zYvFNGI*>~{S2o*@-w|S$5isj;}s&1s`C%e-{ay$Wa z$e~Ubm?BqG;95@gq~*|n2I~iiZwmiv78ah5aQO%$wnI7(JHP*8uH$amg9ESS)`TG- z;$#2!PRI0at%&C!MCN8Bl^Me|5`~{`+QfBW&`W}Dt?OxQ?YpyE>iApCw`wI5I~CUB zo!@nsq4(-%nK;V6{l<rkFXW$`8Q7$tAr$txdtC0shaQv1?n|5|XQg$<<+h@RnIwHf z5w<b29iNenqM&rcZ6Rka4i_T_{df95j4N`;7Am78YGZ9Un5+&mxTkSYT}QQd#+Zk& zKpy%)|I89HxBPr#0cq-}&!OW-9i#fhuPRjT9Mc6t2#+w1C-6lT!vPLn8_!dwD|#Q@ zbHjeIY|s%8Hge*#VS7X}iP;>eyHPj-sulw*ryDi>mL}``l|n3J`Hel;KRQo(+qkFL zKZGvo&q4|O!F<&MPt|#WATS#xQ7(-SXgwt$Y=3~-CR#a3ujtmL;ath$Ju8!D#K^)r zYuL8}^QghitX#;Pigcb95T{a8Qxl5kI+}0leH|GJ+iM&ISQV$W`m!>E5hFFjxikIk z79bg5bL;<g(dNS#YP6udtef8&7xj@G!%ED4HaBRH^lT&=oxaLTkk}kEww~&TQX4a+ zNwDeCglOr}TPN7DIjp;}w=YQDS7Q&4H(_Q3X7A}(0=j}2p&^8(VjrQB-a0o@{~1XE z{-zyqK6lCLA_D-r$PjmCQivHR0_Z;yj!Z2aPv+;WHd25I6(S&Of83NvGT<`3_poV^ z2f!J81urNGM>Ez91*BC*rbhJV)`^Y1X;sl+T4RUb0Biw%0Q7gB!0TUecf3w}x0Z@~ z3Px#p%e$QD@z@1Xw@p}kU8zs*^1tnRgo&5ThQ&w8>?bu5;L_*ZfuQ_Zh6xYE)_H6< zcfyd@@xUhA3x7njo;M#$CW>*RH*cb6k-n)Qg>7PgjZn86p`H&#vXYSQ95Q*F=Fg7X z6nH>9le@edr38P`5=jl$_NM|}j(ME!T_i7LRm&3p3f~2O#~S}|4aQSo2d{q<>n45q zrsqecmXI@jg009T0ZKs=&lARe0f-&2$?m2oC0&vK^jgQAmunq3SwWg@n%|xxfAN}n zqCnNzS7(D&4*%j!a0LiJMTmL(M6rJ(;QB~v2OPY8K;npa7+Yp1o!_DEcuuHccQk;1 zAJtFW8p7q|;dUAEi9ErSz0(0h%jsh!q7Y>{euV6FRCM@Q3rLW$YPB@p)LR!F&sI>` zg_&X=e<s{MRGPVee`n<yDELTniLB~`>B&o!nox;gRS$I>pu<Is@0=P}Ot-PYjgK@< zvrLPXP1RWZVA$w5(7k*ck-KeVhwTY^H*~mhCw1ymqFYldYU(b2^T`ZTw&kdj>Dh8P zpKwI-T=e7Z2#D}X3q<J3xr?2JzE2o+sUM1*tT`dCm;l!L&YOx;vgQ7QmD)ST&l75V zfk#y@F|fn8O8Y4vA(R?DW_P99LG$~fIgip(S*HTGW=wOXZa}{(bB$~ESE0yC$ECgE z&y{>#8o#xjb+AChF(#^6@YOLu@Dd<Pl#3WJmrtep07_d57i66XYs6d{gfjHZI?%^z z)J!;+)~g_LE9g#YHZ&<pur%9dh6y<gbu&!<5?~QUj!UwaOhv<tiJ<1Hcg)%^HOz3( zoUTy0%02?i5;%40M~_#QEip`dM$1`zt)r;&MaG6R=hQIv4DL{p4DDTc=d2=&_9QHd ztQ0jIFNi8png5h2wH*Oy>7-h^K+)f<9J<7;FyiTA=+ls?qag9_?BaEnQ$H8cUR_20 z@$p!9hPn*!xob6i9ns5T&|8*QaYPd(UAE(p;Fl@h)o9+M!BZZq;+<S?(9if3_Xu28 zmUudS#PM929}#0d8{!PqamL7>#8y1+cnBk!Zb8|Q*WY^cus0Grva#O;Elau6ezc&; z4x1*};0)&>-2L$`SFTyymBx2x%mBUVfQq^?#YIGb4<^TWaaC~$x^ajhxKA<F5z!6P zgo7n79cnG3fk%&rx0bVR!Rhcy)`a_&UD!Ibh>M$+mX?M_0X5Zo03M!rjLKS<AIE$^ zA^|icfHy=Hi6xalJ&a|IOdW|89+Qw+<6TB*p-NT^ZPfRwztC*hR%{=Ie3y69lTwLS z)Il(eGA>p`v~R(tD+EYI5<Yg>DU{)$rQyf}@B+{1V|IV=5wSQh!C*yGW5ES~@)#Hp zQ1~^Ou~h!qM|FmgSO6=|il}_5Vi3S8RsnJt%Ca{*Q;?6QV(sM8Zs*a4R|}zo9(C&u z#czg50&8-fZN@Orw`Zk1)pb(PdOjkvrS0#5Im)Tzq7{L8LwixtQNZYK{Jb}`MfmB# zA61=fwwTksEZ!JM2Mmy<TWKIM4ZJbgvv+4wm=Znwk}~#s*{JyqB)4Bu)HXKMLlHD^ z)h|idqY&BGbQlKz#TnB0tF((}E8CzYdTkn^bcU4#O}Un-Rl6>@(RbJo%>ga|pETYl z@@_=^?X0Zutp|%5J-KLj6yE2~5`#K)8KX%fSorANZEUN{0Q4ewEj?;XjUnX)Ml@=o z(c!A;@4hs1)zJ%$jT;#;k%o8m;<BLf5l9~W4fCU`L^k*}-jN@Zdm1^tpI_ATt0y^c zS5Bs;S`Y%+goJ>Ww$-z?Ol<!7He(I}i*7SV#pUsF80~A+k9ANqzU=LQhkSMjvV}tv z##@v6y$kB>cW&JH$M-C{Yg4A%&7w?-?!fpwrA&vCX+QsM{dRLG678!1JZTVLoH5kP zB-%c(*KY-WQvgq<NSZgs9u{F|#)Gt{ftY_zSQ%A<`cdNij-*WeqZhL+RkDcrr+Am~ ziS8A4JsD1tU*F6{*CrF6Qf}cc>y1?c>F)63U4L9VOSQ64<Rz&Ve$Gfxc&wdSi#|gp zl&ZhFG3O|}s9(>%L^*Hyu)YS0Jle{%khmZ`H0)$AP>jcPzDdgd`b*BsK%nZ7wW;ad zextkYoWcG|c^Ws6B(k4|LVue}F@^qex5|o4ryJrCa_}JbeuoxC5dK?Aeotd@0!N%T ze}~?=p{gYV+wue3CkpuQcSej4$!1B2M2Ik}SNqfb4keYPem6rpz-@fc;?BeA?!&f9 zNN+e%sAuNt^l^!y5{e3UkrTT0e%qw8z*}ZQadY4oi^qhRgrPmna{@E|17pe9#8Opb zvi`lr0Vxw~XIkx9f1;lTj*lNJ_pcNC2`nE~)l}?)4n8wfHXSvq84AUsO;AB`x40@% zw-A!5VT9GCO!l6)Sk&V|4a2+J2y2AeXSkWlUNBABbF1qCv29~A_6~jmpX7-93fGJH z(9vtawi-yneL}>z#8*OI*ajqC{yL6(Va~~!<3-ezNiUJ@#jts`5-o2T8upny6K(_K z=tQQsj5IB$B5|w|&@bl%CXJJnw6g43P7`ZG0{~JRz=!k_KK237W*7<{8FEZ9X(P*{ z9sqY}QMeQ<^l>imMpsM{(o9n*pN&CMP&asw-CtB!k!w%Kk60NkO`=_m&ldT@!m3?A z(_U%8e@RoVG4AhDh3Ey@BN{+hvTiPj8xirD)`;Jh%D=bH(qfv4!;5j&{?BOSA4O1& zvDYP#BP!;<wGl#UQ_MfL5z74M9N_P&C=l^)h>}l@{5S3MH$K6@XX6CiE0+RgsN8bl zB(Shh0g|Xm`0)J(=uGg%Z+aq}UlFV9ddoqJ@HCl4_ps-l-#myXs9uO?Fw@YqzRzF_ zMTLd*6+`q^+nsFH4gu$YT|mbIO|6b)%)Y|e^`<*tp{kwqHhH@KwuPL`wzC9xWOE7~ zi29YY(=)`&S$DYK!#=yz$n9)aAA1;?ZaYmrx9isun&DB@$~wf-5WLo?VwAw&+PU-@ zH`PnKI97{eYPQBk?+Uaz(pk+lJr)gi(6qb{7mR2mD&wi9CyD`UTt&DrC5XKLM73`o zAdZy#X619A*2>WH5Jko|B?(KM`_l$GsHcX)Z3CDs5j4A942seq?{tt9B6oeFia}-1 zo}!D%rV(CIb-XOA45`GXt#4leZkRH6BMh@PrHCsJd~W)lY&}XLc>4pM@>Ha@Vi<d4 zg?m~~RJCav0U}qz$z8c=L?50TK#5A7qKxK)cx=xozJ#i^guQHEE#K&rPnuf>+L{#- zIEItXI8^OP3Dn*muUNL2SFdZr4yJoGj3*Q;kd4n1nyZ-iiD9kUe%oSnS1?TZJW=t7 z|3|6uU5Xrh4uPMlLDEgzh>K-IPd4e`CK}{)<*)ZkHR;B`83tsZ8w@VAe<JKX^$$d? zOE(pdzY!<~9yAI1lzyb$ZrCIT<>1?lOABAjDi`1)uN6#qfkv<Od}7^5SZs-W6mgoV z!-6(D_4=CZa`E+^iwrJyt2#~H?UdbgFt~<%^sb)O9A(f(_M{{SmbD(o<2rcJ4+cJ{ z2iSe#6YgB{IT6vxJZ@ZsWGcZu#c6iwIdoi%P)QE9Zpyq3c&cGi<HE-W)oQ&(j7i5Y zjPp7ev47ONMNlA`+cwA;hxLCCx_&DmvI%$`>g<|HM~xxQ*IEA*{PM7Ff^EW6EUf2o zPtwhsS0D<ZCvkU(g)uhfoWM7*z)GXXX-|`vCk{M3%i?le#p*d=AF=Pq6lgQhh|p3) z<6+1Z-m;K0ddO?O+TUmcd0K#rIaQ9%xMN=XitA8sk&>|JDuxO~kH+DPOhI7-jMT*y zGUVmqEJtN!Z0A{dblz~08jLy+8L4qo*T%m_no95dxt~STSG5KDc=aH`#&Z+e!Tsr% z4QeaXC7a`sBA4D?)Jsi92)SIdTzl<(M4sP7Uc-@Bxc!IrSu>qk(DN+fMPB|A{0DQV zSXZy{)n5)U4WZwN-yI%obe4&2EFgh-Y2e%z98)jyf9cTR=@)xTZyet|dOwlLAe*Rc z&4fJB<+O|;^hs(@2f9g+YNEFK1{g{@Q(cB$>H%pDwO?qz)iMNVAL$o|VPYDf1@K|^ z<mbtCw}TsuBlt?SL9!O`q4Q7+a;Z_O*F3+2m{chtby#X{9qX?+=CBIrAE=UP3S%rH zIi+I!$0O+~R4AxmG7YSCt8x4W)4Ff*5~{LChk3N{9txoC5!~PN>Twx8Qlin$yEuAg z*M)<EWE_-cHwFr;)G02-cK2F5R$2PemA=P2z%F9&q155EgY;L9@7CKY4^h7L?CF=p z66#Ugze~ioknfCrmsUgds)$_^8F73JOG<yvNih77opRu3S9mf(BY;1E(A{ZUHs+{C zH(Ub^XI3_O7s&%QY?%X*y!@8~4$m7K>r@vP$>9~UWR%=DUgd(c(F<%EnAqmF+0!Q$ zKcZK6^5u!$JVA$Y*n7`0{R2~3gy~VHdZR3v`7(nHi5FXo?%=9B<->}7n24z6KEtA! z+AlC_2|<=xdT1e?`eD>k#c-l<)s^fJI~|MugcYCAZ*{8+?>Z|rl=3hd=C+}t3t<c} zht!ScH~q$Bs$$z&`iMGnQTQL&_l(JV1A4tSmktEXY(Z+VD?}VllX*?^^=I#$OnV&K z-)}^8ZD^6*+yNAkD775vHqq=irqx`vI4hHd1r*;wy_b%|Uup90o=_*99nw16X4}ae zbW}#}9p6nqa=4)kl-u;?J?Tv_7$+Jo5(5m>Gr!a-!YPESYHcJ$X1fJt^y|v%sC0Br zD<b;zX$iTpfb7O{9TJD&EU$URH34+WY@}G)SEl%=m0K8<sQ-GXpifd=x&H2~sYU<* z$RS*8gpg%M%)duSVw#B>4Hq8x><OQdF2{a5r~$5Xz39gg!vr(d*WpZsEQ(CWa+|eJ zR5;HMt#F9Lz#r37*CJ<us%pY{XJO<m6so1%=SB&o6R{SWi-w$amO78xs<v^7JXYn) zlvqy!t^q&mO{4n~crLu{$u%igbERisNCKc5>GHuK{i@vl3QPNi)EK;1#*Nwvhvws& ziv{hAn9Hv*N_X8O6gjGDzSgIzqkK54e)?E9)LT<QOxdq6AP^<DnD8zKgUA};+5>hr zl*u*Nt@UWk{R?q><F?8RCf8vQ`9?auK5OH-21~-X`U6e9n(^J0LcT&&>P#YOo*VF# z1T{qzC^t@Jq+-g828vrz`3psMdX$Db{5;E+L}Y@O*i)H_%X)Z^SMozC>{)?!EPJ)_ zG+$w*-*_67x#70(L+IP&ak2;<oq_aJpuM*{Gunr(<s}2*b}DJ&Jow3P2<yQZdcX~K zDWs~(V!FL|<7uLdMZwEF7L9bML0u;-HH0G;pp|n|%{gzJo;sbhyV~}VDpS2ur_sRd z<x-<^+JbpnO`!DEXQX@E7QbLUXkMV4VHI=)Cj_R>26#WIYxw9Iyv<@SaZT&3U~0g& z+|BAnYlHBd)ovyyJXIoK2|FMrKcG_O!&s_t4X?##DStM=!ZQKM!^5o@B#WXJ8K;*8 zC6~<ku3~CslIU8dzZG6(iL?+rJy1S`LyBA*c4_PDi*t!aq>Of*5l6=m!~9vhcBWF` zAxO7wig`0-Y9340`Ax0v?CWVbQo>H#%qH5K;Ay|aQ|}YI)BMNm6U45CHltl>ZC}WM zH7ZJtFRy970YAZQVEoH}Artd&_9ZGc**xesG-V)e%yig)x@^80%(<>{q6cj(>!&I} zN|{;JWb}e$4))(I#p#-quGt$vYVE6hML4pZhxM0rY)NNnlke^F%7_O!R}@``LeGM0 zNM*)!=V_gn6CbRq*9v*WG#kB<6K|Nrwc|5#R^-eelq}>RR?IY%Qd+~vfi+Jxy+>Ow zdzKTPLGB^-=#!B*OybhMdzCPQ=WDe6`w0D17MLqBXfwF_(|j54FKHMLee4X)&C+!7 z#bHh_wUvV!840ncUT-*fi!Dhe4VEXvrIn0j)e*&Y#7IVp;$pzt$+cm*3>c{Upv=$| zMX-CGVNMT&!ruJg%2HqFyVzx6<f~Iz)Hg%c1tHXt<YFIFTiX*J0jkwiorjEVxCpbz z$?*D#U}j_uoaJH*Pod7toEkZ~`QN+%DuE3@Y?>SGCecR1&x-TgIzr-xbl>q+rk@Am z$SzCQQ1Nwt!t5jUA;Z2e2oiC+x)YQ&(>VGf1yn}^X~ftqmUI(Com;+Q<wUDaY#q+| z)UFyk_q}$<O($M!@3ZYY5tKN6CSBX9=KeW#5F`{u*6aKloIlvqbw^Rs)OgiX*(dnA z5z^zj&Av(7AULn#t>(@q=$te?7PjHaoMfP+;*EALz#^4c^OSHV$0RahYww*y7E#B( zFB!@MdKW1!NJ3jfdNu*GH7B>{XQzO*B?jP}Fg12?-pazgRDNs~xxlV!GdY1R@kC-6 zos(%RL|!<~Un@<g2Ii{t`F500u4%i}HUm!^KE1rJtLxd*09P>VR-647!2aUP?#?aD z?{|w~-tR&2AAMhTu4WK+1Pq8g8}RQ(&}4!OI7o#B-g*j|9+;E<0#HJF*IY#JacoYq zV@~$?5{t#(cKhp6;VYStEErqzXkYv?MC1%)BxdTuRlTM@TG6ua^`^1o8_5^V<?oC% z6j)Lh9T7<F)k-W*SPWSa??orb>H?v!5^a1|Q^2`aeJqi(!xa?26@`Jt$AkM{8XzJ2 zY}AB*_QId>hwPuIAQbGRum-S@6n1g}9c`5VXpQ{;=?qG>PvU8Qce_#j-dz*`jNj`A z0Dk`jl!gsMlGzz}|IPe=Ti!%}6AJtu|H=1<w=|3e;kQ&mhzJ52|NkL3BWXZn{x^O7 zZ+_Z;l6w+XgZP)f7ZHo^|KR`I2!;dzu>Mw=<UjcTA%7fF$U#H)@AUp#5&y<P01E^{ ziZ}=%7@SD|%NqY@&KCe6`WG3FM1PshIFbLY141}SVPB~Jkcm3DT6z8(C;UUuf8X5x zr=V2oKQjn>T3h^^3I1^({FkHqpR8FLwh58sqW@o3_z%AS`mWOax8Q$xKb859U=crS z+y7JWziym=hK~x{UlS>?p{f0g8xelrPyxSH!~m$k0{|%O0028cmRH?X$L_5&kAa-3 nwW1`Cj*7<{MQ0gFX)kF`bv_<>7A<QRPjy=jFLxFzE7AW4ZRT~? delta 11229 zcmaKS1yEhh((S?B-8HzoySrO(ceeoH;O-6~xVyVs2o~HmxLa_0B;WtvTY2xkd#a|+ zu3f#?O!w|R-P64?%+^hTO0r<!=l}o!8Zb_6uboSrIR*m?0FXff08juJfT@WigQuOX zx*7xkjPw(`#bA=6OCA&U(2wKdVaH|uB+$wh_gO?xb@%&iaHYGXaAcb%sWia+?F{2Q zmmbon^UCox-^2J9%5&3So~ss{LY~sv0x4?R@r0C}@vUUvWqW~^`u@9vGi)%PY!*Ir z)YAobW^B;}3nIQJ@^;WZ9h4@e)$qTzlv3>#UsX@q4#>z?9U+R?$5n`p{dNt-RW`Rc zbF743ULA`CsYExmQ6|w(U!$~pdLqW9m{NZEsX`)B8ff%tzPy@?mOGF}eEQ)0&Ge$G z1XjMzLjHK8q$&*<zFD?MPM*42>^BCV`aym|ctc;3bwyGZFC>JvZ)7P_izFy1=@+*o zjZ7WZFPV+_fLWKJybtT910}S3;4@zBcGl>jVx%SIhBkE_q~AuF`Ys*&(q$3J+vmTM z8p2du<9vttrarTp%-@WOQ`Ho8Uj0pvk#8Yg1nd>vi;Q>%$RbY0Mn>#KtFX2_=@f_q zo9{`}xQd*t-WiWH&QrsdM}!7{HtP$_IZiEp1LJ94ts2nFa0_IBxAe66VP}S!w%xgU za`$FZMUTcUzyc;{eOsC==aQ6}DlAYWE!nXVk`()iQ;v%h)19_-bt}L%a4F?_c7*h> z3Ge%*{Gv>r)Th4o+#Iup1kZ?ef8QYg*jHgu1o>C>x|q}IirX&z_FITkrZ6MR&`K@^ z8I9|pBw%oiy<qp7boCwHU#^pA4$A!7Md~v^*+9VFeJODYjP1`2XmENE=-<x87Oq2_ z$PdBz`);BG1P0KI`1g;Qg-LyuuFa7xlC$98XfLb}+y^YR1S3^y6;>a$PcXCPd^lh? z6rYHA*5F2DPyhn=u-^EM?R7a%We$XE5t%STkT53+7%!2Uv`Bhv6yVD1JS$Ccpuu*5 zyhHE%3!6obGlx(mjzd)vzead8c_>{o;o5qJ8e3=r2(_0^+7cNh@xr<=gst(hOSj=I zCPLpY5YAVE?*s)a&+?;wVLt!kAPk;*QmfaDJ*qhw-88i&wqz7{KM~cWCA0qh#C&f= z;%zf?+mHMH==Jmz4*mljobjQ6qE%D_84vWnmr^%h&n-)BprH9pwv0_5mq33Zq<TNF zr>)zS3(Rjz_q4Rjoe{w?-sV|meWQN{JmDEs9e@91)O*E_BWQBg3e0-q35*HuZ(FxP za{n>nE#w-^Z_C!G?*@Y=u0qiOe}ANQnrn%8k|-PT3T-J32@;)mxvWmeL?B=>E(t#@ za2$uN8+l-4mq*V=x>)0OeNsM?ej99U_euK!mSEV$OvFsVR~P|BwVvZglW_5qvky-F z8IDh6mt<G+Ablyhfke}7`Sqo(xVXL8!u|HJqv&NH!N=94fp^)vYnlispn2)uWDid} zUfEws`w^-?5#zWNkMmA)!2^-Dara1OaCNOx%2R2Hh!FlRn?Rr6%|~LUA@sn&n@e19 z?z%0G{dCorDvk15#<HGm_3iHX&IPFlm@gPISakSBc;-~M;Yqk(e>5-zXW;~5h4*7V zKO+2%f6zy$m5-XCpQH?dMTeENZYT+9$6V1l+ia&*t=fJ#V={C`C@Q(j^=n0^7$ni$ zlHb`1jC$xWziII!0O&%lf=1;|`ieoc>r`hX+@ZPYN=hk{6x2-T-R5~TV6M_)E67cR zjDFJoid7mA$z<_U3@&$t{=gC`0!K|Q!xK{>V`wN)fbIgn=h({v<R7(N9<R|gnR`y6 zfz=a$*UAxEkjI_EZpc{A*jS%5LJ@Yh5%6aL<p&FeMwBfH&A8nL6UG<iPbw6LSr;vY z&ZmqephQA4l%Pu&`@p5}$OQh~(KF6xDC{mzz)sl@*8JNcXT*iT6qpgFLO$S}@&^4` zXpz|&ybo&7nLS_>Xji<Fq+#X>y`R)HV=Ye&e?M8EYI&4pvm}0BbJT)NmWk~lCWUhm zUlU^UYSzA|)wRUFnXi>8r2U1@M@~%yyUN>Qf0O)5I&(Z@W>ME5D0C{!E#W8DCc5!h z%N(f}3}NqsM~3V;DP2DEce=3qPbJ3G6S8;g&O|QAN><1UK-H?xGOF&OyX|WbTEeyo z3<_9VQ57nTN^PVSGAF@l{n|P^Qs?kKh;GtUV5BULh^k`nI*}JnY(bk){4>{foWE)T z*+Oa^3+#-`BzgggDw4tD?R2>H;QN$v>ddjmSy}$r45u;|rCh4)o6NPwr#;qRUb@y0 zEWg4tQ9iN41JQGt*Q2&g>C)vU5AOAwO_uO8N+gBYcJUX7zlm{G0O+k(72fjT`xtw5 z_)wO2PCZEnT*Vf@%!K>!Oem3JiIKiv(g|bj7q`~3hY}v0?wNXH<iu(_%p7xnX08Ju zny+LnkrxIXgK~^R3lIuVEkL#yAL(x{)0cY-XJBsv2f5s0?td=k*kh-$2oONJ?u5M- zwYavF_(9h}r<Nr4S(bu}FZSR|D}!<`4lKo>*;X_knmOUr+uNWXTYzT{JT5^;VAox6 z%O#S1vgAjp_9+J!?zkH8(VuN)*L$es5>4(#1wJjkm_s%(&k@{LYCu-Wlx}P@iRsDs z@3#{GBNN&}xJO;}T7|y)LROhr_d8XN!=D(IaV}cUu`;PlFTwi=OYfU+Ny~ZJb3(Oq z*|<R&H#^?vxiaY2Mpv0U*4Kned-Wb&po7-V%|(|L_eV4590?Nm<67O4)rf2ogSvr+ zqGnb}L;Z*X;r8SJ?dx?xK}O7q6<QnZlb4ePPR?w}k|=h$-PeJa(@tM%4*B5&*+JQH zU)i~R+zL|ztG6pqk0H2>uL6`S=d64{x|kuX=DJG_Posw00UH?s1)qg`S=Hm!2=z_b zvh#=bwmt+G(1$v(j+G*;veKvO+cu{is0QzC^19wASLQ5d4B-2u8tK?k1fADPD>e=S zYhB^HejGd_-et*31`yoac~v!zUVupy8~$QA;+coI)bPNCyATcez&?)tLi`-Ig_`bR zx%Wnt>`%m+=@d@YYuDz-PPI#Hn@0B>WK<^H9M}aSXr{%~Io`FqY;z=$;-5V==~ixn zwYguW)qv}EK8@Nj<~X5!7pRbJ{>zF2Xx(l-Y3<Y^TBuU4uV>eG^XVQ!)ZYEXuoLeW zs7wB~a`67vS<nq>N<X6&(<j(IyivZ6zJV_+`osjjgO2W#-IE>+H)v)lfVQZ0-<!rK z?gWM2DM9^~#GgQujzI(S)lJ0<4uh>b>^Ii!(dYB1cD`|7|2_LzQX|`H+e-*GFb#aN zMPr^uhnyUcW~{PQP{jN|YdVyK&jK?^6~^{_-CR8iJ?;4GxcRJL+VSpghZ|1Pf#8K* zItDwu+k3Z>++tTOLzi;o`v8oDC|3RXIyB70UdxySxD#pZ*wL~{c$0(=u6`%wwR}<X z+xHF?TFM9~@Sj>rEbcj^&&To@KyOfU@<Evqhza|10i3AYGK`|~@DWyY*nF*N$8$^s z)cEJE)8_|U_UB(UO-&x_myOCFeHW^uAHdbmTkbQY`oDO*{c_h2xk`NkqNA!8vMuv+ z`2>OHJOoZ9TU6=ov+hP@K{&W_{c>2xzS@z~*oHBlg&3;3G^L=eLM>Kwq0kuHbC=Yt z*gC6fFpK+&@-eRd4dk!bsU7oxW12{UMD-_Bf?&h!-)h-<YA|z&i~LDOIE~H!WF_28 zOpiCH{A#0-L?b>(uU9zn-}+(Pz(d=pS@&QyIQ5EGcMn@dWY_qDDaSBd=O=VHI#c-O z;=DaFvw$}foe5Iz3D_evZ$&rOeJ?AmEI(yJ^F4l?9BY2qX9<XH9na4MCN^5~V!CYY zaDV)W!kfvrr>SToX8wczIB%Q?B$@n_9x|(AKWW`Fv7GHmUJPep`!LaPvonR#>&kb^ z#fhKiN9*ABLR)p=lK(oVHBM0i8XxIxMqulR#E$?HFDy75UmGGA0E4iKFj|P1p)(7} zF=E@>G2(z2e1&i{oBWy&@H*E^(y-bj!cV9h)QpbH2*RG23Fk^?Fk9hMFg>63u7A*4 zIt^Idno@1mF!d?)VA@S8hb5+tg>f~#y>t(yN{R@XI~d0;sb$=xUk^L)9IXJLNXfcX z@o0%8q%87jwY(s3L(b+EerF<-31qW7C8ZiWD+aAPG?X~fpD$7nnAsRG(R6s8(xlqO zZq)v<DnD?m1LN!AD10J-$*1vIeAQJYqV*Dk{FivYTNmm8vd>evk6s)Ak;eFzLL*r< zO_`zo8pk#giWXPgO;a-&S&yCyrT8OdB|6Rvy>^ZRlx;p?u_AtJeYxeN+GE)@@z+T4 z`qfLX?AZx~2*&CuVC`1RVC4>Z-tEGeSy!}ioCQ|`<{)sf`HcumrpGG1uy2ec8amW4 zRrTeOolI@mLGAHDADb_BWO8v&SSa{wg>3UAD7p6A{+<J!Xu!cN6AM~}ES7N1eF;r| zwE^l;@gBOt8jW1|2kW4YyUhW4KcPwUAAEjOlnxY$oqNznKqPP~-t196#tA7a_=-q9 zuG~Po95b*|(oDtj=aEu44p(crPk1C0UrJ;-SG;LWj5V1{m`P|8Gw?9lP2|BLP6_sp z^BfKOBfjtg4f&-c`^rA{_?q945X|8PvGGp`UF>{gse;(sw4yd!(jJ7X2O&5ff75C$ zaEsJ}LDqc<14`ErWj2h=H+lEfB$e^{^V|mSCu@H}EUaF1SU9Fp$W_0~re_mMVvMB{ z!e%E?DBNUa80m{v{nF6Th>`FFy*-B~eNYeqG?9?7%9?{7<S5TkNY-~6UayV`$1}iG zxNAO<Bn?7_q`cbSMT+Y%v+m%ay4K7Tv8q@4@FoSx1H6|l5FnM!Cv}@&Aj&m0D$sjd zNyP#mTU|g%UFLHm*vKYc0)OGPk)zM60Q!Qr)<51Zo7ZQmrw8KBF#6KnhnbmohM)h$ zg87jF)o!cSh^9e1<n32wRjP^Vv_Eikoh3Lfyi}0j2D>#a?FAdw@`*t-l)-^l1`eP$ z;}=pg4aAG2N8$Cx`+CZr0J>9{C$wznc)s|M2crFYdfSnCw?VV>dXfh*M~EXdxvER# zxD9Q{A5cLn#sgj1=+LprncAF+i{_#=(YiRut8TmGab{bym-iF{(|LSa#u9Ah&M7>S zO6Yfq;H`$ezyGY=&l10I09JkV0eLHM_9B|h2iSbY?7fzF?HDg0G3fhim*a5Ahk|0G z3}&zG1pN5a3`nEj!PUDQ>o<Y+wz~1;2@V<}(-V6`_;sthP;cM7>F{GmJsgY6{LhIg zjgJ7VFbOv-E^!)dua=k(m<01rl$d~!D&r4kj`J89AL&6tok{uQW_R@c_7tiZB>-Yt z(ZJP_E8L#&PcJbuo`*Rept%&d4HB|y<OH+jgND0<jy9i{YBIhn6+2s6W><VSs$g_= z2AhO;vg|t3+vW%E@!aKy*$lnMF-r_3ym^e{fprBMQfaJQYBzN>3k8#z{MSeSy|ZZV z!anCfmnYY)Rf&WAXg(plg=J5Aza%8jW1x%mue2=Z8xun{c|L<@%#HTplJw8+d>{9U z7^x3AgWe$U{ZOuy@O|v2pE|oy4re-r1SOMJHqU)QzTQWE*vrw`-fdoFi=XY;U$qk> zWC-u!tw)E6{;HoOptmfW31sKslGa`cd2<D$m80ab?}EU2@qaS?3Ci%jh>orWZUAU) zX`4>OHkvHv!}|GKewgPWt+FD68d=<qxVCYsW}3tq>AHq7vY;!zGDLizR_D&G?Nn1Z zCfN+r_w5Bp=v`T#f=9cnr{#Hl;(aE-8oLQdRu<~#oMY#QZy+KpYFL`b&Y>rXckCU` zp7gK5Y?xXq-vD7hqef_ta%k(!IMsnrX5lU<TJe#N%n#-OiTR1qFkq#Up$B&Y!EDZA z8IG^m1+x_W_>P*Z*L`AVqJ4|(TkKjyP?BxP6H>!gvq~y~bPH{;jcT9rT@JcENO~3i z@-HV`hl>;A*ZEs6lw>BwhZ@piQrKJ`-qZ+w-jwf8?S;>6iy*1n#z(mE7nHykwLsg? zNKA&f88yX)=W64M<<(z`YCZkJCrS9nj#_L}_AA2X+G+;~FjL!}-E5T&Jqp<EL>V%; zX^XuWS;Jg7f56^N$Mxi=S9@6Yt@TAa>438%a;+q()HN99eflK)vZ0nTPV>=OE<68Q z!~S=6!~Hh;S4E{W1ALe+xpW)g_Sbu{HP#=graRwPoYLqVSA$Vv6J`p9;**=v7A)$Y z>6W;3EQBx+l^Qkb!cSu43K!kWaU^7&1P{Ze*Vv(z4aX403LMIEx@BBYgxB*JJ(FLk zItfrE4cCu!;Bc>9sV*A*lJ$(?gCiPrE8_W}7zapi<kiyTKdHHS8E;Skc|*avgx!7g zv=9N0@DSuC6mP3m)8Z^{&pt8n)v*-K`|fm{TbE5KJMDeTmV+^opW$rs#@#l-)ZeN! zusO6+$UinenlBj6td2`hoCPw!bjD>X(~<Yk_JOiQVfS>2O0UysB&Yf34#=Ozl6A2b zeF<mJ)BEB4=%I*Ns)<DltbPWCi^QjSnzI)7fjp_IV<IFu__coJv^)(Jf6iq2d3nE0 zUtg+wfXYfu=*!n_4Q((F<-CyY{3w=QtFX7WCOkn*QrpYEFPfV3jD11EdQbicnn7ZV zDgj)E3B;eTD}I`Zpz+YF>=$r^1l(6(<kh*ys4hPgO=3mbMDo5$%WN5Uu;XzdMoETK zcz=?Mt_ER0l~e8nMU`?v5$xwXy4R&Z62VU~8izIMlf=nMk&%m~`rk|n@TXX~P4wYP z4H^JYOZ3Mk|C3HpH8Q>!p3<p{0KDYkk!UBwst6swkBtb`caLb5bk3=ErEF<z$iAPB zP%p6-ow^XWhQqIdu`+{|J2wHFfMWoO!Y#|0V>g+{o!EVL`?&|ZrNhEy+<L>_^g!P> zB}fOnM6r<=o<K1_x9R3Sxg{T@32ME0K73h%G9Pd@OP9It1-5PioA-JAJHZgJwO@$~ z%Am7<_(P;Zgrx0Lcgb~?B%0GEN%YSgnMg({P+|m-_=B09-0`1ly!c!bU7g42R6g5p zU1Rj<%)%(Gq#i5#=IF0>8Hw!B>4XWqdEX9Vq%-iJn?66B+dq37AVlz?c-=PjQ`KJd zoE`&RA%h9~o_@>(?<6S3TqE27SJ9?q+<PIkp=0Z(j3*Gfug6aXU{gxXG;WnDL2T<T zEl(i(wlU!xt{=HOPMKEkIVW_39PW^HZ*N1Z`FSXyO`TuxZS)d#z_8dib1R9)a96Y7 zb6KIkq}%ATL6vfwrt~MlW@~^|7J!2L_pt-1sjc;jZ1h!i5HKW&3MjDR4&cN=rF+Oo zdkXrP58v$W-F9;2kLkU*a8;9NAZ>Eg2My6=z$#f1>Jr7RBzooc*eNw~4JowM&1fjf zv7@{{w-3{Hxj~ZM?KefgMmy~2GbU;rFK^x4nn1n0sHxU7l>bBuY3iUk`}9M+i?Iv% zCH0X#8%mOpd8Ay$pGpkVI7&G}wSSBCG3n>5Bj4&<WYO8>I4r3F6*Rn*pU{@ZGRZ_H zgdbV-@UIr72o=g*Lsq%D60h{UBw{O#%6h*-EuBuw+dS@?GIO){pzc<ix+GLSmV|D? z<!Dr|k~%s<x43=j$b}y*VUkgVZBhZmJ`pYt9T8BI>rY^1E&NK#!A@JR(idzzT%<)k zWL)TGsAE3P6hc!R*g^Gl$idsW?~f#H6agDMoX^Z&6Ol_^r~)ruTQE!YN%<x~8e7Kf z1WcUXioLAvrq9$(*-b-DLOnBD(#387t8beW2_md-WQ+$aT-;dL#P1uM6W<w7Mp-AP zN8Gm4Uv(KYErnidJVT3VLA;&?Ge1SF;DW$6)CI>UQ2q7mQES)hxJ`KQ>}Gp;W%H+t zTN0&Iv2rcjV8f{qcE*G=VI~Lok*{+|Bb!0h1*4jRR1bXE{?l>+hIkYSq_xPaMTf7P z&<Q&dwzvG_A=xgRTrSmut^KF^aIlwaUshn8O4>P$;X3WereJNG?tM|mTG!15S9x+? zCdq2?1Sd9Axgntwk}JTjYN4@rGv;-0H995Fhc9pSCo+Z!m@>k|@ZoAnq!W^~<ZQj` z%mc-F%V`oJ{MQnJ_*g){ULp8?F7$rlAY_p!k^y8>Xp$i0fUu~vO4m{tBL)1tk}55i zoJ-W&pFAy5iB@s*h$8aEGU^aI!Mb@ekd}=o<T(J5Ae`qFbEzU!xFlRDz-;#&s<N{? zUQ{w$5@5_IEZSedN!QUill;xh{zmb5T6H&(9FcqwWvJAx%m)aFRD4`^EIK)sMg=8- z7UN{VIID=*q$YwXOIXnNMDP?k$n}}&K56k6#@7jfSHp0w#=^-Bp3Ymn*hSwdQGy|M zZ0WeDx!8(p&bziGZ^)}dTC%F7(=BvnIH4MqVNA<+raJ<BxGyQkM?m}#-U`Lfi`ug1 z6?tpfjmA~-jSh&yG(qhN$z@s1seaE3&5s;E!%@m%;p#!5%UXV0vz96QIF?u2vlC$~ zd$UYwBU#ZH$C0C1TdO4*W&ocIrPts>)O@8jJw>J^WmL(VaWf*+(Ae=o$Z?pOkeQ<T z8?p?Oo1W7!=h%Qx9dZDQoKYjh+5~yVlB15s?FwqVQY4V_w)mhysIGoMKX?(rr@qEq z^aT+jrY?WytZGuQ@J)Q5C(vBumts%lSP*M~byQLW)fAe(kI{_rV8rbQb>GkYjs+4R z4;XH}5~{im8#BAqA`F$aBtY~NXP?=<ZH1z7Yu})`p}JHqJEREI)qdlBRP$xaYl18; zOa|<u-UDDP;b2@eDb|th0?BgLIROU<7b1l60Wtz<hEVUl%c_Kf?U4L0#6(afXhCGY zt`KVUtIP--XmaSZYvYe~ER0Q~7${K^d7N55Zm%q|cld<}1vDLE#eBu5qPh7$4!fI4 zQcl5iEGNi^Z`^!(x**sRfp%XfJb_>%O!=rP0MZ3)O7c!p;>Tx&r+Nj|lU>+VwVLuD zUstZ@-LPbUv%vUj=6*Y+i#b8VGm8I|q_QU*h^#dLCd(($S}T_2;}(@a#%sIp(RJ>^ zEszi(x=HY`NDGzJDc<XmSPrW{Dm_-P>(xED6i7?}JT@h|+CAtnLeAl5bV$jbD1&vd z5Yz?U5bF!`cbzMAF|L#E(T0Igg_f>$2$VG@O_HOv4GEe1wvUA*7CT>p-Nq3N{TLqN z(q({fxO8**k(|0Hbu=&tqNTu(c|_2Qmm56PU;QKhl1!Z)${xH4dE3LwnrEB$8=LZ1 z_2SRd)6%+1u)+igv4uy_jE)uvT0$_5Nyk5c)jecGDwtScwg&E<iiRxX5Oh`&1}?`p z>xA67g=@XFBqR3_b%<{6hL-GXkvxkJbKld3(-zVrho{r}%T;KROalkx5D^|6pD7O_ zOkLYR=l0SEGV+I+*N<`HFW5pIq{ErfWTKc^h9$S@T(}wJ&GIT+INLyvK%hSho@mAZ zms`LCp(w^2iHRfZhpR3OK;+<6&yhs|5t8T2A0GzNcF;k{4%sc)x?qnb0l^am#(D9p z*d+{zR!X%R4al#oHA+1K+cXGmMv+3%xZCyO>|yD2Bg2)m3EBKKm`|+~tD^UCslk~y z;a^l0vM{KTmrDLO;rP>?OoS)-r*|YkqL1>s?EyhOuk{200Q?@<i5p;8Kn7=1qklCk z|70Tw7}1OXYq=;SF=B^IFm&VsH9&6(1u}S#5D9`9)3MQ1Q&JSYGY{FU5-fFc)>WA0 zTmB1?MDab5ECve7ni#ntGq&_`tK~_Sdlh~h?mplgumgB($2b1Erl?JpC$Z-oDOQkm z=mM&J6m0wr=-x`Lq8Oa}akOght?$d_#bTZOh};H~iPEh}f62pl4s;1ZxqW08md<Rj z39_Vv_*On}Xe(CGa4u)?9dyo?QD!j=39+BHLe8_)(vu;-;<r}EtJj&1>n2nd=by`L z&!p=S^0(L+`d!Otq>Jc>ct5ULmrFO@oTJ~?z15^91Ah+b*^?Z-nIG8Qcs><bg1#CC zi@(9<^SI9Vy7H_(3U9*FeVN?}jUeS+RItA)D)Y_QLQ&H?DPK1xUI*3fx7^XpH9TH& zm0~f8&GdE$EqgIzN?a-_w#zFqV-OTSa?(-|xi0W<x0IWoQt;iR0V|gpGi4wOe=l>C zFr$<<18bv=LwAegf)l9S9a9bNm7s$iQ!SXxWYR}jEjgOxrz^QxQi1ne$vj`jrDj{; zo*nnYaYn_d!lG!zCY+UaCUp(4%RE=PXYeXoXGomt`5J7paMd@I*3mnKNjd2l4;STl znqErz?)K$?>JB(Z@nZJve1X!UL1d4P0$)@mff0NpgmCeJ?YaRhZpWH;F<<tskiJGA zkVz94O-c_?hvS|(BgFSF`SE;>4z*fg;0&K76Gs}A&4UG-kIJi)hs?&@gvdLyUVr-z z->sm<rcqEWDmU}6#Hzk3)N!rPVlUOFpulvC4y@C!`Qbjb*^+cx)?`J*)rJvr%GV1Q z0@ObG4p-#w%U`+{sAKQLEEQK{$EBEM3_Sim6B$f;q?QG;Ducp~kPi{2_d2x?;!6w~ zR$bQqq+1I3R^zhqCg8y-rU@UbT>lg37D~L|N2{#C2Y`bUXeFV2_AlcCk+lj+sttY3 z!pSd!nDRbL@E*+TOXDk!g;DOmLag$>0diYsjB4VCLKXK}+H@znuRlL&L`%;uGHhI+ zaI&Oo3R$tO>|(ikttbgfr$|VF;rFA0vRb9!E>6LHo9}h1odX90ZP#*XF)aP)kVSRX zUiO&8*UdP~VuFN4x1DK_XXs73kyljpD?pq6=Z5v+g5LcH(xWoflMdChsNNqiY(Uz8 zH`08S;-8Rh8GYF=kJ22+2XGzoHqt}hdr;yfHDZS$T??lVhmYKj&^ZXy>dII#!a#Ll zFCbMvy_W@FUN-PJ<IzXa0DHs{vRhPb+}oi;PgV#I6}HLUOUIuR`IFE?xyM#A^2VXI zJThz(Ml1n-9mg+Qd@ufX_~wCAXP~&9%lBm*zu^)T5*Ao$vjQ<OVc`UF0z*)g_&geS zRDg(fOg>YD2(bt@3X@1!95iJ}5E)HL0u&L*$y$L%?TD#>%))|8urtmH85?fmup9F7 zCW_BMKCg>0#F154&{vt)QPVNWmiukQeAGE6FIvWXsJgE{%DM!0v1?E?Hb7ttBXe~d z$BtZj10u{@-vwt7;v){y3)VJryrr#a5D91`Qo07Rleh51MFeIk3(olwvGFnVCl1j= zt^#=}w>dx*Qdeull~IX;7IUv6i#zw&V%Z0vS&qfGvECdk7nF*<rh#TOx5!XTl1&1E zP|0fC@)Li|Fr+%~8UWP1IFK`*7T@n%gLOaO42Uk{1uRs5wGzCdjodTO`~#dVz<49H zsIK?mDw#qGAfNq<XS7{iNYr67R!ohg?&31&ThP7A2~E!XIfGZom0ZY87?$uQ5A6Eq zZbv_{)TnR)8-5RI_GF)r7_(l3KdILknOANTRN1V7CfVImwegS>3^3ewscF!lM2UJb z@44M@01U${kQGyggBo6>Do#xRep->zRDk!_coIx2nW8$)0oDFZc4e?67!?-Rnm*$L zqRs>pJ@zw!jjLEY57Aarp;AZT2PUM7khZhMoO$h1!l8(7M^RHV*hIPvMhaM!NsIXX zJP({g(p|VSPfEynC5-FR<0zkkbP>Y>C6EDp^>Y_lIgo$F5N)qw5FUqjhD+&t%piD= z8Q8%0kilT>;$Z);xDlP?pm@ZDKD6;ftsrHe7jA-wCF~jMT)i%)>_03Yr9I+hI9IjX zw1$kZ@zR6`IUu;mx#H!!&#kD~-gV+nkSs7!z;YoeS0EE^q@uQbp)wG0Uz$me{)J*l zp<0mGmVmQEN2$EmU?|;_rvcUph{2O7x&eawSfacuRbDgU48u^4NRWYsLKZBGNeiPl zrXBSNmprnyJfkFo*j(az*yKFwEb?JTol+0MXoJUk7HN~*B(qO>(zZbd0lU@O6lC7T zbM<w}5{ka%1o3O>W06-Xwrg2Gd0^HUNrkB6EaLI0&NhKJgWFV^m;!<|5R3*bAe@@* zXgv*QcpyK{r8eD(W+n;xC_!!NF)Ytq6$M53UW65a&@3a0TOtXYWy_f`S4GADPO(hN zf<up<BPY*gDzhZ7<}Rnu4@)qf`jJ-iwJ`z7vH?iLTDWz0qy4R$*aRo*-fZ9JtZS%T za*jddiB{7H{ra2bL{@$eu<JOONgDl8z5TFUxB3%4N9TeJTUIbpF^x>w#LAU?Io$Nk z&-~2hf{#UH*+2JOkReYx5#h(Ik0B?Dzj{mPP?wm&bO&(OAqCbYVs?Kdx&y^UeSzjr zz*N~ykoCs<0C!=%_lkAO6#Fv>2K<Q_hx7FIYaGQiYt&K@NhcJeB9JQ9T}5sHRuw(u zf+`tZSb<{2tX<B?Rx3t)_ta7lEu^p|n<W<sAK4Zyf87v>v4V&oh!i~`i17Y*<G@2C zpY6m=vAPa_JFIUQgYUJ@=&%{>-YYtE`Azd8IKQj7UBaUb5!FN5SJ6Fit#oB{nwh5k zyCG_D5GJn<<BtkF;?bwh-T#Y*1#}Wa1im||4C((QHn<EPrsn^0&^7HT`xPd5pN$2r zJn_VJ8al;@s(bXWUj#MKD%+Cm^UdVj@+y}vJ1px^O!O95R{XL+OM&xN86@z5^3HgW zKIb-T#5^3*u{C;}XR)>RffM)W-wQ=88zdicX=pfWqXiPRX$gS3_*7_8k`2&-&AVAu z_v3q$6sN3xW+AzVE0M8Ez9A<g>R>@z&60lOaDKvl_%$e4S(G&yc1c*Ds3{NJENE&B zk~A>7L05N6)9y_b8B~}Se2S+Y)S$RwiAbQRBx6|(n9*HO0-?PraG@un>`9gb>T`}z zv&2Q9^mf(>GQxpiv5O`wxh-}-ziTSk>VGMz?V{3p!RWAdl&A=e%hf9Zs}bR@MQ}+T z)HQW?tN{!`doqj|v&w+GNsbnQqBSPZ%y=W_STUw_MrqvIeiEj;XE^%w<yaEG$*VzG zzVBQbT@R@-VDW;7_t}2dN$dc4by+dKy^sD`ywn1YUx*$kMv|o*du5(eH|H*DOUOeV znlHJ~YVA_{GT$SwW^TLvn(@-K4`_XIu#K%BnPttsK16r+T(XAe54P~Q<d?88+xJ#~ zFLIk|-nNCe`^66INl8xe_crd-aB~nr`L>sGnbWgR(f4duK(ja9vUcI+UZ8);ow9R= z?`lhf0+|5vp}y`|Mm5-X&_V@C;w5i<{U99l1n8mib}Fn5won*my<E8(kgQmDZ-k55 zL6nQi54RUaDO%ufPNopmH;YSxnkY5sTAli0*T)gM4Arc?4;)`uSSO#$jO<-!{CiUz zeMRkaekTDYz7N9Rv_CT!tHeDp<U}An(x1ZKH4H*6OAQMfCo9wD6%2wC-R*b9A94~H zSMuW!uz*%bUE!)Tp`zMSl(<v#Gdx_79c?W?E==T@aG1Dl^qm8^`QBYre1M8k&+ggw z`OX2_`N{VA3Bft~(cT^|x~-Y1neD*t@iDxL?EuE+wuu>Z!F$sd1QZ?YotY^0AE}85 z?<)lWK;B1z|L?1R5fx$nAu9gnLH!HjAB03520SoMP(b1q7(Twb+J6zOc^AwB`z=WF z-b4M(w6gdQc>nO(5E(JSU?6_WVkYWCz;XQ-`IMMmzUlY1*1Rv94DfFaPW>Yx{{h&9 zME-98e`sD%007<lv$+2Q@LTx;|6-`$02o3HE|x})X8%pOKmBh4nD>3<ePI0sa)kH? zgrC9lJr@2)J^rt{?|bbR`!57>>^}&^3}(M~tN#e`&q=P02@h<M_>XYnDHAOF|7+rZ zruyrI_t#W5B!8Y3_~*p;|DWpbfd4-b|M*QI<!=Z~k$)!u{{`l+E%`4PEt-GyIAMnQ z4|@E=tNr@}`}eCa@W-V8=V?I}B)NZE0pgv13wWOe0U!_lZV7q-fB_)RCL_ve<RvXD qZzXBvU}D3n?x|z1%J_*z$3}$BluN|K)RUFT(^*Z1meo?8|Nj6kXE{m$ diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl index b609928..fdede2d 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.tcl @@ -58,10 +58,12 @@ if {$::dispatch::connected} { OPTRACE "design_1_clk_wiz_0_1_synth_1" START { ROLLUP_AUTO } set_param chipscope.maxJobs 2 set_param tcl.statsThreshold 360 +set_msg_config -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}} -suppress -regexp +set_msg_config -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}} -suppress -regexp set_param project.vivado.isBlockSynthRun true set_msg_config -msgmgr_mode ooc_run OPTRACE "Creating in-memory project" START { } -create_project -in_memory -part xc7z010iclg225-1L +create_project -in_memory -part xc7a200tsbg484-1 set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 @@ -72,6 +74,7 @@ set_property parent.project_path {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado set_property XPM_LIBRARIES XPM_CDC [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] +set_property board_part digilentinc.com:nexys_video:part0:1.2 [current_project] set_property ip_output_repo {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/ip} [current_project] set_property ip_cache_permissions {read write} [current_project] OPTRACE "Creating in-memory project" END { } @@ -102,7 +105,7 @@ if { $cacheID == "" } { close [open __synthesis_is_running__ w] OPTRACE "synth_design" START { } -synth_design -top design_1_clk_wiz_0_1 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context +synth_design -top design_1_clk_wiz_0_1 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context OPTRACE "synth_design" END { } OPTRACE "Write IP Cache" START { } diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds index 325e040..a046c5d 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds @@ -1,10 +1,10 @@ #----------------------------------------------------------- -# Vivado v2024.1 (64-bit) -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 -# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 -# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Thu Nov 14 05:13:55 2024 -# Process ID: 7817 +# Vivado v2024.1.2 (64-bit) +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 +# IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 +# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 +# Start of session at: Thu Nov 28 16:26:27 2024 +# Process ID: 14005 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1 # Command line: vivado -log design_1_clk_wiz_0_1.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_clk_wiz_0_1.tcl # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds @@ -19,21 +19,21 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11968 MB +# Available Virtual :12355 MB #----------------------------------------------------------- source design_1_clk_wiz_0_1.tcl -notrace INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: design_1_clk_wiz_0_1 -Command: synth_design -top design_1_clk_wiz_0_1 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context +Command: synth_design -top design_1_clk_wiz_0_1 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010i' -INFO: [Device 21-403] Loading part xc7z010iclg225-1L -INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 7857 +INFO: [Synth 8-7075] Helper process launched with PID 14049 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2338.617 ; gain = 410.832 ; free physical = 133 ; free virtual = 8533 +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2432.051 ; gain = 412.746 ; free physical = 109 ; free virtual = 8763 --------------------------------------------------------------------------------- INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.v:65] INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1_clk_wiz' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_clk_wiz.v:65] @@ -56,18 +56,18 @@ INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/home/hogtest/Xilinx INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1_clk_wiz' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_clk_wiz.v:65] INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.v:65] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2415.586 ; gain = 487.801 ; free physical = 118 ; free virtual = 8250 +Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2517.020 ; gain = 497.715 ; free physical = 103 ; free virtual = 8587 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2433.398 ; gain = 505.613 ; free physical = 117 ; free virtual = 8249 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2534.832 ; gain = 515.527 ; free physical = 99 ; free virtual = 8582 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2433.398 ; gain = 505.613 ; free physical = 117 ; free virtual = 8249 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2534.832 ; gain = 515.527 ; free physical = 99 ; free virtual = 8582 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2439.336 ; gain = 0.000 ; free physical = 107 ; free virtual = 8239 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2540.770 ; gain = 0.000 ; free physical = 87 ; free virtual = 8576 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization @@ -87,31 +87,31 @@ Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.r Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/dont_touch.xdc] Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.336 ; gain = 0.000 ; free physical = 117 ; free virtual = 8219 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2607.770 ; gain = 0.000 ; free physical = 157 ; free virtual = 8554 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.336 ; gain = 0.000 ; free physical = 117 ; free virtual = 8219 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2607.770 ; gain = 0.000 ; free physical = 157 ; free virtual = 8554 INFO: [Designutils 20-5008] Incremental synthesis strategy off --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2506.336 ; gain = 578.551 ; free physical = 113 ; free virtual = 8220 +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2607.770 ; gain = 588.465 ; free physical = 148 ; free virtual = 8551 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- -Loading part: xc7z010iclg225-1L +Loading part: xc7a200tsbg484-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 113 ; free virtual = 8220 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 149 ; free virtual = 8552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- Applied set_property KEEP_HIERARCHY = SOFT for inst. (constraint file {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/dont_touch.xdc}, line 9). --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 113 ; free virtual = 8220 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 149 ; free virtual = 8553 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 110 ; free virtual = 8218 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 145 ; free virtual = 8551 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics @@ -124,8 +124,8 @@ Finished RTL Component Statistics Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: -DSPs: 80 (col length:40) -BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- @@ -134,25 +134,25 @@ Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 112 ; free virtual = 8220 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 139 ; free virtual = 8548 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 164 ; free virtual = 8215 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 127 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 164 ; free virtual = 8215 +Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 127 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:15 ; elapsed = 00:00:15 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 164 ; free virtual = 8215 +Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 127 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -170,37 +170,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 111 ; free virtual = 8541 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 111 ; free virtual = 8541 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 109 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 109 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 107 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 106 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -221,18 +221,18 @@ Report Cell Usage: |3 |IBUF | 1| +------+----------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.340 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.773 ; gain = 596.469 ; free physical = 103 ; free virtual = 8539 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.340 ; gain = 513.617 ; free physical = 151 ; free virtual = 8201 -Synthesis Optimization Complete : Time (s): cpu = 00:00:18 ; elapsed = 00:00:19 . Memory (MB): peak = 2514.348 ; gain = 586.555 ; free physical = 151 ; free virtual = 8201 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 2615.773 ; gain = 523.531 ; free physical = 174 ; free virtual = 8555 +Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2615.781 ; gain = 596.469 ; free physical = 174 ; free virtual = 8555 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.348 ; gain = 0.000 ; free physical = 151 ; free virtual = 8201 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2615.781 ; gain = 0.000 ; free physical = 174 ; free virtual = 8555 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.348 ; gain = 0.000 ; free physical = 776 ; free virtual = 8830 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2615.781 ; gain = 0.000 ; free physical = 791 ; free virtual = 9178 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. @@ -242,10 +242,10 @@ INFO: [Common 17-83] Releasing license: Synthesis synth_design completed successfully INFO: [Common 17-600] The following parameters have non-default value. tcl.statsThreshold -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2538.352 ; gain = 0.000 ; free physical = 776 ; free virtual = 8831 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2639.785 ; gain = 0.000 ; free physical = 791 ; free virtual = 9178 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp' has been generated. -INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP design_1_clk_wiz_0_1, cache-ID = b7b409a4069369be -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2594.379 ; gain = 0.000 ; free physical = 773 ; free virtual = 8828 +INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP design_1_clk_wiz_0_1, cache-ID = a9ad1272a4ff99ca +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2695.812 ; gain = 0.000 ; free physical = 791 ; free virtual = 9177 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.dcp' has been generated. INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_clk_wiz_0_1_utilization_synth.rpt -pb design_1_clk_wiz_0_1_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 05:14:26 2024... +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:27:01 2024... diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.pb b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.pb index 8a634eb059d5e1ca8b85376ddecedf8de932ff40..6e600eff21e0f4070d3fb01e6b2a5effb28143c3 100644 GIT binary patch delta 194 zcmaFBIE{&mi^Isk$VAUj&uAitNo-NG$5UPgAb2YTBKHb26ft|Wih$U?k|6S~BtwOX z`%)<od#yYJLxcTad4`5Q=c5X`%QoAcRRxL9Rbyz_=KNF*#O^f$k#~WlrOU*bx_%0d zPwhb>Z(Tro_qsALC^)vdfyiEOuu34=;IPyO#Qx;N>mCx|Y83D6;ppe*>a#WwB>ERf KHrO8x0<i&br!J2G delta 140 zcmbQn^nj6ri_OTu$VAU@B9}>Q1E>2_UIrj|D+D6<3Ntixy0wac*u9b<@~$L9!*185 zQXuwPc?O0C`@cXk!s)0&HRoIVv#KERxoQjy1x`<aBv6+Th`b9V7dTCvtt-Xg@YEh8 U^40~Ucdsju>Co!Nz~JEo0O80Wj{pDw diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt index 62fd2a2..a1c7780 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1_utilization_synth.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -| Date : Thu Nov 14 05:14:26 2024 +| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +| Date : Thu Nov 28 16:27:01 2024 | Host : hogtest running 64-bit unknown | Command : report_utilization -file design_1_clk_wiz_0_1_utilization_synth.rpt -pb design_1_clk_wiz_0_1_utilization_synth.pb | Design : design_1_clk_wiz_0_1 -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Synthesized --------------------------------------------------------------------------------------------------------------------------------------------- @@ -31,14 +31,14 @@ Table of Contents +-------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs* | 0 | 0 | 0 | 17600 | 0.00 | -| LUT as Logic | 0 | 0 | 0 | 17600 | 0.00 | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 0 | 0 | 0 | 35200 | 0.00 | -| Register as Flip Flop | 0 | 0 | 0 | 35200 | 0.00 | -| Register as Latch | 0 | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 | +| Slice LUTs* | 0 | 0 | 0 | 134600 | 0.00 | +| LUT as Logic | 0 | 0 | 0 | 134600 | 0.00 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Flip Flop | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Latch | 0 | 0 | 0 | 269200 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 67300 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 33650 | 0.00 | +-------------------------+------+-------+------------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. Warning! LUT value is adjusted to account for LUT combining. @@ -70,9 +70,9 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +----------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 120 | 0.00 | +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | +----------------+------+-------+------------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -83,7 +83,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +-----------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 80 | 0.00 | +| DSPs | 0 | 0 | 0 | 740 | 0.00 | +-----------+------+-------+------------+-----------+-------+ @@ -93,20 +93,22 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +-----------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 1 | 0 | 0 | 54 | 1.85 | -| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 54 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 54 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 54 | 0.00 | +| Bonded IOB | 1 | 0 | 0 | 285 | 0.35 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | +-----------------------------+------+-------+------------+-----------+-------+ @@ -117,12 +119,12 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst | Site Type | Used | Fixed | Prohibited | Available | Util% | +------------+------+-------+------------+-----------+-------+ | BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | -| BUFIO | 0 | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 1 | 0 | 0 | 2 | 50.00 | -| BUFMRCE | 0 | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 0 | 8 | 0.00 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | +------------+------+-------+------------+-----------+-------+ @@ -138,6 +140,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 0 | 1 | 0.00 | +-------------+------+-------+------------+-----------+-------+ diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml index 0b05608..4d07e3f 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/gen_run.xml @@ -1,11 +1,14 @@ <?xml version="1.0" encoding="UTF-8"?> -<GenRun Id="design_1_clk_wiz_0_1_synth_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731557632"> +<GenRun Id="design_1_clk_wiz_0_1_synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807584"> + <File Type="VDS-TIMINGSUMMARY" Name="design_1_clk_wiz_0_1_timing_summary_synth.rpt"/> <File Type="RDS-DCP" Name="design_1_clk_wiz_0_1.dcp"/> <File Type="RDS-UTIL-PB" Name="design_1_clk_wiz_0_1_utilization_synth.pb"/> - <File Type="PA-TCL" Name="design_1_clk_wiz_0_1.tcl"/> <File Type="RDS-UTIL" Name="design_1_clk_wiz_0_1_utilization_synth.rpt"/> + <File Type="VDS-TIMING-PB" Name="design_1_clk_wiz_0_1_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="design_1_clk_wiz_0_1.tcl"/> <File Type="REPORTS-TCL" Name="design_1_clk_wiz_0_1_reports.tcl"/> <File Type="RDS-RDS" Name="design_1_clk_wiz_0_1.vds"/> + <File Type="RDS-PROPCONSTRS" Name="design_1_clk_wiz_0_1_drc_synth.rpt"/> <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/design_1_clk_wiz_0_1" RelGenDir="$PGENDIR/design_1_clk_wiz_0_1"> <File Path="$PSRCDIR/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci"> <FileInfo> @@ -13,7 +16,6 @@ <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> - <Attr Name="ProcessingOrder" Val="EARLY"/> </FileInfo> </File> <Config> @@ -28,7 +30,6 @@ <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> - <Attr Name="ProcessingOrder" Val="EARLY"/> </FileInfo> </File> <Config> @@ -43,7 +44,9 @@ </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> <Step Id="synth_design"/> </Strategy> </GenRun> diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf index 00cd5e5..2b65bc2 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/project.wdf @@ -6,7 +6,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 @@ -22,12 +22,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00 -eof:2245874392 +eof:1425733881 diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou index b28b4e8..8dc7661 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou +++ b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.jou @@ -1,10 +1,10 @@ #----------------------------------------------------------- -# Vivado v2024.1 (64-bit) -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 -# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 -# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Thu Nov 14 05:13:55 2024 -# Process ID: 7817 +# Vivado v2024.1.2 (64-bit) +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 +# IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 +# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 +# Start of session at: Thu Nov 28 16:26:27 2024 +# Process ID: 14005 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1 # Command line: vivado -log design_1_clk_wiz_0_1.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_clk_wiz_0_1.tcl # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/design_1_clk_wiz_0_1.vds @@ -19,6 +19,6 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11968 MB +# Available Virtual :12355 MB #----------------------------------------------------------- source design_1_clk_wiz_0_1.tcl -notrace diff --git a/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.pb b/Vivado/labo1b/labo1b.runs/design_1_clk_wiz_0_1_synth_1/vivado.pb index ffed359c9941c8b22af160d3413f722a43f8e73d..6317b3753cb5ba8fdb98d3f2be805a2b1d27e4c4 100644 GIT binary patch delta 2085 zcmb_dO=uKJ6t;S1qI49-Br};PW;$vl(WI@auIlQZC~7nZ|2MKolEI&L@~a^kGowUg z^rC1yB|Hy`hh5JLtD!G?GM@CJxPm7^L|73aB6!n_)vb_;_QV(hP196;?|bikuj=a? zPtc7gXnYvmn#k6LPOAMA*YOI6Vw}&N=wlXZCKJ!!MEnJ~k4~=gzq-nZXf~MLza$$O zjf|ruQAKTotx8=(g&G~rh*|MnWt22CY!rjja78gI1HkZ~GK~(vgAiY~RE-dZnGIh; zV+m$Z9dn|!XnoGWkhWE0gzAEs;2f<?5Nykh-jSXj?d-rv{){sy0hTb`N8yg;)tm?& zQ(P2k3tbQ(Eir^)G8|JZ0aN=6?(3CF1Y}Pwygz8b$LQt+H<)f2bS9D$u5&8<q;^nF zZc#}!4L1)Z;c-(O{;%4-V3q=!hG%tCYZ%srz*NeCb&N3$tFmBPriLvpTYMUSSe`Vo z?5G$MQA31(2XO}fuDygl!^hZVxVO%rE7Wp~Q~sl4LREDc{RM%$YJCfW9$r)Z9atoZ zW$AJZQp{>*1x$f+Xm9%fe{Hx27k5_F?i+Tx2Zs8ztTU2NX+ItnK%@YXhOCz=VM?)u zFp5&yrc7mf>emn!C_ne*cONwV?U%bS4#OYe-6{^RnpVRfT%VIBK^QODx7(p_2vy`% zr9Kx`H%4;jhVuP1t#-mViMI-dwT8^_pf=bu)R*s15sVwv)<Zk?=Te$5X76coxs_O& z#Q2|vsd3!s>veJGYPUe&DTu=HO^G>ctszFBY|QdncJG+y5i(&%eU*IwiYq~=2<n@` zWc>$s%+4$p)!r@6Vo{ZEdl5l4pjf38>)58b&zM38IO!^dGq=4hqbwlKKlvScjoY;Q zFq(IK2|JV5SPJW1BKOnY^diwo&&wi(%vTaw+8i@4w4eH|vdogl$M3T9&+XxPi>46I z%Hwc4b^)$-PQvS5F*wi_G+=7z+>n#?OhmAt5~OAQ)0O@?wN>={;l*B5ipCvHSE8iv zV-}e)aL=3t`{v-BO9zi5cj`zi7%6PxA#EZo&&J)+W4D!B)39}6@mZ8JKp5+O3u`ii vQO9<=<t?QS>+QAei~~0_&y%Ljby-b`Sm9pleu>JdbQ&{7MG6zh_BMP47uYgf delta 2116 zcmb_dOK1~87<M*|%K9K_(>7HkTeZ^qSm&{`kNDOqiuIBDz&=p6X>6mR4NaA*R4)qZ z$?|(pP|%}#5SdF49_+!J3JRVC1wrs)Q9OtjakfcqYGNxSuq?AX-+cc!-~9hSw_c)K zFVT&DbY~=8?>ns~M{eT9=P|*_c&dj|zK*nvy#DB)_yeQp)RKu&`&?qJV}{c^C+#2d z-#~K$in<FnD)pf%H8>QvrV~I~387B7qL{^}UnyVEK6vWm^X94*md!2r>AMzY%+^g} zX&ba2H`%9c(hP!in^AC&SNRBI5ZE0;OgyhRwlHiEu5;VW!`hZ^W8z`!2(jhF$$>;d zJDVIBJmaP$nN2wsZtxC*7YAZ69#|hX7}J^KL38mq=28I5ad<)sI1C5e;4pmMm4;s} z5qJ?gQ3_4<@n;c(IXYt|ou~S-jxnZw)km;Jr872Vj(FHvgT#m0M{0y)vk*ygNaDbQ z<(JV9&{vGESJn9#M<*UC$8zU0t`y;w8xX?qt-iKy5}W6WW$T<zI?IZ=Lh&D0?yeez zBYI_|rQhvN_Vs9KcVIB86=q1VWf+vk^q;82(3yerqS~g6%5!HiOH}c+>E6@^N}|dg zYYn_xyA(DzRR52_Fd?4uf@C2u#>d4GeU+~{ttt(ffV$eBIoCJXOOL5X4@zUS)<mZN z%s@PmiK@+q4rZd7jkU&je@aUw`g#U?qXuqLx3_NFCuazSF;cTgdL+NMGgG6u2|O1s z?N};?>1PEx*VKji%$ujhWKNx)cx+xkh(nBr<U5-VGY~4o1h*sPqePxlwkpImCtf1M z-i>aF3=6ir^@G!1jhxx{5GI@yGX;y-&bU%C3&eJg%X+92Yxf*Q<zc(Dqf&g|+fg1i z@je*wI$~>Cw29%^>GJ53SzRgG4sWd}hpvlowe1PAytIb>?Zt|OFz@EcHk*Is1A#~F z9ffN0uaEqe^)D7N)KP^Z*)p}KH+@dBO&=54^w%gwL6&7sfNX}nelLbj+?POkaWYP) zu}uL9T<`t_%i^g(m(^up$2G9&Fw^Nu!0q^(2zO-XwVb~evuqS<i|gI*&;nv;m`8~b Kr6#0LHvR^cg+(v` diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst index 5aa289d..2d664af 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/.vivado.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7735" HostCore="8" HostMemory="8102388"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="13922" HostCore="8" HostMemory="8102392"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.dcp b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.dcp index 9ede92cb91547980481333a5c7d31c42e7524a6e..6ec581282fc1093a7f0d442c7e5cb0dbfadc8585 100644 GIT binary patch delta 12697 zcmaKzWmFx}lBf>{cXxLQ5Zon5aCdiicR0Aa1b26LLU4E2;O;Jg<RzIqGxxrkx!r58 z?myL4yJ|~y_18J}*Y+R<X>bS(00003FbML|Y*vnIAOZpa(og^ZGyoQ0V(h@^VQZtR z0to;gjFK=POc}S^Wx@zu?pM~(oTSg7vV?;HQ?(0GY0sljFf&ab@GtF+b;`WIpvEZt zGD*>xaq;r&?nTGO^K~IhNnx*xBzg)$GdZ~Q#lVWd@$Cz<Fi5(t-71m{kgD)y8bUG+ zxm)(3`66vbyR!omfSi3T<B=S8>hVmok^6Aeyw`(_uHLVnr%oXYXay4!a;f?h&I%w3 zsFEWJMK?vzVFgAOm0?fNT~9WnIu3;{&=}?koE6a1ZU0dCx}I<g%~{yBKSf1E`Z;mT z$X#LhG<zbd4^&p=M6g?V!3v1Mz=VwWC^o$&20tEz{{e<QEVDSOgf*F3KUQiapeXH2 z@Q~4j9C~Et6L5*5*Cw%<!*Wi|OEN~oO|}n`TY;vZfY>0=IJp2k6m8!;t>{xHhPCRb zjehOp4>x4Kq}E;a;%}Td435qW!5<hSoe#J1N?RTIO+h!>3bT+UT;h~+b_d1nQi|>7 zFDEUV7h|qA{4;^MeBYt+4DoylY|lu%rl-d1f$KNeOwmgnGmBL8V^-8l7sW=Bq5@+$ z{4~avY$`RKuflp6Q-X$Zl525YbHK^X4mr-YO$wff3-6cd?P|+U>p`JcpDze6hS1^m z#u-pEDa<e3#o89s)Tp)SV`=)|!?kPimuLLw$D49HExA^o`d4#MUG~<7v!C`LOO|5B z(HG(#(O!wpgMt~9-c;*yM10u2C$69L&A7h)dZRiF>Lvcy@ic?%R;~yJ05By90qH@1 zMv!m3yfu&+W$@|;Em4ljc}?Vft_O;3uZVVnTCmcWv}kK4D(S@LhjmWPkkjH+(vP0s zIFm+MWMn*>8dkjSi7xH!UpM`TKa>~!JTWNojI3AE5Dn@NBv=KHU>jxZ9T9c#ujgW# zl-&O8WX)6@w7>roK2q{Z`{dJ)Ibu*fXMs|%{YfiL%mc~*X5)l(VSU0*MB`XO5U<rY zO6*Ve(UF)+_9KCY)i_&*wtjWYSdE_i7doiU!HL}RF#D=?3t;9Ni78_k4kZm)au>ot z^a3iYNrJRkb(Tb#>`BWx8{-uD?^yCL=MOK4<ZSeqp2vL{AIch&p{Zna7D+%C#qUsS z)%$OnsNIUkU8(v&PtGfj_BGeK$JfG|qz*Y=1q!Wx2*dM+TNo;ni>Q!bWky1EDjUOK zqP5jr?oi$G(Uc4^Q##^<qfO(d4^4PfMT3?L``G{L@?$hLBIVyM@BKZE$^T4YQ)f$a zJAGz-rzCm~<fJEXWX!*DNbI!_WWpJ|dc)M`n-q;eNy3L90|lR8p!wQG)5Ky09+eKV zWrdIu1Y?}C1jsNGe^Kgd!A!0jM3ar#cq;KVKC_H**0{}}3nlmt!HTD*0Uvq*5>na^ z&46lPlQpiTtLJ^m?Zniy*J})h=ry|hxO}#9r=V$wzpW7|oZyc`4<jx{$q+v=f*^VV z=3`;J1GWL{4;n=mCBe|S+r1QMJ++3R5HWDYmT2Vw>aGfYdbDg<x6zFd-+mR>L}bO` zeQ3k)4a4S=L*#{vnoxtvZ&F2i^<J5gD_O!zP+uCw|Li2W8nOSnt976yT|{>&S(BDU zOKsjt?Np1CAK87%F@kv<De9msmuw&#;=2_<k!dT{!1k0nxw#=gEJygDF85eaqSGq4 zx`F)WfZ@%JCEQHR^5_Tlug<m)3)o7sg=G6Xw86r`+<$7=c&IUReHJG9bFdg1{k<}C zGUW&A8Q08+0tCM{p{}$nT4)QpQa+Tc&!l_pJb;1290jYKdijYfk^~5+;EsvFMWH1^ zM&r4layB;AYF3nhGnF917%=1bbXrau+}(EsavH=Ek?q~Np4z_l7;a&KyijR^;ShOE z?x4W^CA;X5zp~7~O=Dgp(Cjg2phFJg=1n!R=n}eh*hr@>P#}LhAhYII3fiwc(32&S zC{NI=W&et;4k;;1u<>=CForP<OihL*8G{2qc&N~g%hu}7JS3^tBZl|uo0<aVY?i%E zN)jHlayC6)ZA`Gr60TY_=ykHLw(M8c7?hDQ+W8DmZit}bMwUgkX}#g*u@WGpxxVBF z9~(@J=e`DJeYoGP<r!0Kqy2}~Srn#@eJN7|6E)$d$savyAq}JRbJFgW^mjaNDjZvS zcS*OKyg?UG_;1ha?g+H#M}0)aDnvA$Ds}u-q74P%0(4L};;Tp@qzw98FhfYQeFv-j zsg|twoM6xcy8jZYBtd9_KU-LmKeR6TpREpe)>gqB8UWBj0sx2sut}sG_(||EaDO8i zqAnYcFOHeBWK~=`D`CZdQPWI?G!_>T@K&||;Ds15YC%yQOgZUgW^%<7Y-k%*W#C9~ zl{gTb3kggEMNh^B(1l0QRVWQcsQ8mPzQh|N>6Gn$axCHk!``EF@?YL$#fy;)u;!oN zdh&byx?I~SKE2O&am9Ikyk-HicDa0!0zK;tZf<Z1R<Uuoamd-*AQM+<$mf3ZFuY$l zlq+sg(5*_-*2aWw{eT_1-VZ;GG%e6&FE!uvey{IskwLTw!ZE6{6puYx=;2FI58{yS zz4-RkkJlUfjrVQ1Zib0}-kvKPeX?8MzGnYZf3)%A8*3(hp)&yv9ylzBvt`;Qi|~*n zAU(9RVgNF&&t|yh2Vv*zdB&NiL;+)cFk~9ifZe<$+a|Dx$-0s`eL*^Ys1K*o9TouJ z%eBjrpm)s}Am?SZrhrTu<;m_UiYpporR!a6j7b{St{}}qIU?Q-`~?F!F<g#z9pR9I zkS}3nXaN=?Dmk35hAIV0gQpoh55di9lv!rMpA=K5skd+w#t3E9r%WsSq%PY~hOC9? zK4@KwTL&%V^noaV1^CrB69(zd0wVG8CV=Fz05!T;4E4KEF-!q<93d~XyP+6;y6EQ> z@mmszQHQonpP_K~0_O6BFu2pb`!mQk@%vBlA-dE3Unz3@pCAcA<P0d6*yE~C^34}^ zB33Def>Sh>rHdIT$=kY$r85is!&X?^V2h4mVq8p@;r?{Jok4gt9;F%V>$ekju-}71 zV8+(=x4#yk2|F(G^MNCi#qHWGoWH)F5_!N#*UKrr5%5LhDBCrM=Y)wnJj%J!u7bmB zM6%q8_+eFOMBmMUd}B6-37%E(f`gK+bLWyb4L#-Dk+4wlDWc{fxhN_Z?oz4%(rBGv zhYo32+{x?Uas3Sx$v{+GuE2Rw1TF7vUkx<y>8tdbWfvf^^R=JoV5jb#C~IESHI1vv zOc#6sbJVrQfC{EFhV%VnNc+NvKImPUW{9{bOzR(N>*r6POx@h2atN~~!Jtp5r+brJ zazlxu&kwOjZoFzqBH%G7;U!rCRJY8iX$SeAW7bb{<AppvYeY~BXLb?F2dBltc>A&> zAP?b6?WakY{J!-(-aV(_TsoW)DclTiQ73vW>pZiYzJwp=TPbVRZ4?rQRfn`mBjqC! zo?WJN7+3)DZ&|ifT{4#|0Xc`tod?i|p<MfWl5cRC^2o*SIR{O9U?D~0A-N>8F+`;) zX?pO?EHS9!LtJItZ9ulovZ;f#3)yNIXXrq&dOw<AGAP2F0SxZMu%)oQ6no6xIQ5*g zxNx3Ust?~#&C6~}_geKEw?=w!vo4Xk)INZlAC!T%_LxLPVttmKd9WxS6eqMw_C_F2 z?^&-g<Z4%An{xtWvn~;rw8n99%4c^Fd}Lx`i+1+74tO@{Z4B2=f6t7aYuFPVo%)9w zTQ5tlFlCosylXaK{bF%*g>}yhbKa>SdLW)8W3WL&j~mPv*mDVoIx0dRCxnsSMp6`{ z9C%QG!?h307hOU|BdNd~uv|EgTiYIZG<pW9;anncr?+&Jg^{<$IUmdV)R1XIEyUEj zy77HzvE~+;N6+Ush$7Wrfq~5;WLJDXj=;qG&ydKfpOv_u(F$K|Of!Q%exPvW_6adm zv)#_I<aVjoH7bzD%m}ERD~+>)TwG$C=vxNilq{GDKl4O+RWQUBf4xQ&J94jkBH%&G z=w2>)lIld*GI4<mbyr+&iH*?SteNg@#lc!+L%-j=whg^2`ktTuYn={!%P`F)oTkt8 z6;P=><~PH{<CD7MlHHB;O4-)OWZ!Y-J8oH1_OSs$hjL!Ea(<%!Nu}O`{mW+3-L)?$ zl=ZRV$o-YAP@Gk9UG-fpsvo&b#cHuq8qwSn%Wot;GrQ#5+Jkp@`;udMU!wzq(PsR? zH8~5Fyx;X83%sD&Zb>xuh<`4V4D##n>*J_j!2}vpO5pgierL8UptAS!@*^l||L*Pc zkiOaO3)Y@GE-2tz-}6VDtzmuRH=1eC&4=i3W6y=A43MdeTPQ=YfEa7j>Vk5xN()K; z{I3_}V0g0Gfr4Fc9ZbbAZlK5I+)^cn3-x{JuuM?*Yc1`LNZ6*f;QKs=ImR)V7>A`U zAtdaSSsTI^GZW|)pthVqj)gK;=SNwuwXZ*ix2RJ}Ge7FKC%g&fG^LfE)u(+2y&e^l zlw^Lxl>60MD!L!>jG+S(`NBoHk;sn83!^G!YUA!y027Hmn2=R9M3{vj<49&O7y)CP z^$f1*k+<;kwuGK9fQ=vVpfC&L1>Y+1wh71*=|l%0n{eV$K=({0jSq(%#|1-!XS={p zwE!kOd}wuwJ6YULO=)&CyNe|TDL03-zB98+>lR#~3w}|$K5W+C8XK(xx&KgQmP)zb zINo@iA|KA>dM*;Yt>n|}{<w>U$*J2dxN8+DopKe^)*o1T<MI*^UP;GKvxV*$hLK8p z#p&W8&MR`Y`$B5ok&{@e71tP;vx%485~{5&1>u#*vrA(B>mQjF!hgPsidpMyCV`L{ z{%+c}$e1AGzp0dw5z~*822lY-d45NOODz8G4m)Y4n-;BhXeHT^Pe}64V+YsqwIOIM z^Hhyy(~}C@>fOW@;XvEHHRHN26IyjSq~;&cm=Q}7I;ujX&^G>?{NtOLRzbsKJCq>h zCjRg^9{a{?!86qSiG3@mL+|%EIqqAosXZMx5b7Qx%?`wFkQue{=>arR95kW5e}AgV zap-*N>tXZhF~aM^;Ke})<q3wgV8oD-r9%Tq;4U8Pgi@#uWLaLPGLa~#2eqMwQY<M_ znht-?B`oQIHGAP36SS+w)j^Ms$p4*rTf)#O?8jZzsJOcOmYniKj|>C1Kte^zjT+N2 zXxP{1Q8h{bdoS|IkN4xt&wVb|dYnEH3dA(6jqL|v(FnR5=W5cQE@s(V-R5?zm&IpV zpz#Is6K>@`?o*ve9Xyl32eCQu#u^WuNkQb%4`eGR_)qbcszc>KQw8OO3;{TL(W&)X zourAyqF=LE1d2Q7I4(o&Y!u-M@Tc$aL2NpDlvZ>`0&#u`6u$t>?Ct5V+Ii$3s2;5~ zXk5c6^}kDLkXb!`1587;!;f(VC=61^Fl2Ca#<e`FTuvv5j$bDD%EhPqN@hBUD0`P4 ze^2NVq>)cF2J3^C1jde;R?(wL&^>#PkfQNt4Ni@eOv{-s=^Kfdi+dzPxgWj-fw*tQ zH?b#%kB;qXre}HK=X;F{mHM%}koDY@t$R6GZhGEQcoofd9_^F+r~LXJZ*n7h`~>~d z{7=dSD!aXnNpx0tXUC3_=Z=r&_HmtmJ}Yd&oDF8CLYB=&jvjAhiht-DZjA{XQWqPp zR~Cb6VvIVsLmoieh!y%?uzoI`3qmK5tcB>Rx9`A;beYp4UXb&NArFA-#K<VTMR{$d zt=L^?od?drK&>JpS!zEY91pooe5HL8y?&;QX3v|hdmC9)>~7vPAzX!9hHW)CjYvk; z^IR8O_<3)Ve4nPrDMBDw->4}to6dK2K9?8k#WoeFu2?d}qD3g9%2LH91B!*qv_8;A z1cG~&7NZPtqfU`hYISvLiIeF78BJw<gcwXM%kkM)+>OOM3CbC^V@8Ba-msd3F(L;k zj5jdk**@dV_@jd8nyAC92ff`(wQ){fs4S74#v*3o%9d9<hU_ZNn3vdUt@$F`Jq&c1 z&~KX9P%g`%_1NW>zlR*Gf#idWD#(S&NNNWhNywz9lXXNk4i#X;bm)9(K2D_H`gs!+ zD`-Pm^G9DK^AZ6<7HELCd7&FBKX&m0(=>aOv`8VG<l`tApVW?sZk>H?Cl`e5pECT* z-&~oT1Vi<<FF>z1w#?Bg{+uftpRcVoKOB9C_=xml!$l6l@qiC*36y9du&cOozG^uA ztLVsmrOCKQgPxIi=mp(Dm}$1JQ1V*Ug^w_yMtlS8Me&+6BgDdpP9@m3J-t5k)>*M! zY$bO8XNM#5W7*-2kV;LdnOUzG?TH^drAv(>-8AB`&OzYAQy(j~v?nxzd}6{>u{@(y zuYi4TvO#q_N^3z2C#YrQCl){&&Pnh~22JCfD6`a<5aM&<O^mePUIp3W8Sm#qpwg@5 z{ji+E@nNxBmM|xzm(`^yEm|Ad<zDP;JskOW%=Pp=aD3j<F9w~2)u&K6@f60zgS|8p zVN8%v;v64KVr$aDC>y{zw2#6waNz3fY_)tqPxN9%JL5-FA)q+H=<Bxo6b8S;LLgh5 zBDFJXKLqVAb562v6`aR~bfEwNPwdEb=7ov@0$w3v8kbK_*?ZCewT!<oH36%oQd!`! zH1efb`Nz%@h`HoPZ5Y2#>iqcT7n5tWJ!qmYjC$+t96nfpAW8~8GS7rYbXyIz<U0Sf ziO--;byiBkFCYf;RB@I_;)h_v#t$(_km?fR1_)NroWJNzt&?j=u9Cm;8?Mk@FN?FQ zTz`y)v8>BIg#Z2vvz31*V~HvOi>B5=Md4fKyMP6D9N4GuMQ^#S&|^qCV%qZ}B%$(F zMSM_0ME5eMiwVnyaM|Yi<n4ReR~VveKTB7S$e!z2K0A;fe^j~S@P^U;m%%8Ute?BJ z;fJ>Oqn(L9Zv99wKS)SZ;|b)liaP}A?+%qH?(pYFym{aW%sqao?R;7@_G-|+vpj#m zZXP*Ue0w|YBdY%-z@5DO6;pC~1TV$O+CxS|5_t0!;DVcuwBB4zoT?NuL9<|`vKnma z%2G`Nli>pD@>f)SwI39V`jDtJqaHsKvB5L}NU=d&Fe&;X@I?D_?haJLOS%i76wLJ@ z9qZ=tw5bw%3GXf1p=UcKT9+PM&y{B^<T{-=qIsOkqQKSrozU_&j$EQ!1YdG$$&)Qp zEYh#O#WGM+7o8FK+YdXO+GqLHo3z%Of9jvB(mDY#=rqX7ixny<z^GQ}2{G0}Fh-RC zUD$V#x%^IZH3;y@9M(QtFq=?j<K?Qh7MD7^EbFPp4Vla*c;83QRpNRR9qHgaeKxEU zmKD5&C8nM(VE4niGQ4;dn@Y!}KTPAPAj`{qO1XxjLm~lqaXn+tRSkjFr>QS(BYR!9 z1Qdb33Bmf)ICMbFe7F8~-HJYPy?BDCf~0>c+noxlxL8p?$Jn825N(Wlv!8qzB0&38 zP#+UyYB7<y<Gre+`EWNRv|aF?<C`kQ*uF^0AU%dgsLu$WhvQ`!HPuvVQ8GEFY-5cO zwIYL!k=pa#+~>7c4JMD-FYC2i9a}rpOMwJ>sy1VC7@ppRKMe7wDsbRkf}omqdvsZH z=zGFa^N(+vf7%egw@UVvQzG4KxF8Sfm}O~(sl<-?SzMXjgTWuUt=UiLZYk7y3Ztda zLZXtcSf)pbJ-1G_w?znk*XqphHiQY{{SpahN$y-gwm6b;ulS>Rz-cIva8ndJW^)b{ zCUicvw;*CX9Vd@MZox6_%H6<c9fKhS<&F$vR+_srs_JF~GwpWtkx>qYGQKZJ9~gQU z^jsV40hgYF^?683%r{0^d$t0&e6<j76ed&P|D_X0C&-x59Z4*E16HCeUZ3<Ve<LB3 z>8l3}9F{+NQnWfFI)bBwrC;;t4<QlI4NA#OrsyxukQNyy{P4l=`KNAietEAwY~T22 zufgjgRjbs0=r(-DRx+6p*&_bfYi!QZq-(Qv*Cq-^nl&UV9Q)z43;e=Ex`dxzcE(X+ zs-ubZ)NT8Tw4dDzpO#XitU}moFc)(Y*C;jm32xx(g0}js>LQbx**z4E4SJ;*G-X>` zIvi78jkcZp7^wz7yoeXx%$h(NTp5e;5>J(&&C79gO7|tk2FUYE2D!Imp?~gEVT*^P zW_y>Q3K9t(vpEjtqzSb5rdhpZLMGBx!Ox7|7zP8k&-J8-!zz5e6>RMgF(9u=J?@eO z(dB4W?e;Pzy!ZrVbV_AvWxiq{NI1`yvyV?z8`?aTc>>%D7_!EqP4L2$dxnWK`aM02 z%eoUw_gWMp*qihu@1#LJO)NcKXrQc7JJ#gl`bzGSc?T_)2xoJN_lyb@9q+^cFfcPN z738u3o$4EPSQ=yw<yurMx6GbDPA^?KJZJ9BE^=Vfj&x64FF$2k+kVLodYIl@s4)W^ z5wh(YQn#@4JC)rV%WJ=Bj<3itkgB^aC)7x|>t66&XX4-@XQ0km;bb_!zSbD(u=)nO zluGFMt~N-)`5}(?b0?hSe~f^VvSNf}=5i_4BXI8DTEu)%48{Zdx+)!oVS*EJc3LK> zb?q6)9#r$V6TRQUw_y1MvYLTwoW8WTFviL9lOI`C1QUq;<W*-h)Qn_yU}SI9b1&79 z>g4Nk4C5>c&3-lDl>kE!zDA9`-LF6qE<?r<iFh*AI83$@;%_ugQ`>DI(I$*HEBi|3 z&v3IMJ3!JW>pabKiBa>W!x4%c=SA9r4U6#+hTL7M-gDPM%a4H7=wqCWNP^5`dBLm% zi?T}U%e<#2or$S(-(s=X02~IBr7Q6S%g5_@7)b47F9~)g|J7}Hppf^&0zBmrzOjjP zNB(!=2bCjeYCt`cX~c}z(SMfb0Dr$c6>omKO^U;3{9AvcY5w?b5U)q64OlbPK&P*( zs3EFjXC^}!-8YNqBvxEfH)2I^mVfp`xhtLt#&8TQ4YFIOeW%@=&*h>9qW~iZ+x&T+ zKTI-;yO5%pwzz#&zJj^5t^1U@pTpnfIFw#{Z_JZR?-??5*44G@Cw+MtbtUAnhqROv z)gA*<w~#e<FzIy|wfzK_fEs|o!K?0rh-}BkB}4xGiu3)ZTg)#8Nacl7ZOsNJid^^^ zFD?{zK=A#hY^XIipp-i1{e8(JbI83*mfT5p$P1Jx^1(?cOL%=N0V^6N;OrV&Bw!me z4W?I#zfFWu#yLQ&_A;mT+1yy@`uyecy7UuhpBGfRXB#a+CkjcIA59U*CkJjAKEj)^ z<gtdHuYIEI5_XT1Ek(0AKkIhD>mhv^_2z9%nCNrk+tMOv*?Y{V6*jL|w#&U4B+%!N zr0ex~T}qtXyCHoE@3G?>vt+sMtbX@8l*#_=J;j>lO}hx8<Byi$J$S;wtC&)-P(%U3 zq?K4LpQAO#x@umMOOeB^&MvX6XjYS=&32Zf-KnO5Gcr<S*7InD+Y>O33)qhi@9~F? z82PxN|JVY*-Pk;@@}byS3U`l!a7h?JxJhTF*wYs`dg6@nuH(#aybFmR>itXeyD#sr zsqa*SGzVG9OFXj7eY}R0WMNNQk=-hw?>KU;swui@6m3^zN8Ob(O-mFfc?unVh!CGq zH7LlO-nsWBr^1Oe65yQ}&LcNq=+Sok`{BEh4|(m;cRdD|u5OybP-Q>CO6_CoMS{e~ zYbhts#%J{;oP-S2)ig0a*Ehhi<kN(6eaL1p!tSC-IzV%DZ`Gmt5@q5Y!##=*^0A&K zZ~s+ddVdv7=`MW`sX=c8;)?G8hDq16gi||oFgSfS`7-F`VG=jmTn0_iNWbDr8q6v; zw}w;MG*3a9ZI$i(+gT|tQ(A>t(X@Ru#!bmEXOy;0*L~8uWXW@XTx#5<!<ZVW*HS?j z>2wfNUe?8|C}mmQJkKWEyabY>Eq0!wEl%Fgyef{oAv1_{6^nfouHAc|n)i&XRqB&Y zPU5NPVg{OI*>ufGbqdALu308Fi%A`1DN0$8CgWM>yq{c7d2E53wTlweK$4Yn!P%e? zuntL=voBfj(~s=kcxWKQ0|P#h;6w22@PbiZe~ll*cOJ!8CUlNOsswr3^7GZf*Eg&? zm?lDkuUSSaS2rl}oMgF3X;Oy#i2WfaSaL^5>$K(g`}};l;f?_pBAl<|Q5lH}KNN`S z&r=3<XA4to#u-`7KCP+?o@hh1jpfm1fSsh1G7;F8IMOd1=>z&UYXChh(RG${yQQ(w z_-z03U@oA|)Lr|`2EsM3Cfz17zn+@bO*-S2wRRe*EITJF*I9wAui$N``>^YEye$<( z;be*h(Qn-pM`ewQ@Os`XE)+7-b4`di-qpS3%T?RolgG~}7!0i+2@(3$mrGur?^($p zas1X}n}pObvB#+z&P-8#pD2$WdJLTf)|H&3iDSqzv0;gu7F7H@a>ZFjO{wF>6_2UR zA&z|~>C3phHlMi(X2d*6goQ%ddRDvaw2zyrdbfm!*D+{VE}23g0(F<Eu?Lz>)F3<g zNH62uxi{T*Z2d)2=WP*(Ylef0I-tptsXsv;s~zkx-9Q|}5!8G(jGKs*pa%;=FB7(w zq-7=heMrJ3HIkr$koLIH`e}lNaDs&p$c9ivA%<{_!bxQqY<VNj#0+@h>uF!*@CT`k z%BloR&B$zj)c_~BZ0H;17J|9mg%f3K@6&=hn9B~jsmS$y+*ez3Cl&4xhZ23EEWW|) zEQt<RRWg3U*RRSKIL^2GriGI~Bx}sS&=eQi?)Z*)-#ShOV&+#6mi^%wUDnD<(R%H% z&n<r@w@~G2m4;jWWaSN@{jhh>?#yLV)?#k0D_yNRm;ZE+ks&Y2vJi5DpM=IJ(feR@ z8YT%d?#$#$`ecj|87cWCFB~r;7Hi!N_U%!%$4*eUmVis%Bbnz3A9|b2<ec!N^=K$l zwG@-Wfgs!&G?bfRpA~y}*rg>A{VS})ffKT25%pluWkNQ*K+iMhTf__<Eghhkj>SR^ zjiOpQMuHQO<enre)`Ses&$LdvQx%nBnv{*dqx9UvVz$=etC`Mja#Q7K8>{p-Z`Bu4 z69B$I5RojaCdvwl79Fl}B^Eoij+FFPvhB~q?{*UOAm2tRBF*F3N!xLV3lCPgpX{t! z)nJPQy*)KYZ_dj7bpScY6^dHJx+~8beZ?==<zUGZA5iXJ(=FN0KFK~4SrdqHUOmSk zu09U+-imhZh7R~Kqu9R4%Ou~>oNIG!WQ0J%5Y&q$fG=>jL%Xu*Y99r*RlUj#TEfaG z$p^%9fi&$1dU>&Eky73UlEsav?o~A!79Vd}Qt-z@k=*geuM3$Q;za1e=5orP`0n_w zlHdc*$TE||XVqzAs0o(lw3Mq6snf)L08<U#6@2t(wtH7I<%*6R9QApxj0~gAg%N>9 z^9Us0n$8=rN>IxQ2?pU8+7%kI`3-b@JnP)CK|2^$hH=$w^rB^K9kt;Xp<n2nHuDe0 zblexZq!uXY)T5k2rc?{vriEsUntV~O@-B_C1!WM;R_ulA)*~zGI=6IfTF%kD!C8J7 zhEVEHKwuK_xXIO|q8Q6`nl+G6+cYko-3Y34c&wSymJp~S%VMZmPdn@a6sxR(Bxrd! zpdDT*Snw_q`;-BkeAX55lPP?rPF9Q+izuf8F<5p&l)W}~#290~z`n6jN!CMiuU#&8 zsF|9=Z9*ux<UHbWmXDp!aH}OlX-+r4_Jw5o_u`v+eu`DhdTdZI9B)awEcQqs&@bFf z<a9yT+py4PUf30@r!@~;4&{hpZ!>ocgD^~q3X!9otJ-aGDo!PMPpRa1K!o+T*nVsr zT?S0UKv*w3&!hc$=TQ?KT^bqkeOWP}u6nxNx6C%bp^jl`G2pUYtUTu)a)7k!g}jwe z<j`?z+?-NB^rATAp6n8hJyY@ec;H<+iF{uF{yrR9Ji!%7@5BKdnjE7#$u`C`h@kUO zhOp+OedNdm>~Lgp^g#b|Rbg40qb?<V5U<HRz}ZuyIHsP(pRdei{^qjv*ZcC`OaMl3 zkccg(`RqPplQp0@x9}5-e)kefp|5s-_+vAkwTWOPG91Q{JR3MEACsQ^PpQd$V#z*} zw`X>eG9!{rZoQrYme2&O_;pDm5Yc&&&!vJ9C2go*lB^nr_L2xqxX=2*B{61G<IlGI zjdyX#gDYZ8jBh8;l<DD)odDP!-|lQ|1DJ>ml`C~yjCj@vL#f_h&va%+lLm|1-6NK} zUGXbQzmQHDozmhqCeQU&E7rfE>1xSyc;Jz%5XP6T5<g+lcN1^PJscVCgDMWWqq+Ii zWy{S9H^6Cg&!l)_oQSJj>RVi&qzgh2$F<!UB)7dP3XHY5%)$<czfDqIg-Po!n8xR% zy<_R8ln(+e3zx{t3R(KH==xq&(Zzche^Sjw5|pny2F$=Ha3P5s#qR|;!p!z)pj*Fv z3LqnNPh$0WGZ2D5jKVsQ1Fb@D%e}XnKSFCQJCfSX{V+b8(c~!=Mt~$?6&A@E`Q)9f zTfVcv%!_AGC{3dwWgCPtMxV&_1zi0$9)9sYkswild{>(g<kvkR&fm@0Mw34;V>l(s zjI|X{jCFxkB_ipoxIatYHkM`x`QTBp7DM%d!Y2mLoszIA%*CR!2`ZZ)fOzcNa+_aO z@H(C`e_$<}K?Qb>x{}IsYuTytl}}oXH3D8?I&^}?xMqqhHAVKSM~@bKgMAn+Bo&>V zB+U$76)X0;M1CT&=re$CBXKi5{aoEcVvxsFj?x`}aqj(HS1;ZaCe5fZf@96T;+g6- zP8liW_|J?S@-GaQ#7E8cxA^W%t%vyMi#hmB)c0;k0H8bRJ2mZp=jpf@#SDN~@jN79 zCfh9V9u#QsplC-XCXz@7NQll$XY#}<EWAZiZ5h&H7HmG4H}{*ZSm>kKS{@@G(euko zIq=JCnM{Rw)#}ldCe2mADqsnKgFyKVW{r=Z79weB$kg>EaX4UgZeJq}E>9M6YsQQ| zD>vvXC=a=&eh_PCma)(Z^_$HNJb#i~Dd8CK)Q5%imbbV{`M?2zp#@T0Qy?Fqgq#&u zM!c|B<I(A^(!jzITbt@^@du6dp(MjZgQ>ciCXXWfKwnPts#L&YCAr#Au53%=&&F)b zTSWTD@V#FpM_)TmSf0V~EnvuLo|Rh`0y2rhKqcTB+;CoC2Z^aZ^Y>IwDj7eeWCBYf zb2*M-=xDwdey_~3A(}~r+!GiJdf>p3mC)-<V3n7}kDClPHmK(`Fqrn1^Fr^+?d?## zK((E*+sDVk4=SYBEun-@dTz(pvI(%Ska{i|tdR+4Lp<z9y<v!|?zM!Ti>^c1Ppy!o z21O{obe7t7wuNGO3lAk$K7B)h*jdKvB<n5TzCjs9q8dQm)x_czk<93MU97Stpg;}R z*R(+u*A&A?gN7U~)7qo4splH=Z7Ugsa$NI#3mhae6=PXozKs{ajrL*4l7Dbo>94Qg z$F|uIjNvMZP1$lW|7pEbYa|mTJBQjQ0g6XTG&iZ2JkG|*@)U_;D1b_VwjQ02f(tR8 z&j#y|+F3Sj|A}@Cfz(5+%KFqg2d3MTiTif-5!!28D{r%P>}0Pl@Z@bhhAO6~cI;Or zE`kWqYj+#sBaq9T*ks3P4opqK2KG3ifuL9tKQH`~@Uq?Uk*BSt7a`X){b`N@BS_N* zK^rPmGUMj+yhW*^t3(L0LOA4f#U<ATBRxmNQ6LSth^Acb0Y{DMJ87TOSJu5bqa+y+ z!}N@iG1mo~XpL$8M;{;ZDA`;1nuNhGa=i3zP}<I?jnKm_E2LR&#OcV?{k`#Qx9D8n zq(9>^0)k;&z{XU$r<Mbcx2D@Neu4svKHh7%1kL|~mMBVR3uK(9bF$5OlFwD5DZmi< zws-sH@J_@Qj6`KpK?#;Cd*pWkaUbkg;dbjypAq==!)@2g4wx@V)AmM@*>KPU)kSW< z(pPR{p0s%AGtb6;_%$$f*)K`Npt5mQ2ybj}R)=%4jv+6;j~gauo_yR<C0wAx)5%|w zQL)rCJG9EQwDl>ak4X;&fx0%SlPY~L9S!BZ#dIk?M<)eJHx|?%>F|!16UW|R$8Chr zV9^?|rxHuS{71_i358)u@n|LC;1c^B41lQV`3+uZJ&Kk{!6fmdD9n*4qA;i^A`oO4 zu#$-iCsDlx@8S19x4rek1&=}BOGU=R{m@K=2chxozh)~8Vb5b-Gc4^3Xno}<Zp{Bq z@f+mv*F|ykAA5kc?>7%=C!@It+P}cI6rYO~R&t9fY9^teG+Ct0Q-S#5I)@NK7_m%` z$@PgxAWDo!FWwQz6d{O)Q4ZEC+e95j*DHC(c=$o=GYV!f2{`fuS%N+&24&!DRTM`B zuI%<5(NGm#k(QC_JuuM{AU@IwCM+ww4%s$t5~_TP;(|Um?M%I*$I@;MSd|i4in{(Z zv<pVh=K(qRs-=vAG_^pXi?geW=pz~n3_=nKaw@w7-Wwd7a7M!zq>505RCHOzO2n=V zNs}>zhl8K-&CCii;@pCCgp?77Ugth+-Dx!nw;4|bGKLTBUD;*9iE;cmLPwfG&s^M} zy@O?6E;aA_k@SWNao1dYAp&s5zqLTJeszF|4m6`!?&_EuCdhg%f&9{!%%ziaUy$CI z&p+4a!}@e4pu&-%ORG1+BTX0d(bW;gleVNbC1|fM6|zuo@?Op=vFFw<{Eoyxqp&4y zXqm^?=Y}WVfHZ-0wVEj*q{yXj2VV%|rkHvF(fLjhnT9<gSbc=l6|0xSKgok!>$GUB zb@5L0`d7_Y;c#g6|Bq_xTM;yI{EZKG{$A|K{-RNMN#`8!pnpKXG0D2}>%Sr3s~1f1 z6siEx?hX`<E4WPqG?y7QCfNLu7<v34WA_&HV})No&Wp+*)ufzI2amX~JbmA8y1*YT z5gn*f0&<PWRD}?d3Kj#7c+`gF_ibuc*f`xh9>8Z?3kR&jE;!!IvLZ@-E8|~g4hW!- zW|%(3fGUJf`{~9h+p2Inn#jmR;IQeH(Y!S35gwrFs^3#e6OsvP6i<e1&oWelrOJG$ zFU0-u-Kt9|z6b3kI>JFKq7hR<GmS~(>>_Lf3fk`2PnzPk3pkxxc3t0zn2%iuw^A`R z=o_vLsiVKwn=36>j~*=KapXynrlkp^c^jMMfiRGT1tK4!(KRtd6;g{%4@)uFGb58> z`i?RzuW9B@CYXx{;$c(cXz3_1JwQcLu9owQK8O|GsRC%K*pHXZ7}o^*4Lt@YbZsiP zL@`KmCgp5zZG0C{M<(=zdwD0iJ)2t|FE<wyE_E)L#c-u95@KBGMtE!L(;=htG}jkv zppTGUG)Vme-sR$rn$H3L1q1FJ*tH#cdo>LB==ythYe=9mBuQR`NpEJHi~}X4Y*XGx zY|F|LEW|gU-Y6JC=9Jc(n=8P|Li8T|UQ$t-z0sbpCZ(!ZpVd9Esh=+roeJeVEP2C) zr&TyZWtzztJ@g)_i>{P!Q;Io(mR<n|)Hg4z1i_u&#jtJ+$J$R<_2F9#l9ZuFKqn-+ z*EHCfZ&gI*PX~8gZ+tvkq|Gc_gcy!6DD}->h`CPOR2$zh-QaVLLkM2KyW1ac+60M5 z@1@3VJB$0uxrf-P5*}Wzy=9%_b*i@NV;QTsCG_sY!B(rY**#WyW4ZH2{o&N%{u@+J za%W=wlbbYFGsOb`CMW+zt|v*blCu3FT>mr$X)quL_<!^5|HUK!9^E7W#NXUI0PvfY z1r!Cy0F!1p2$I@a;r~zJe<f@GS$H4(k1(CHi>nc%n}x}5ULN)zy!`)S!(V1pfDH>6 z0QpBs*ul`r(Dpwl`+p+^Fi;{_`faK1??&@amR|&DK>xLTh7FzY|LXQ%*6}w$0(^l^ za%3mqceXHeF#Wei{-G}>;Q@e=-;LlO>V9kf?WmF@2X+dA|EcMp%D8pxSilziKbrVV zP0aqS9>rnyLgnAjjs4w-{;69<0T$GM9+1Sx0sFrM<Uf@CS3>%Gog(}fBLOsjg?~{) za{e2i{_8sT&jAH8{`M&?_W$hVe`Lm}ocO>lravlF-2X=hA%Fin0RSNUK0iPJ00FE3 z03+Zt8@Gg#s-`n5v%H+0isWZ0RYO}VVRcUhX&YuW2U$5gYj!aqb4?pBO?P`|{{II) CpFQ9J delta 12680 zcmaKz1yCJJx3)L#?(Xgu2=4Cg?jGDHxVyW%TL|tJ2oN;5TW|;x^d{$A`Og2}`%PEZ zu3gn@diPpAtKXh}_N7yv6QC>y0f`9$fnY&j=^S-p;fOV#!9XAsXb=bn1P3xVb7uB( zu-8zB0zpuCb6E|i$i-)~Vumh#t#8n7jW+q1nP8b>4w)fS;Uz9iY@mb86UjFu$v-O( z_>T{Lqpeaus#<l86EY$(@c!w?YrZ8NmE`g+O<gB3kET1Zm1f)yn4+uhyZ&L51<C)# zi!BY)X7-7b9VZSUvG-D*4^mJtp>bXd`q8;U?%wLnV!Pu=fO5?mYBI}`4wbDBPdgFQ z>jB9cU%6LM;7I8UsuwGCR*`@q>kaEi1P1IFDuJDp;N9hPjY}P%Yjx&Fbi_^(db=9? zLum!PLQ`(p?S;A)Fe&b=fkR2Yv=;uc1~HvVfmvkJa8zwoN{%lEQ7a@h8s|nImX7W? zjtmRSJX|$t3-JP0H33#scSk`orejCZcyZNXu9T<J5M9IoN-w%ODyI$uZgfzl-@t`( zxz^E=-y6y99aRkGXWJj0jaJTi+qM(YgJ+W`?T5UU)*+jrzy|DCP+CQ(CFGFPuXbZT zw>9IaA}<qJhiP#O4W~V`W?cT*<0sr;nAS~_qsJ|!Ys8MXko9sM?&~#JB&W0Xvn@iL z2U2dnxBPxPYqC)(&8^X|MXkGZV&fKadumy3ofKJkuANv+>ApG1jzg`RaeR%Aj0C(a zXPilno!f+)GVrS(Qm7=j4Pj$F^b>a+Tbxw3ikYS7N%u*pF0^;xJ&rC9jd%&y0l(X1 z!wc?z&X&7ll0v25vxONP1R_oDM<E1^T;1GFnLYk{zW667*@3g64qrUc5$CDbRFl8Z z5R2<w&d|?BDStsv{(v-MF4Lsh>z}MqVd#T*opkTZk;ysy9g~LPrdI0y`0BN8>G-xG zN%n^sg4)+rT)I#RP9DawM1cfrnqw~9OxQa%beOXDa9uKvNYri#Ilvkq!gg%NKxA$7 zfN>|85_L)Of*smO9Q4^V&D)0a9XtOAO<osgnzOb&@S|9jM$sQgI;=HVyL>fY(Fn}g za<%5Bu-K$%Gj2kJHz4JRoWrPeD&>)_WG9Z-m?>Gw=!<421CPC^D8}c9*A#y!)HGe$ zUnMDQ8d>2MS<+35;s9mZinXQutR_2Ek7tfZUnO0BRl(+uxi5aLJJX>#nQvcdZMb`E zuG+0q)K#X$4~8^3D*6daUGlZsJw%O~NQ?T^ID$FrIRAavdc{?2mbis1$^O!y>mgqY zK4r<U_xv8tKLb#*J2bEII{>}E13>ZnaZMgV!AmB9M8W#=tokSQI|Z@g4qrTD8S#G= zkHAe9f+PnZH^asA2`Hk9Loa{XY)_Xp;}M2n{$LN3Zv>^O4t&B&X&6RVh~>Y0?rFSj z8F|m>t$-zl>=cBD#83k@c->1%=OU&6p_5hCsFnIXH)wi2s)oB>M|8lM4JGZw{nWdn zrUA*BQKC><8WB5$qBsd#y38ED=qE_9rSTGU4%r9n!I7iF*Li>N%-6f+f=nW7=s+mZ zBM7WJB_Vy{Tz2?WH$>t5Qd|>87nAF=7P~cwKv*6|6e?lK5UjjH5Az~mZ%w0S7dysq zvKLF<UUDk#;{T!6rItz+>z-0eekvuoc@?8wD@Iwu$emyh-c5r1GaZd=L)k#jg>cf> zcT~%KPZI}@j`=9%agPi%zA9>UtNHfCA;sS(8d0lWVjAxe{^{Vbpu(Wo-wuxWT`B&| z@Z`@>D8L`@f<=INzG~ZhX|nN1h?4%f*iB6S`efr~jgJN=w>d;yZ)QjR)Mo4zw9oS# z`t!Kh33fI0U7n+BE3wuhh3$%_+<`)YSq=LquPkI55-a;o6{l<QLh%cP%a!Xp-PT6F zAZkWLJD8)PNIhSn-b?9$S6Sx%t?L6IP@l;9VvQ(P+`wm0>Ze2mL2L`Nr>3`9rW9um z4rJOi7v`xP;xl2oq<5%UBPce~KoiYv%&+W+m*9;8bkI!r0XP_hvE1uMWh%7Xse0Ij z!D2NQpXf5-4BWKN;|$$2hHN9Gy`17v>sZQ*O0=Ytwponp^<;8^DA}Mu`mQm+Ar_pK z6JVw8p<2zZ+n8M+D(E<%Y?e&09FHd{?)6Omqj1}bWDE7ArUU(`A{~^f`eG-7%yzW= z;~VaB6^tx{{IS~43)WoPOpB7Ue$q1zOA1s?k{ARs#Ds4+gT@cqlR0H=N#jieSLn)0 z3ykU}S6}lQN<HO@V+~*C9G%O_Xg=i-uX7KrYaI>*w^8vW*ZO`f`A6K6Eno!ytXIip zFa~gc*0mEX?Oyd{L|B%;Vi&5VkU*e?mRG(YTl-BK|Ms-5l^Xd=d}QEr&EBmKQslTb zr!JfvzPF|M1#gJRCkYRe4}=#<Lm?y(V2G3-Wx(0S1HXh;>3?_+vy&i3N~k&<$p90z z1FNqp==?F@;;S_$wZ?~9tH-Afqu%p?mkj~|73CD5J5*|^?Gw;8C17wCpI_yZU3{}^ z>)OJ{E3ksMsn<#@1Y8X)nq_w`JgPdh+d4XFL9JC9;$FnC@V^)Ied}o{qJ28<b=hPT zuzg$nTth1rhf`vlM=x9WmEHL!pWE@kDfmtD4L2>T%n4ed&2``$2FbS;1b?94jFYoW z=#V=XSojXpxdm{wbo0>vh<T8;AzUTL8vHA64whGO?mUY?Lb{l~;UiTB(n8+ZJBNBm zyh%RQGBPBHChaWaU++K-m|xh^qt6D~!04C9Z7BFc__6or*-Fu{GTn1q<21s+vB??w zag}5ILtoKkwdGZzkJPqhi9|Cei)KXOBhlk1s@ZY1Vd;SJ)6n<&4f50M*&ZB>OX6HY zrBR|dED+eVGl4P`_=B2rdN->l_@^SMYgtMKLBbb(WTN2`^P&n*4+$8)DhL)PDq-=; zbOT4@W}|d{4jH?0)XI2wiGqkCth{TAf*3<mD%qcCMX?rS9%Iqh`h7_!2W&966;+k` zI|t&>C{Tg(gNX%L#nxO$HEj)Jp(z=M@`Wr_+{?z#YSusU?3SrMOL^!=pdriil9wPp zcxexEy`>m<GH#yYU&1jCE6<mK^7m4=!XPd#3D=^12(6mWIp0D1ZV7Ut=TiQH`b@%R zvSy3c4U4k%Tzeqe43jn!!GS;?Ky0h6cs<~syG8>LLaO731gF^L&tk5d{BraJOGC>p zk(;IBp{$xmD5-;F0QV(Z+-7BRDe(;JL9Px;fEM4|f}KKVvp)+|D(r_6I?Bv*>MV?h zdf+VD>#p-2Kt5*YmnOn`cY*FS$<B|-!8J}e4BibPsQ*26n?t6|vTx9DcqMS*-IISO z!3-~eXFT%6frweiwj7ge&X_1abM#=?X12`BsS*ux`trU(B_DOWfxM;S1K$e<IczVY z-MF}Qa$9mF>fE-8lRVA!GNo7~;cle8JWUg<K~DYw^&;<2WjpTOGmQ|zs*SKE*GE5d zG(z>&yk*MLj}XY&mXPsM3HPmF%=sNSpFJjkAH*2c&|Ywgc@%;|?<xqw$|974$%x)} z_0lmTDN6SRGxp2;C}Gv57lB)3Q&LS4CVt1d`XT66B%3)}t)iorXHkkL#_UBO(i@SU zKMK!<61jGohGCOlETM*<&1lQrQ9&yp#k}IWJh?4@-RWQpamph+pgJ|US@g%f8*VW* zP||m^9w#B=k9L!G++iBX`$EVc)_f9Y=dfptVdXn`yJ(C2sl<&3#wckvk<ky&ioY#8 z++k98oothd*H41yP|LpfBqIQOY8%m@0iQok*xtdS`@qII0KDQ+NxVQY3js}=x&b3l z1H2QQum%CfC>P{%X%6{0xSYI?h@U7B&`=7E&Oj?D?_Uzl^Rv5*0WnMrTrJUjBm^kH z-SJ-)*!(Qo)5D!iG^45|J`WASNY?hq?nYmp(Meao62YB>du>QOoMTd+HKP+(U6sIJ z3P|3(cd-ZSVM%OQ*oHy8ae=s(pD2w!W8d|s|3>(nAn0$-F!qU>4MoT_BQYBe*dAp( zuY4zzb~oS}Gj-v7(e6h1J#e$^Ubho`nu8arxU=F!d-S39oD+MC264hAi|*0mfo@QO z{bFYFO^6;w$SlJnl6Da55oD+QMdUjx{d3N?eQ*!*BXn0^gS8KV|3vH39*z#OVM!rG zwHeMfl@6nBtj5J2`E$^A4##CMpqOv@(}vo%Q+LMp_)?BORsVKHcFrI-JRR?c<tQ@r zdI3(x2~j*fKZ=&wVzf3<fJE@P8frk}<RC-LX399Y%_aTdX#-Gmk#ky3&j`hV_xXAy zoBM{&*xl=Cq<&NSB>J&g9>~2q1TtR9=kmYRv%PT3Z<hCt|19<q0RoSKrOa1jiSfRD z2B)ijI*^1Gy{twecs0EZSE=6?1r)qOZeVX$Krl)vEc44%sZcqG^KILnT&Nt-`|1(( zM0(|<Z;p8cCTZ4G#Sb5mH7C))Nx|2b_TBbzTRnTA{iox=9EqvY(uTkHffzp>oDv}G z^=Nl`d{1&thviEy2vHAoQ^@9wk0n%mH>nV1Fzrm;l}I3DiQDtya@_YaPTB>Ni<8RO zdLW4yfGE9-zZc(zGe52z&!D%->!l{rv=r;JsxcSs6RR>8>ocnphJ6T0C4{M@%DT&) z-~^j~TzLu&pVxhu_=2Ci+_gWd?tcsc$bVH!r+s>eFGcL~yp09!5y($B*Sn@lV+yf9 zO`V+zIzK0_6!&W?&&{RsnVuKySu%JIuQlzlr*@jvF}K_APi62;ws#<>`kJ06v`lE+ z+0lFHb(+2H{A!$1=b}(-&6|?74e&i_;o8_pqgS4rM}u*{6Z*$$tmA#M2KyBO1R6sC zCHJCG|E-_K5V(K-tA1kVwB-6rKbe}cIxR(>z$bbtdsvtt9%rME$xf>7o^9U;;nGd; z>*Y^l0|67?FIjeHkuso^RXU`C9(hP8NzWM7>~*`CNl5ZR^_-X~sSaigc%i#@O1xC> z5oJe8h@T5#D)LvrYu*4WPgUl+J%s(KDFae?=Z4VGfh<|8qK>e%X!4dj{9$_o>ip2T zogU7o<9vJ@iEjjb3~485pbM!rvnk#UiPoQ|%Lhq92Fkd(9a@wGc#C^LcBJ^J(*w!^ zx7s?-2yVk6y)*P%e3L<|mPluRV$(ZnbxGB25tZoX4Q~<?W(8nPT#Lt6Mug3~cy9he z0Clh&A`Yu3gp_V)FBy_E#cNhC1H6cfm@q>Exk}At!QR5pW`(_9;GA}ku8*XhvtTrk zh_`C#Clk`rcd~?{8fCCl<#99x@0@H;jOEqp<(-_0^pZ_=)e)l0iQO|8P?stl8jlFZ zWfBIGEj-K|mo)H}qO4PAg|6oS72=@#;S&vENktuu<k&uO)JOQ_Bv@9wPi7twhi)(; zc|7WInNg(jXCk{qZSSgb??dYQ3mNutqEcc-H{xSkiJFZnh2XyXFEY{2KJ)Eo6$iqU zT>vdz<BX9xNP$M=Y+s-BwULazq#&lLXp*@oj@pDsi#h?v`L8t80)8CO*)(R6HzY*O z5Zd3mCf8;J4_K{^vO?H1J|FuAe#d|(iP(0Dts10mK6>lh5Z{*g5HzD2d|z9Tb@qn; z;TGW-+X;sF_&Am8;R6~4X?<D$X|8>ANSSr+u-^geCw#m6iY|{_k(Gt&^$Yh$-?J37 zr4I$Mmcg}vhgpT5SvR?;2Gq{TL(8vs?!jUu+(E$|c~;3+94#;~y0;L+iQ96^SU%iF z2?QZD=xVRu>IJ`KjJMI-bAl~UycehwKFH~NIud*7`SFWvVJvTpY*45P8>Yi3Uhmsa zZrm0q>Hg3KlTX}*Zx~prlIMfLXeOBy0?&e(J}Y$9K#0iF;E!8@Fw*26Gw6PgrKp&5 z?r@akqnlo1?`QO*m6NaUj2lxUG~RWJG<piE7*#Mj7!jS#IbS^FwLtD1dA0eXjd5eA zsqr@D&ZyypVff5FhdCs{y@4Ap@~LNZJP_+_l1C3{H1e^NMid9Rr-5N@t(}dbee$+) z?i}%|0u0taPP@l?m11Nyf1crwL5p@vzRe^h7kRfKawOV&5%Ypct>(<~nbnV*xJU6D z${0UyZOVbCgE|egT{pqZr-~U;p%y#MjRFZXv9w{lJI9wLF={0~2SbB3S2)g$0?1QC zDYH)pO@UkpGn8y=5@LO23LJe|Et0AqSsqd#eSkEOwKPw&4fF(YwgZa_lA}dm(M1YN z{}z|*y5jZys{nZ_ZvMKkKV#NU;%rsin7sTB(yIDQr9In|8+KJcQeGSVFJ+p2bk^9m zepDM0&-5%`=YIx$p0DqrQ*?E_i?p_O<{pU0VS0j!AtbfkRtohmc=(d9n6Q&URlyT* zOA7e<XlMO`&1>gVz8TmtARb%g@AcC9#zPX1T%Xc_0s)>DxGY-}_HYm7I9hX|@J7yj zes=_id0ZFbTp6LqR*&=ja^Jjca7udfvf_f8<Q#bhks^#Npb>OaN+OU{oIAR#ZOX~a zulHJ3wcBziHJ?9zP)XWjUGzzDRyfFtz8~<Mm>{veCa0bi!HgHF3|ptnS&G1DYNn%% zw<a2(WC|djMQE(SYCB($r`J@Fw-a1Y`O@%h&7p`^nR(8e(GdlO>g4fYJDGoRm4o9l z?SsK9^d2#x@^T1*ioO#QErt`-iAAKheCMqglTxfDy0K|-iw=ZM;((nR*6>_P=PMw+ z4GjfQ3?QL^Kh826I^<`?T)m$ld)}j~7U-9<M2<M4-5fSBDn#F7k3%OKS3tqXw-li| z{`BOzt%JW+orUT-`EdKNSUYWcU`E`LF=4`2I@l6_fuI_Kf3ql<FX7O&oUK%*aUg-S z83!iZiJNUb8KX^01CA(&miD-7h!3D>tW6tYFZVC%%<GJj>Ra+xo6B*8C9=x!%xvxR zK{gA;J-^5M8sz(EpS_hs!3ME~yi*K#I>>v`Lvx$IfUWPFmUuQFFQ{W<`+_|-%NhzL zxN=Jk5OsG`iF$3uUM6Ps`~*o!WaHf_-gPs%_YoAmJk(5{B#-<`Uy#X0@B_kPRKlFX zu7{70i1cb5iXwsu+2kHRaruRn$v2c+o2QCUajc+cfG-kh!4U6P7r)<)9-NvM$r=xl z-pPbdlFnqf+YDo@-nOqzyGQSN-!a0g3I|l8vEDNF)rdPM<sjzRyOari{OoO>Qu6Fa z8_(d-ihGR8>`q;T9~_^@odEzNhOJ@cIXSV}enyys38F$*ZwEq_O$b>4Bi^@<6ia@Q zO1^WD3FN2u0Dh9665)z^jNnlKR&@R4CCUJ|R>r}FI2RZg13Ye=t=fr<*;{~D$YQ_I zT$>tLEmsvy4&TY$G{6tJ{{Gr5E$zb)N>v0wdTY{wDDr^Bl}%FN9nb{VQEF^2hjN4& z&)RY+E!Y>?!D&b&B?Q60baL1_QFb5>j#U`mpyLFVHL#GrO6tB|x&HN6H5HOD$FVl) zt=h7jpDrbb1w?kd(k9tj@VWGi`%Ze+sg+Y;9Z+C0f$}zMc>ne*h-J|0sa2izV>mUS zCWfJ8ed0lu4n6D23}B*0MDG6VZ3sH-d6k->7p0O(_+Ab_OAY*TcZGXQzrx>3wV#NE zM;`S*mS}gOIM0D+&v_sGJq+WkhKo!C?x~v7oh7gP^<W0U%D_j<W6MG7tTqCNFW=U( zbu}%ix7DVbkzrnZc=}!QMw5=%p%Jj2nR75F!c3l$-PYKQ*nvw=`J{ELcfzBc#a?o9 zc`JlLeJDv9<=5&uri=C-6Pl;_PcW6XJ?6pm8^w7km24~2TtRRES6a9x0#YoT!~<NO z;y%Bue#Qm2$ZX8my{S8W&y*~OXZZ&s&{H?(XXS|4xf=})o0Wi_J51eKGagE1As>W` zVW5rbv+()G84yKEr?Pmb^a@GC=b}4teMd-Ib)%7Q(e$ESG-S2clE=XL+zfpmpRMoA zO@?#$5l8*JvS-qic?J0cGUwP9{28yx4~QJ$wx9Zlkkc}31td;9P!OEy`}Ebvi1y|$ zpCcH*N7@<NPDnRL2byrw9qjY?HOvv-vPa0qHsA`kJprOl+;`6b9l1hIYnj&7Z%tHY z4+3WXZDoCoE0Suc^B%js;hR6`vq^~VGCC+dWz|16d3>i8uL!^{IH%cwJ~fqX&t2S; z)V-Bv=rJ}rsCEAZg=?hX6F_>|jP_(^i$z$IUyMn;Jjy!g)N5eQD0J;GEWY!LH`2kG zw68IESp}GgJs9v3qqsjmG|n?P4q(BL$0+xElQl+|VV+o4ez2afGx}O`|LYROiveDz zJjy54{zF=rHH#)AF1)a$hmY)o+nAh$M7OPhq!{^CT8lcSU&=Eo#kzr4DTE+&4KZa= ziPb81gYmldY(^bhfi2|KNaZs+TAqvym|tKe^byb@Zw%GH_}x<KCfGTBtGfg;qTchC zh$~sa6cd9VL}tkLF279}i6i=ycBN%jsM05|A&h^83prL9yfCk>I2Hkmxet|Do3+8# z-24+9rjE7L7pe+gQ%caB#44N&BbBLl6f#$K?8K4_SG|0b!c-(9bBUIade?2&tr+rT z5Gl}m**<Dqv(Nd!ZWfA%`xbM4<+emcwCIv7Kso`3`mNQ5WlushJVtTCHG|H9U(KQp z5W7c#K~s#S00Ywy58pGDg|1%852GJS3+Ld+G!opm4&11BjRQ3{G)JWk7d2p{27Aoi zOZ9sAM7-7ZeaFcQm9i}lCQ-<Y8(vos<OWhgOD(T=xH`hB8&bN6$1EYz!`R@O`wa=9 z?%s^$B@x{Nc1w4OaQaEzO9FuqkgeRuTj#6JS0{VRSRwESwDxw$-6YOJbs2P{ta#!M z(nt%e&>c^&8jt#0Cc*bP^r&TAWBWtLfm8pr3jqCfwlqe*!QuFO7vPxum4NQAJpgSh z%ZqWOA(b9z#X=i{v7xGtc;*&1HO=0od1yVJ_JrZ)D`R#aOv~qN4{OmVp8h$JwzHJi zl=EXHLTWI|--YD-&I(50ReGv`IVx2L={0wzt_k|0W0Ug!bUT(~#IN*i;L3bl46pvC z$?}P*y_2QqZ7cS@wkPuez)3ut)njbm?>ufJ2%m`NkIBgw-XjUajlWikc5$D5=Ve3z zNN%HdM=x^WLlt>`YZxgO0l!l6<*&Lrv)ZzeF#~!z^2)9VmF6P&lN&ek_htr|Bebla z-p>Z1kvS9H>C>a~yG5eXF9aieM3~ce7EhlQTM2fJ2lY1}b`ItN;E5N{gmG<bMHRwS zDT#ZDqc$XjXz!)w@RU4j-0-_a%fFeq(HH(imRd!f?$>iM+S??sOW2E^Zf<>%L6BVf z#*=d=T)64><{bk@)baClTj>oZxIKLh=W^f)uXgU!q2s}AEVtF=eU2IHy((65(MJSl za+msd>y|WCswr71KnXb%9iIlTMahtFZL3<TnxtL1O_ptKs;cZ^j+(3&LsV3Pwt5VX zp=|1h{KipX7fEqr(6IO(&N++kD%9ojicMAO?EBJ~4@t;&+fZbhb*EptdJv%x+{3-s z-Fr<8gNc9d(N<o2asex+WYZKEMOnMtGTiOb28_f(-!pL|fc|2lY_;kOvpmL{XQJz7 zC}%B~3@>d;oe+djqIj5$c%4u%|2W<^2!u}3r7z5<vgR2f5XdYK{OK3`NY=ZBwY|H? z$P`5%F_Onvx-fvaG$XmpCC_33<-MpeW?2iB`Jp0pR5%HVA)VtCw$3fAOnU6e^={Kd zb;iUqt^-OZ;Ig(mZtpca>{~BK+8P5Yj%H&MQ6hXvUEj7MBm5A?yY(;wbj)f~0e)JP z@zx5M5L1n&6H!>JoRU^HO`CEVS>6TS!<rOT`s18d$@h3_Au1!*G-!)*E7seg=}qFa zrMdLE>?78V+KgD;_8J=VdtaVZRlJRgQf77kay0N?p2i#JHj=|CmgvJgi6J-(G#q_0 z2*1HHDh)}arEypHHi8`ES@tST)eI&rZk?mFi%t3RzBpw@l$L9ecXe((_Nphm#<ZW% z5ehxf8FPk|$1=uK)vo57k9NZ7?o|UZHY9kUFej|rKsvbMmM>ZA{czHKDenO$5%BJ2 z#mCDW$5h2}P$H5McScby$2K)}R_3ro?F&84n&+D5BTLSqbmCLo@51bI*pn?!ojkrb zpa+F85)?QL()c3ZW`b)1(Wzly>t6Q*KSG%j+%XVF&ZBt@N~Dq85z<#%I`QIy3-5F* zBf@iC?KLaP7we6wH(*i?JLW<RFrwbA;euQ<L)`e)F6Sapek>2|jU~}2PFi;wq`i=; zpGx&Xz?oHC46>6}6LQdsQ;FIR_mzLYRZ1jutnZSLX|Stj)0-!Lu&+RX84!orjDig7 z_U2KN<9*OHPprQ7+9D+rNh)w*ikK{7J|!+-g!zI&i}piS*xDgxj?$tQa8;H49Xi?f z!`4jLavEnWX7IZqb8Ph-9^a!}cnV^#L6Rb&pBt9D9QBS`a{4#HM^`cF+0UINAd?K1 zsI-P^l^G*WuR}fyY^=MjhPHu0uPd~1@plrVB>o`oqgDC{Kf@Fe9z29mz~KBDJ5_)d z8#@@ILex%%j)VO7B?F&)N{R*<x5JZ^3`T|x99s{Y69-V-D-vW-t5(zqSWuIvTJoQP zSng836rfrb<-BLAJYaYqR&WMydR#9PUM^s8!WnPwba_}943#)*JY1(k0iKHaeLW3F zF9F3Cb@c*G(#x4o8wKUe)z~`cRq~e}RAPoLZNcKJx@1eXE<0b<Xx2^BIp^1`{IJYv zz^6U|I-!YP(TF8{FszDCuUglZC<(c{ynM!wJgJabelsJ*Sp>cgM@>jxR68%Y5}}=> zSXo`Z2~uKy#;xUhg4|YHJ-ElyvCn>q_dxM{`z8+v2gB?_x>taEqlUMZG;miqhvRkL zZ`X~J`*1<j^jT;=w3Du(HZBDx+>Bflz`Xr(^Q;h}DWd{LtC1+uoJ4A)X)8`|3o3-! zcB8~lxnu}lU#X2E^+ql{{w82CDi$3eal*BWUS49LFp{eSzG>o)Oa}4&67Q#V)_71K z^f%RUT)ozc_uHzvk7f2Z-1i=0rpMV_S7X%ij*fcN)}z@UuIn?u*&<a?BSU)#0EhY6 z_+e1a^nKLcNWuQot}jtdAxcOE=7xa<OVjezIxw~a_u9Lx9p0l(_G1m)WNBKvSVWZL z(Sg<zfN4>Tl*#76+#(~R@Kyv@6k);CxIjhn@d_`_ki~)(y`r3Pk`H{y(74Mk>_(&X zhp|1HO8&ge7cG-<&94&^>W;Jo0PmUu<6!*Am>_Z`p{#G&#?9nSml88%U9`O_xuj7k zXP&dRoSj1JyBuTUD=?k`!%F(bnzq<pv2w}b<?lm5KRR0|m*S}5$?Z%)ze+9ATUn7* z&$eg{3#LMo&Q8I0cOZhheUZvNitoQS^@)ba7C%LOceJh~Un0`2n{=1@3RH_56uGq0 zY8~lw+1?Y1E67%`3=n-Ws8kE9yhS`$fHl)oq3^oj#>{5YHboJ(>{T_9LE{h!4UfxB zKA{@IovX`a9D`f6zis+Cor;v_VH`y#wIGHOy0F5L!y_Ext^pwqj!Dr|OlI;?XwN|= z#sELqKjpj&teH<NF!mEI4Pcw1JO{=Wr#Gi8G(r(kmXpJ2c3wsk=V}Ey<AvWaDG-Kx zY-GG?imp#^u#KU^c~c^u=j2D0IXQJI!H*qPn9Y0571u(F8R`=av+~#%h#00xW*<D) z^a<6GJ9Rv@aRcKm_LWyTV0-N28O>S^E37hXD|Xx|xmiME0Jf#ffI$RkSH^^b^E6LI zL4kDnk%iC!PSFi>${F|6<Gaqt6RVoeHgihnvx5gWj#t#rDT}Wq$R*Y>0Z+6{Tz<;_ z>Z}{)vDeTP>v{A%R&dvlyz+{5q+sJ$$mo;$b)?&SE>Pf#SCfW9Z$F$9@}kWZaaiNm zlJyPu^4O5N%^CDE0k#?u3~ZjaHr+a>D#+E~AMd(PDW5h00c0X+5gwj-4_E3eKe0|k zWsDnm>4S+SUCS0HJKS$Qnr5-5Qdc5@JI|4pq#-aPC*wwyna>n588IZi(2yE29ekq* z+Ug^$v*0d#GLsXz^IwT9Ybb|joSMd?OecI`p%R@s*ItT)0FOly?v&2Aih}3g-*&i( zdMPp39C1j=x~AK1z}?77WpZD9V!>Ug+2rXSNQ{Q%Yw&6w*Kpn|<lvy|{n!*)lR?Hv z?95ze&~ty%Dv~=HhgLERse-uXeA?Xz8ou8htPBv8S6Sc>XA3{9qFb;}@uk^!HIOM+ zInPgJUi@?p1jw{BXm~%=QNigeX}HNFjJ7m9@3t-Py*O7!cOcGUG;i)ygIcvo9p-%? z-PI*C)FiZfZFgY55BEm8C7L<2z$R!T!jEoCBf9XjB9r0c+L^|Z&?=YgI=Vo|lQ3HM z`+bX8qq_hRsvO=Sz6!VTI>r<D0&7IPa);^>g~;ZBr1cmEo^^Z^16K~OrPQvy8yu8X zr30#HrUd$^%N9JLOJSm6W;Hs!grD8cbbh)?#b`8CI-gq>-DD>1$PUu=U=dN!nR1f> z<}V+}VmywT0%9eN&To_?L8I1W<?Ky*uu@^w1gV+9eTEOKj=Ti2C`6An0cF^{J`LH8 zjyrUK)I@C*O)H*)H?}Z|NP6@PDKeprs9i-bmky6R5m`Q)uCiN>{v)2;rc!Fo{ZOyS zLA3=)pA6<;BjEa>XkTe~vS`-jTK{}>@C!<-a&%d>p^4$4Z@g=tcIi<C(toM&zX>mu zQLbXO-@I4c?@IiK@Un2VNsgi+`Rlm6hQ<)>&vAJHH&Sge6bSUE68**=hyWLJlmCW# z{*vwZm|qz|kCJZ4Vyq515PhgH5Wz7YSXrgb<RBtD4(c7`nsmq1b*zgqRq30XO$**) zodEtlsW;;3#y};xiPo<#_HWnZJ{{V`j2m@e^PpAG0>~%d{*F`1G1b>vnzG#@O^;O} zcEv={fQOl40<c{7S^Yj%XFnwuZT2a{k$RANrM&}=3|Zn3&5pSnfS4jfL2uS!7S%+x z0E^_zwQ}TDwu<7B&PSJa-9G&`6Scc<;lB=F#N1)i&5lrRvJ%Q5p_3qI6my@7e%4C9 zwAd?zXh>pu37)8H`5wAbs3gBP4Z`tTF!dI)r2NnF1|Tw6%E@w2q=g7F&p1fI{#A<Q zwrA?%X0q%-=fyoxNSUoY{!#AzHd7zl1eH(~L{E<6AMlEL-3{Y$<Nl!3^p#1MS==RS zA5U?md;qps$1$+Qq*JYzZ!D&nKV-;RlP7?Z`DAgf`PZNdBpKV(byP@Af#g0Z5qtGB zg%D*KphFy#h@ZWWCipGP!zpK<Tsjy1la3+0Qss#`$90pAqKKg+`y69Esl@v8*4PYV z@1=i_`H1B&C`xY53Aw_rl2Gq?F$9T<kB`MOlZMzQShShq)P5H9+we|X&iOyAJQHN@ zlV{FaHy?|lt9g%$LHw}hHL&3j$w7{b=rT{U17N*{@e}Bh@KVF2Q}!`Uox(KrKCJzM z$C9O~*5^Fy%o}Du4Gv2$QuZh-hYxjO;9*EXygiG!eJwd0*@1#Zy(2mv)A|zav1eB* zN_LxW1FBb*23@Oo_~tucZQ&$UoBIo>x|QaOBn=^r(OrQUsJ+BbrMF{bb|9&XQB+29 zfX8f0`=WF)rw*y}BpIm6LLEW7GK~^nGl~zgcyQOMzbu2KB7)}W@5kU>bfCDJ6!e(u zeTZFF8Q*YC*wN%ay-m0QJ#N;wX%5*oBN_@??{0m)haS=2s%51eo4eS_xF*<gKF1H4 zrzM+1aWwgCs`OgN35r8Jn+9p14_)oG0R^FQ{JBTX)Cj#5`AvB*B#8OX#0u{&*1dUE zVEkC1+`+91JVy#2f3<zuv}(kRBSAC*o!g4BZ*acBnd3{fdfbXQ%_*-o1oF*r$DfxL zVsAn5KW$G1ycA`(x<Qo#D9)>>MEDMrj>bde`z00S5Is7>Nd(XBo_qGs-U<SUK)&?Z za+gpJu48V7aR$^Ae;-N=O>C$fZ%el`U88J(bsJ9<qdvI=y(YLc3jiyoDk;gj&wzYb zs`q@^OtbQFxPmR09hyzjTvA*$fie`?7#uB;yx0f5Pelbjm=;x3IfPa;<s+#mEINfb zIhqPN0t|XK9*$Ec#pltwxP)Kzz&0FQd=VxbL_-c5V-gNqFuE-e@uW|JW+1&$XAF?7 z2JxlBUgQ^VG9|lYILjbTfZ<`$!$mc+?Zi>y!^^NRp)(WtxE{EgdUGun%qhN1GFiwl z2i_DyJeuc)Okpvm?}?DcFiG)#H-aO|29E)0K|Q->r4l!>P=3JcV##g<-XTpnAkG}g z&apj=e2SI}m{(*=qD~7T&0iBg4?II50aV)!Z4BY}M$-B3*~Y_<L6zQ@)SfZpG7|I0 z)Y}KSCz(s$6%-?5SDO3Q!n~)~AIrJOq0KzVg|~)8w@7*^5p0AEXzkMQH{yXGKKN$5 z`NUO74Z2AgE3~+(Eoss~iMB~<G^m(DCSSf5>cE+c_KHD?yk$LUms~*m)|zP(2tZcv z_N*h7VcdRck|AL}M0u7VRKCD4*%)_%vwgDFD_HMi?B)VV2#p6DPBD^e5UY@8W6txC zA414RyE^p9eh^~$CT+C9fS@&*@|C2x=X&5~*RAwOez$R~&o)y7GQ6`)GxK+5B!FsV z#&rj|&@KapH+{;4Bd8?V{m!da*03heNz;{%;q}z2ZsIQOjsR*_Evo8(?LX81qsQT+ z>u*}{^Y6?~{uj_D$c+H}C*2#H;-s|7iaN4+L#LQZ9Vnhv!tj#p8S2Oi$7{{N3s*Q6 zTOZrs$hRs(SF!2up*s)GK;>;ReBXIUoKMtC_|c!<KS#!5D?LLx6>YGjLi|#7<jX^5 z4ijc}*@&W(AgO&Dz9Cb^!WPP|JU@|MXbC*gRN(~($$uWGfG^SbZr%==t`UyR6d|23 zfF+j_!i>!*@)9Y#@3m_~OBJrC?z8{NWddH53R8o|0BL)M*LFUBi_<D+KxW3iWfcjx z-PRmz(e-;&4|h=klGb`5hsLJn1$wZed&a>`GE|5=tYT3E@j@2KcZAA`krEeMWdh}P zRC)PAmHQE3Qka=IG$;Bjh9lELTsghu%i<^|S9Vke>;O)d%_Z%e`6OG}Py$@~4Q^@@ zd{?jPFmKzjZ6j1_ZuFseYG^kM6I9nj<>ZV}=XHImpK$RDM<xT3Q2jLDD;3_v<-C#m z)Zw{Z7jcyb+KN#pyjiH49wk|hJR9<9HO@fEha)ZEY^olr%aXy~yMEuA9YJthD@8qb zVn4eq{qxC}siC&ddaZ-`Ne8Z|(5J<?h?71vFjI}WzLL6hmHY4kP)_C~(A6Wl&yKE- za@Nv6DSk?8%GP4{UVqkTf&`}5E{cf`RB~7YjbV~pF(f=iv$UsKO)$cK5_gf8@^8qo zCDKj;%D4l&@~V*UGrL$;E#NT*;Taiv<L_Kew8O&jFdoOiR{a?xRh*nZ$91D1d4@~k z@QDqs7rrVS0&|D@ad2%op#uHs+N9xm_k#pp#r~HGAD-{<{EjQ?j~!>atAgj(GmVnh zP49o)UE9Zeab(X>Co9~KThy{z6qtAl-WO6v{8t7&d7PEwj~HowV~qnx`UjMroW()L z^#{KEQ<dewz%e2I2VMUMj0{5hEpI@e-_$0kB#<5)lne$*#;c(Y_cz7<znT9NrTtg) zK8Qc&)Fl7L_zxzUoWO|<p$GM+EkSZS6axPL-9Gu4lK_Gp=I^EnFsR)BchkSgMHmoB z7a0U1`Ojhf8Cpr;98B^@ZbCs<YZGUSe>=2)!7o6B|G0$%%AZ>#Z*swK{{L?Mf8N^u zesfFo4`Y9VKgN6(=9d4q_kRk`e|0QN@`rcS9RF9x|IncS=y>$E9g)CaiuB(&?jM=% zKkfgX4|Pm`dtQhe>3`|{Z<#zb7XK3XJ5RDx{Lirewzf?MxT)m-=T}4h{;LECg!sEK zK!QLl9KUgRkOZfVh${n|vV*)mtE!~DGN*)zi3bn2jiQOO2CJpIxt$V^jEt4Dng^G% IiHyL106$0Ne*gdg diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl index 565ee15..5d3e524 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.tcl @@ -58,10 +58,12 @@ if {$::dispatch::connected} { OPTRACE "design_1_reg_decalage_0_0_synth_1" START { ROLLUP_AUTO } set_param chipscope.maxJobs 2 set_param tcl.statsThreshold 360 +set_msg_config -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}} -suppress -regexp +set_msg_config -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}} -suppress -regexp set_param project.vivado.isBlockSynthRun true OPTRACE "Creating in-memory project" START { } set_param ips.modRefOverrideMrefDirPath {{/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/mref}} -create_project -in_memory -part xc7z010iclg225-1L +create_project -in_memory -part xc7a200tsbg484-1 set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 @@ -72,6 +74,7 @@ set_property parent.project_path {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado set_property XPM_LIBRARIES XPM_CDC [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] +set_property board_part digilentinc.com:nexys_video:part0:1.2 [current_project] update_ip_catalog set_property ip_output_repo {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/ip} [current_project] set_property ip_cache_permissions {read write} [current_project] @@ -96,7 +99,7 @@ set_param ips.enableIPCacheLiteLoad 1 close [open __synthesis_is_running__ w] OPTRACE "synth_design" START { } -synth_design -top design_1_reg_decalage_0_0 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context +synth_design -top design_1_reg_decalage_0_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context OPTRACE "synth_design" END { } if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds index 0cab5af..909e055 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds @@ -1,10 +1,10 @@ #----------------------------------------------------------- -# Vivado v2024.1 (64-bit) -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 -# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 -# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Thu Nov 14 05:13:55 2024 -# Process ID: 7818 +# Vivado v2024.1.2 (64-bit) +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 +# IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 +# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 +# Start of session at: Thu Nov 28 16:26:27 2024 +# Process ID: 14006 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1 # Command line: vivado -log design_1_reg_decalage_0_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_reg_decalage_0_0.tcl # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds @@ -19,23 +19,23 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11968 MB +# Available Virtual :12355 MB #----------------------------------------------------------- source design_1_reg_decalage_0_0.tcl -notrace INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'. -Command: synth_design -top design_1_reg_decalage_0_0 -part xc7z010iclg225-1L -incremental_mode off -mode out_of_context +Command: synth_design -top design_1_reg_decalage_0_0 -part xc7a200tsbg484-1 -incremental_mode off -mode out_of_context Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010i' -INFO: [Device 21-403] Loading part xc7z010iclg225-1L -INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 7866 +INFO: [Synth 8-7075] Helper process launched with PID 14088 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2336.625 ; gain = 411.746 ; free physical = 159 ; free virtual = 8412 +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 2430.270 ; gain = 412.715 ; free physical = 108 ; free virtual = 8762 --------------------------------------------------------------------------------- INFO: [Synth 8-6157] synthesizing module 'design_1_reg_decalage_0_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v:53] INFO: [Synth 8-638] synthesizing module 'reg_decalage' [/home/hogtest/Projets/Cours FPGA/Labo1B/reg_decalage.vhd:14] @@ -47,48 +47,48 @@ INFO: [Synth 8-256] done synthesizing module '\reg ' (0#1) [/home/hogtest/Projet INFO: [Synth 8-256] done synthesizing module 'reg_decalage' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/reg_decalage.vhd:14] INFO: [Synth 8-6155] done synthesizing module 'design_1_reg_decalage_0_0' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/synth/design_1_reg_decalage_0_0.v:53] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2414.594 ; gain = 489.715 ; free physical = 138 ; free virtual = 8234 +Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2515.238 ; gain = 497.684 ; free physical = 103 ; free virtual = 8586 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2429.438 ; gain = 504.559 ; free physical = 138 ; free virtual = 8234 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2533.051 ; gain = 515.496 ; free physical = 90 ; free virtual = 8574 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2429.438 ; gain = 504.559 ; free physical = 138 ; free virtual = 8234 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 2533.051 ; gain = 515.496 ; free physical = 90 ; free virtual = 8574 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2429.438 ; gain = 0.000 ; free physical = 138 ; free virtual = 8234 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2533.051 ; gain = 0.000 ; free physical = 91 ; free virtual = 8578 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2532.188 ; gain = 0.000 ; free physical = 116 ; free virtual = 8220 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2628.801 ; gain = 0.000 ; free physical = 173 ; free virtual = 8565 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2532.188 ; gain = 0.000 ; free physical = 116 ; free virtual = 8220 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2628.801 ; gain = 0.000 ; free physical = 173 ; free virtual = 8566 INFO: [Designutils 20-5008] Incremental synthesis strategy off --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2532.188 ; gain = 607.309 ; free physical = 110 ; free virtual = 8217 +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2628.801 ; gain = 611.246 ; free physical = 149 ; free virtual = 8552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- -Loading part: xc7z010iclg225-1L +Loading part: xc7a200tsbg484-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 110 ; free virtual = 8217 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 149 ; free virtual = 8552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 110 ; free virtual = 8217 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 149 ; free virtual = 8552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 110 ; free virtual = 8218 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 145 ; free virtual = 8551 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics @@ -106,8 +106,8 @@ Finished RTL Component Statistics Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: -DSPs: 80 (col length:40) -BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- @@ -116,25 +116,25 @@ Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 104 ; free virtual = 8214 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 139 ; free virtual = 8548 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 164 ; free virtual = 8215 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 139 ; free virtual = 8552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 164 ; free virtual = 8215 +Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 139 ; free virtual = 8552 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:15 ; elapsed = 00:00:16 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 149 ; free virtual = 8200 +Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 127 ; free virtual = 8540 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -152,37 +152,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -204,16 +204,16 @@ Report Cell Usage: |4 |FDRE | 11| +------+-----+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.191 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.805 ; gain = 619.250 ; free physical = 129 ; free virtual = 8543 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 2540.191 ; gain = 512.562 ; free physical = 438 ; free virtual = 8489 -Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 2540.199 ; gain = 615.312 ; free physical = 438 ; free virtual = 8489 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:18 . Memory (MB): peak = 2636.805 ; gain = 523.500 ; free physical = 129 ; free virtual = 8543 +Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:20 . Memory (MB): peak = 2636.812 ; gain = 619.250 ; free physical = 130 ; free virtual = 8543 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2540.199 ; gain = 0.000 ; free physical = 438 ; free virtual = 8489 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2636.812 ; gain = 0.000 ; free physical = 110 ; free virtual = 8541 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2596.219 ; gain = 0.000 ; free physical = 774 ; free virtual = 8827 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2692.832 ; gain = 0.000 ; free physical = 791 ; free virtual = 9178 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. @@ -224,7 +224,7 @@ synth_design completed successfully INFO: [Common 17-600] The following parameters have non-default value. tcl.statsThreshold INFO: [Coretcl 2-1174] Renamed 2 cell refs. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2620.230 ; gain = 0.000 ; free physical = 774 ; free virtual = 8827 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2716.844 ; gain = 0.000 ; free physical = 791 ; free virtual = 9179 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.dcp' has been generated. INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_reg_decalage_0_0_utilization_synth.rpt -pb design_1_reg_decalage_0_0_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 05:14:27 2024... +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:27:01 2024... diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.pb b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.pb index 4ae54fd6432e1c369ca7eecb58837912711a0c87..edbb594b91f422934906b6c0df3eca629badf554 100644 GIT binary patch delta 194 zcmaFBIE{&mi^Isk$VAUj&uAitNo-NG$5URe>&iB7g%}tPIP4W>C}Q?#6#<F#N-_Y! zT}g%t6ZfT3Aof~$5cyZ0p`p+Dr~-(6Rux3fRbyz_=KNF*#O^f$k#~WlrOU*bx_%0d zPwhb>Z(Ts-URMSN1;<u55WCkKMBW9G4Gv3vK<rOGyzU_Zu14|B9*%x~u0Cr6L85<w LWP|<DAP^e>G*d2Y delta 140 zcmbQn^nj6ri_OTu$VAU@B9}>Q1E>2_UIqpR+qXgt3<n(c3Ntixy0wbDT*PhLD+v^5 zxGTxfu-kR16o|c69z^~Hk`Ycv6+rB>svvT%8UsUt(^DYHz|d<1BJTpp1x^!Z>q;>= VJhcajymbMQdtHHqL#rEz4FGhXALjr7 diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt index 1b7ca4a..5e0620b 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0_utilization_synth.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 -| Date : Thu Nov 14 05:14:27 2024 +| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +| Date : Thu Nov 28 16:27:01 2024 | Host : hogtest running 64-bit unknown | Command : report_utilization -file design_1_reg_decalage_0_0_utilization_synth.rpt -pb design_1_reg_decalage_0_0_utilization_synth.pb | Design : design_1_reg_decalage_0_0 -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Synthesized --------------------------------------------------------------------------------------------------------------------------------------------- @@ -31,14 +31,14 @@ Table of Contents +-------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs* | 6 | 0 | 0 | 17600 | 0.03 | -| LUT as Logic | 6 | 0 | 0 | 17600 | 0.03 | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 11 | 0 | 0 | 35200 | 0.03 | -| Register as Flip Flop | 11 | 0 | 0 | 35200 | 0.03 | -| Register as Latch | 0 | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 | +| Slice LUTs* | 6 | 0 | 0 | 134600 | <0.01 | +| LUT as Logic | 6 | 0 | 0 | 134600 | <0.01 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 11 | 0 | 0 | 269200 | <0.01 | +| Register as Flip Flop | 11 | 0 | 0 | 269200 | <0.01 | +| Register as Latch | 0 | 0 | 0 | 269200 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 67300 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 33650 | 0.00 | +-------------------------+------+-------+------------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. Warning! LUT value is adjusted to account for LUT combining. @@ -70,9 +70,9 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +----------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 120 | 0.00 | +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | +----------------+------+-------+------------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -83,7 +83,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +-----------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 80 | 0.00 | +| DSPs | 0 | 0 | 0 | 740 | 0.00 | +-----------+------+-------+------------+-----------+-------+ @@ -93,20 +93,22 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +-----------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 0 | 0 | 0 | 54 | 0.00 | -| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 54 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 54 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 54 | 0.00 | +| Bonded IOB | 0 | 0 | 0 | 285 | 0.00 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | +-----------------------------+------+-------+------------+-----------+-------+ @@ -117,12 +119,12 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst | Site Type | Used | Fixed | Prohibited | Available | Util% | +------------+------+-------+------------+-----------+-------+ | BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 | -| BUFIO | 0 | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| BUFMRCE | 0 | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 0 | 8 | 0.00 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | +------------+------+-------+------------+-----------+-------+ @@ -138,6 +140,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 0 | 1 | 0.00 | +-------------+------+-------+------------+-----------+-------+ diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml index 74cba0f..54df7e7 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/gen_run.xml @@ -1,11 +1,14 @@ <?xml version="1.0" encoding="UTF-8"?> -<GenRun Id="design_1_reg_decalage_0_0_synth_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731557632"> +<GenRun Id="design_1_reg_decalage_0_0_synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807584"> + <File Type="VDS-TIMINGSUMMARY" Name="design_1_reg_decalage_0_0_timing_summary_synth.rpt"/> <File Type="RDS-DCP" Name="design_1_reg_decalage_0_0.dcp"/> <File Type="RDS-UTIL-PB" Name="design_1_reg_decalage_0_0_utilization_synth.pb"/> - <File Type="PA-TCL" Name="design_1_reg_decalage_0_0.tcl"/> <File Type="RDS-UTIL" Name="design_1_reg_decalage_0_0_utilization_synth.rpt"/> + <File Type="VDS-TIMING-PB" Name="design_1_reg_decalage_0_0_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="design_1_reg_decalage_0_0.tcl"/> <File Type="REPORTS-TCL" Name="design_1_reg_decalage_0_0_reports.tcl"/> <File Type="RDS-RDS" Name="design_1_reg_decalage_0_0.vds"/> + <File Type="RDS-PROPCONSTRS" Name="design_1_reg_decalage_0_0_drc_synth.rpt"/> <FileSet Name="sources" Type="BlockSrcs" RelSrcDir="$PSRCDIR/design_1_reg_decalage_0_0" RelGenDir="$PGENDIR/design_1_reg_decalage_0_0"> <File Path="$PSRCDIR/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci"> <FileInfo> @@ -13,7 +16,6 @@ <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> - <Attr Name="ProcessingOrder" Val="EARLY"/> </FileInfo> </File> <Config> @@ -28,7 +30,6 @@ <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> - <Attr Name="ProcessingOrder" Val="EARLY"/> </FileInfo> </File> <Config> @@ -43,7 +44,9 @@ </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> <Step Id="synth_design"/> </Strategy> </GenRun> diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf index 00cd5e5..2b65bc2 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/project.wdf @@ -6,7 +6,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 @@ -22,12 +22,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00 -eof:2245874392 +eof:1425733881 diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou index 7d3d69e..3ebf48e 100644 --- a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou +++ b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.jou @@ -1,10 +1,10 @@ #----------------------------------------------------------- -# Vivado v2024.1 (64-bit) -# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 -# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 -# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 -# Start of session at: Thu Nov 14 05:13:55 2024 -# Process ID: 7818 +# Vivado v2024.1.2 (64-bit) +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 +# IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 +# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 +# Start of session at: Thu Nov 28 16:26:27 2024 +# Process ID: 14006 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1 # Command line: vivado -log design_1_reg_decalage_0_0.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_reg_decalage_0_0.tcl # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/design_1_reg_decalage_0_0.vds @@ -19,6 +19,6 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11968 MB +# Available Virtual :12355 MB #----------------------------------------------------------- source design_1_reg_decalage_0_0.tcl -notrace diff --git a/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.pb b/Vivado/labo1b/labo1b.runs/design_1_reg_decalage_0_0_synth_1/vivado.pb index fa4f6e0e7473a4d56d8e867e174dce4f02445b14..e329962b9d1079a1c26224d8c78478dc19cb32de 100644 GIT binary patch delta 1700 zcmbVMOH5Ni6m?$vs(FIe(qd68590@jeVOn36g5ID#-Kv{C5nlbLJ9;au_a<mH|j<< zVs6|Tce=pD_!hb_MqNqbhJ`MS#?QtWL*i%W%IT|yR*g`1lbLhQoHKXs{WgO>&!9WC z2;G2HsM(j*P6I`vHbpVc=lV0;=5YcO=)LOgzzJn!owqt3AXc1lXK2~DI;l>hWkE$t z!ds=eC8Px>(^iGR@c<=pj#)Xl588ZY-Cw|v_sydN(C-&3mTM~E*tX%izYj6^?vF<~ z!v?jm-mYg-!(;jmofB$UM8M<vu!3!P*I1`mraPiO2t)-D24!{`*0BuJ<|QmEVtv9k zVJ=y4Kh!iKxV1e7n^yHl1!D#lWPw;z;*Keab;yCYjbWd#xa7@4FxdnzR-artrGVz) zQ_rIfr7Nh6hz*P}^=pblXh8GZ!m{1xYrdi;liG%j$pS{ze8gfUkSSoc_Y#bRpDltj z-6N61DEd=uA(KgnL3uG#7z#Mz6M}k)Uaq|l54%FKec9B&@K{D4Po2pp^xsPg9bE<3 z)o?j#GE<rq<+_eR1^z?#wjOe8*58y)mdd!dT0lb#!>g?g|0F3~lxiu6mtd;B!JTQ^ z>mSK!%H~{9Yg2RQ#_~h7PdnBt!{`SGbJ>YA>A_q=+kL1vm(VSa^_FyYR39B2%jAa= z1mjk%^I*sR;u)(v)8%$2v2}^@mdw-zZk5k*#r?GDi-IUzt&jfOE^|tDxm~pDZfQM_ zkO`-fVVI0BlJpm~RI9t&(*MbHpBSknQ>pdSFZTRiARkp3bGm*Juk=?;=2fL_W5|7K zpGDPE-KqAARnpwKq>9|}ox@e~ynr8S#u4b<k?Nho^*tdsu={kCw@SAI)jw$gg8Sb5 z`O^ethQMC`DP<*K`?KYF2>olv$|>tjH_q-JsFM?@*s6q9P9TCy6NnRdz9#Kn>xoF9 xLk*i%0<F?KbBLU0v%MK#%py{a^9r>E5RjgTn?C#+t)#NM%mlR(m_YZ@mLJ`t%Deyo delta 1707 zcmbVMO-vI(7-hEIk}Oi8U1~wJ3t|GAt~0Z<e<doS!Jp6|#1I1}S_>8k78DXu!_A8^ zP1NtG2RU)!0NINN6TNsek%RH11jVQa5{dCh;&g$)0wU>cGV|X1-Z$URuPO9<3Qd<H zGyy?W;~SQ;K#?B2hY9Xa4`wLU<D?Zv(HGIZf^K1;$~|=jh#A+I-B&a$j){*^QJEmM zz?@Li5SGfv(xz9TD?mw{VQnM&3e@`yc{?=R@-3nyT=nb40m*M#h5^t0-H1Ud9)=RJ zDynIQYEWHiR5Gdlta4mogs3JnU^Xcu9cCl4z=+c)z6wMcVXAJk4N%KgO~OIR3S_}d zFzllmgQe<m=i8nbf~Mwn_)~H$%BZa}&06Q_m<#E)m**^1*CP12_xvvL`8e>s?M-Y| zo)$|YRx!p@lzc?9D9_i*`<V~R&O-TT6w@`T63g-eiLnBt*qIMs{4dB=>#1l$(N(qe ztPE_b8qQ}WBLdSw*++@VT`t0heJ>#w3&mQ8Qa%0Ij53_MJ(^IqR2EVP#vxJpFsd`G z5}Rz0Cc3H-x{dUt5^@6jC%K(narh^9Ab1q1+#TM^+jnxY>;BNNBpe<ola7cZceA5? z^s>|ms4jBS!b*L5XiypK&1OdX5)3y;%^jzbBMF62?~}r>n~9|`?4C43p}4_KITsB% zKkAkQIDK$uUjk+;T}JX<w&)ycxQ}>`rsDA((-Dj{n(oq;kERQuy;f7Zp~3q|g}}S% z3H}%mhz%3T=)c{~$YCEJ4L2)h*?D_VR~vHPT6a)E<Xo)MdC@pfAek2;b7H6<^4iGX zD9HA+vmwWK=4L^WA>TjmT6+BjL>`CI*14_a(Fs*`gTm(W;N*}uh`n`2H*uWhWSDOi zcRFp)>fv>FS5Lm~d`H1Td7JaJt%8GXL#4zEHcjS&EoyS`1Ls2fS0QFltWphoRJ}mi VxpwIjDj`h4dV;V7XP@h={|mej$y@*c diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst index 286a41b..5aa1024 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.init_design.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847"> + <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst index 286a41b..5aa1024 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.opt_design.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847"> + <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst index 286a41b..5aa1024 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.phys_opt_design.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847"> + <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst index 286a41b..5aa1024 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.place_design.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847"> + <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst index 286a41b..5aa1024 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.route_design.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="7847"> + <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="14663"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst index da7f850..1f77c63 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.vivado.begin.rst @@ -1,10 +1,25 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7805" HostCore="8" HostMemory="8102396"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="11123" HostCore="8" HostMemory="8102392"> </Process> </ProcessHandle> <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="9127" HostCore="8" HostMemory="8102396"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="12488" HostCore="8" HostMemory="8102392"> + </Process> +</ProcessHandle> +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="13568" HostCore="8" HostMemory="8102392"> + </Process> +</ProcessHandle> +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="14621" HostCore="8" HostMemory="8102392"> + </Process> +</ProcessHandle> +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="16444" HostCore="8" HostMemory="8102392"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst index 983c116..68a38f4 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst +++ b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="9169"> + <Process Command=".planAhead." Owner="hogtest" Host="hogtest" Pid="16486"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.vivado.error.rst b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.end.rst similarity index 100% rename from Vivado/labo1b/labo1b.runs/impl_1/.vivado.error.rst rename to Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.end.rst diff --git a/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.error.rst b/Vivado/labo1b/labo1b.runs/impl_1/.write_bitstream.error.rst deleted file mode 100644 index e69de29..0000000 diff --git a/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt b/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt index 329f48a..c984abb 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt +++ b/Vivado/labo1b/labo1b.runs/impl_1/clockInfo.txt @@ -1,9 +1,9 @@ ------------------------------------- | Tool Version : Vivado v.2024.1.2 -| Date : Thu Nov 14 13:36:52 2024 +| Date : Thu Nov 28 16:32:04 2024 | Host : hogtest | Design : design_1 -| Device : xc7z010i-clg225-1L-I- +| Device : xc7a200t-sbg484-1-- ------------------------------------- For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.bit b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.bit new file mode 100644 index 0000000000000000000000000000000000000000..e707567f18715cb5a163d6ba7c15e694e7dda5fe GIT binary patch literal 9730767 zcmeF)&1+m$7zf~UCkZBzB%_FgqUI{P(_}KHT3U)D6hT+rR9pyc+QiaLElHu^Y7kLz zs~dM+3*sLjNI`Jn7sa&;LEO0VKhXJXCm-{XnS1Ztah@B(J?EbHp7(d2DU?D(!otcw z&94xm?Xb18yMN>Q&C8pY@9b^gy0yD^?$ZA5-kTTBm)?1!b)9>AcW?j3`!~;*OXaQg z&GmA)8kSz&E|*HT_pe^xI<pn7g-7da8=IRO<uhR?ENs4V_T|%OPj7|Y@Wlu3{@dpY zA$<GTbKyd}?5i&?y%*OWmI`rHyVdTYc@Ndby|LCDKT;nz=7lTGnkbG6;kCa?(Y@BZ z8qHk0@_DIQztE@+^|jfk6z<mUbG3V`b|y65sn_)SE`_*J*V;3x*Oo#hTzuiFi?yLE z{{k~Pi#TAOV&l(UM%f3<lu;UO2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKwu04amqcj*nj2gbPtim z89GleP`?p=C*eT*cLo|{+>xig|JpZ$yfl1Gy+_|`u5U%xg1GC-<SwlJIJw!|$!FUG zcLD?m5FkL{fxya74~7#vn4j3>f)gM>fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBnwpTIM}<S*0VMDgJV<^(bp zSpGTVhC{R~4)Kmx2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&Um`Z{9FrUr2i^XjE=t6)10RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RkfkEROJQimw(6DQ<3S0t5&Um>Pk#AN~hNt@J*_Z13gV zt<L<NyGUaXR2g%EQ*VtqKoaeXy*HWfy?kV~dgMvA51!n~wqGu~RdSJ{YltU4?z&`w z009C72oNAJSpwC3$DREo-}$5?K!5-N0t5&UAV7cs0RjXF5FkK+z=R3(`>#d))>A+3 z@^7iPNV~z<4bwiL{Rt2tK!5-N0t5&UAV45tf#}eF9rM>~|H_>0m^Ugr=Ka<W*C##y z?AccKj!a4SNPEAgVYPLT_O1K8{-e%?!tm?O4&C1$aXtEVrMW{0sr6_zNN@k9ar+$Z z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjZF6Npo8b=>}Ie<s`XxE@J%s3f`B0DHv))QnPc-2Q8SjI!g=caQ6Fv}*V#Y41OL zGH((fK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5Fjx10y8-j$YZ7)GKoZh009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U z7`MRkcjHdbt$(FbTd99f-}QW6j~w3(A^tG0>Et3nfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UATTb0rI)hp9B0ukZ@-i9gn6ER zF$1KIOqqNp9Y?Bkj0YL!p?>w=AW78_m~Mf^jf3Z}9-NvHAW#!HwSJJHa&S8Fv+4;? zkcWDI$Di;+Q%-;Y0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UATVZu#~b|%JyNXx-eWOWOHqi%o2O7+OfEq2 z&{nITK726${ljsxqv~RkX9(f`(*31m6XtZckHTC|lTB|dpUL!o_D)WK009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!Cu1?A_0cBv%~(@Yj{znd+U*PLp-r9TK!M2jhL&qfn7W z+>5ND2SIQMcoD>lV2-sx%!mk*7|=_yL@*b@lf;X-H@zqdAs~q8UyzfE5gn^$y0?3J zy1S~otEPW_3TCQaz3=<J_dajCx~He7mH+_)1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+0D%Psp8L~+2}+yqM5Q6~1w`>_yPe*Cz9g&I>e}y{9#tzz8tUTc zG;b?8+c(sSv;+tcAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBmV1A&kKVhsj4c8G^j z{)_(NSMrD9u-L{1t>wuZXCE|ZeC(}8dbk(bX}%<R?q>2gGU(Ez-F9in$_H%FL*&$Y z{nupERwRV=e?B>VGVy#e$JMNv>;0xZpQK4a+r8cce!jQ2TcSYmf-5RsN!nIS;A8Kf z4d|)n#?@*c#huTDxD!4bck=J~(f#}33*p~?zj+WUzU(V5eFu-jg9k&y%+LMjuc7s+ z4}Sc+5Z=B&RI|2+aZ(KZBkxvJnSY+G&L2bgTi!k{Wcynu{O{<a*F(2op1eOUs*(_{ zABF7gp|CvLOZmU355E6le)PhhhiV)WAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+0D%euNgi|Xg9?E{2oNAZfB*pk1PBlyKwygm(lnoJ z@fA967T;|vAn+*vi}P2CaUA?)1x8~C1PBlyK!5;&EfDAq-z#X{=G)*6u9iu$cQ|A3 zjo(^o#_?=Wc+#6o=NlU|sSTOR-hTCoWYt4O64(fV{yqPqm#R-Etsc5fk+rj2yE`M_ zCEeeC#!)Rfe6TE84I}A(zJ?@H5Liut+J4g89lm4lYR+{{M%90YUVON_Us*hd3<H5| za5(qT?l<Rds^JrXEI+F6U8>zXPZB#Iuqgsr-4ofpRd>d%&zCGJu=~cM8MeOt!fP-p zc<biq8Ra<zMqdo~%cJ}9^pDF!MARzKU!#dhZzdC~nyx4vThjxOp8x>@TP%?D=HB0z zQIy8T|0qkLzC@sJ+~4=Z`{hade%yt9w7fOxkM+Ws*6c)o9;5q7)9A-dst@}|6RT7Z zAV7cs0RopS5RLRVYk8!(Vm$)sNSd<pNcf<5<!Eqx$w%oW_t#W+gU_p+eZIYVdBTX6 zxF(_Me~LX}=qq$Oc`}yl^r(9INyDLoE02Z>OE}Dy(5Eg55FkK+zzPc7OGBCuEB|VR z*1ZramM72T%A?73&DNy-IW*f%^VtuxkcCkEkQjyNFof#mNn?9Fsp1mOpUvSCI?@FJ z0t5&U*gk=4pQw4xY0dehBd`Jj&%IFdAhMeCNk@PH0RpQbP;xJh_@}Ee%&izjQf-!3 zKCBj3e^gd~N*M{vBrv#OWo7N&D+^FhU{eM1$4T$61+&3JX6$62UfTaVmyR8elLQD5 zAV7cs0RjXF5FkK+009C72oNC9s6f*BWuy5eCqRI}3<6ivJh;`4qGCUF`|{_eR;#Q{ z;GIu~;}Ejrhe=t=_|s((>gy3mPSc!ypPI4zbpA(?)3$V4<(Rrviyt#YrwE)ANY9nV z7SgN#8hd`Gli5tsTOOR0&p&!+B<AeiIwL0)7ZJ#MjjP^xe6NPj5~Yg_cx&1wt>=rm zX5|y!`T0o9$mhW-q&Syg)#A?$Zuy0F;WN0w*Z2Y5_TI{Pm<G<~Kb}<Dx}HBOIn5K4 z&eJGwbL$UtHq>l(fhap`GxYpWJS0XR7SI0o;<?e7**n)_SRm~cD!bkrZteK81;(w@ zjr8Iu#>HKXa;8imJs%K7Q5I*#xuI)UQ5^N&|MtamMVzgEfwY&hxYgIRt^3<)wdxuX z$fjq#J^J3t^l-Hc2t;KMkY4WY$hB>OE;X$nP-R(ef3HF!A@vBPNtGoD@z?9=PL?u( z=(}-f$FG&W*_Ee>zgCt@eLVv2wc6imMeQ`tR<bVfuj}bimNJ1aK5T#I^p3ZsYZ6Z1 z)Gy1YesO_UcCH?FJ3p+DD1NI#kdPV#-hcJ_KX2~+=*2wM!|CbbH>TICtxF(Zlb?0> zUN6#>JfGbDO<jG;GhN^$JUcyLP`fiYbkwB4NjN!aGQZrj3s{rc&6;kJU%RS4PF%a1 zUW{J;7muI$Rot%f`Rw@BOB&MFck^<3{Bk=S=I?lA&rHYg`;+ND_0{f&{V#=Z_d$8a zZpmxq@(d!X3GAQbYjSe;tL4$wq}-^Uh?6^a_D`%yJ(zW6Db~cbt1eA>2oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV6Rv1v-D}ZsfcgnN-wB)(c6Wx)C2=I9bz)>}pMkwku6`@a}d^*lY<9 zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5cq$) zbZ~+&5Cl+khLDS34=G_wNM+*1KD>Ceg<vlhkb@1liyN>4iSQ1~>>fhk{UJbr009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNCfTY(ahulcf0^|$SmIA<M)ulvyM&VCGfqw&*d%3*2U9<|$; eC9Zu&KJTa7)~$bY+}`GXdTODC9k2ucumf+)DrC|C literal 0 HcmV?d00001 diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl index a04c9f1..b532050 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.tcl @@ -97,6 +97,8 @@ proc step_failed { step } { OPTRACE "impl_1" END { } } +set_msg_config -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}} -suppress -regexp +set_msg_config -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}} -suppress -regexp OPTRACE "impl_1" START { ROLLUP_1 } OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } @@ -106,6 +108,7 @@ set ACTIVE_STEP write_bitstream set rc [catch { create_msg_db write_bitstream.pb set_param chipscope.maxJobs 2 + set_param tcl.statsThreshold 360 set_param runs.launchOptions { -jobs 4 } open_checkpoint design_1_wrapper_routed.dcp set_property webtalk.parent_dir {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/wt} [current_project] diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi index 7ebcb82..7927226 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi @@ -3,8 +3,8 @@ # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:36:33 2024 -# Process ID: 7847 +# Start of session at: Thu Nov 28 16:31:41 2024 +# Process ID: 14663 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi @@ -19,23 +19,23 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11470 MB +# Available Virtual :12349 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'. -Command: link_design -top design_1_wrapper -part xc7z010iclg225-1L +Command: link_design -top design_1_wrapper -part xc7a200tsbg484-1 Design is defaulting to srcset: sources_1 Design is defaulting to constrset: constrs_1 -INFO: [Device 21-403] Loading part xc7z010iclg225-1L -INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library. +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp' for cell 'design_1_i/clk_wiz_0' INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp' for cell 'design_1_i/reg_decalage_0' -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1946.828 ; gain = 0.000 ; free physical = 902 ; free virtual = 10298 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2038.219 ; gain = 0.000 ; free physical = 1845 ; free virtual = 10861 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-479] Netlist was created with Vivado 2024.1.2 INFO: [Project 1-570] Preparing netlist for logic optimization Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst' Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst' @@ -44,29 +44,19 @@ INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/hogtest/Projets INFO: [Timing 38-2] Deriving generated clocks [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54] Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst' Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc] -CRITICAL WARNING: [Common 17-69] Command failed: 'R4' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:8] -CRITICAL WARNING: [Common 17-69] Command failed: 'T14' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:22] -CRITICAL WARNING: [Common 17-69] Command failed: 'T15' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:23] -CRITICAL WARNING: [Common 17-69] Command failed: 'T16' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:24] -CRITICAL WARNING: [Common 17-69] Command failed: 'U16' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:25] -CRITICAL WARNING: [Common 17-69] Command failed: 'V15' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:26] -CRITICAL WARNING: [Common 17-69] Command failed: 'W16' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:27] -CRITICAL WARNING: [Common 17-69] Command failed: 'W15' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:28] -CRITICAL WARNING: [Common 17-69] Command failed: 'Y13' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:29] -CRITICAL WARNING: [Common 17-69] Command failed: 'B22' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:33] -CRITICAL WARNING: [Common 17-69] Command failed: 'D22' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:34] Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2624.246 ; gain = 0.000 ; free physical = 353 ; free virtual = 9762 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2763.770 ; gain = 0.000 ; free physical = 1299 ; free virtual = 10336 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -15 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. +15 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 2624.246 ; gain = 991.535 ; free physical = 353 ; free virtual = 9762 +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold Command: opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i' +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' Running DRC as a precondition to command opt_design Starting DRC Task @@ -74,112 +64,112 @@ INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:00.83 ; elapsed = 00:00:00.51 . Memory (MB): peak = 2688.277 ; gain = 64.031 ; free physical = 336 ; free virtual = 9745 +Time (s): cpu = 00:00:00.86 ; elapsed = 00:00:00.56 . Memory (MB): peak = 2827.801 ; gain = 64.031 ; free physical = 1311 ; free virtual = 10348 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. -Ending Cache Timing Information Task | Checksum: 250df70fd +Ending Cache Timing Information Task | Checksum: 256e02795 -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2688.277 ; gain = 0.000 ; free physical = 335 ; free virtual = 9745 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2827.801 ; gain = 0.000 ; free physical = 1311 ; free virtual = 10348 Starting Logic Optimization Task Phase 1 Initialization Phase 1.1 Core Generation And Design Setup -Phase 1.1 Core Generation And Design Setup | Checksum: 250df70fd +Phase 1.1 Core Generation And Design Setup | Checksum: 256e02795 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042 Phase 1.2 Setup Constraints And Sort Netlist -Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 250df70fd +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 256e02795 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435 -Phase 1 Initialization | Checksum: 250df70fd +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042 +Phase 1 Initialization | Checksum: 256e02795 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042 Phase 2 Timer Update And Timing Data Collection Phase 2.1 Timer Update -Phase 2.1 Timer Update | Checksum: 250df70fd +Phase 2.1 Timer Update | Checksum: 256e02795 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041 Phase 2.2 Timing Data Collection -Phase 2.2 Timing Data Collection | Checksum: 250df70fd +Phase 2.2 Timing Data Collection | Checksum: 256e02795 -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Phase 2 Timer Update And Timing Data Collection | Checksum: 250df70fd +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041 +Phase 2 Timer Update And Timing Data Collection | Checksum: 256e02795 -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041 Phase 3 Retarget INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). -Phase 3 Retarget | Checksum: 250df70fd +Phase 3 Retarget | Checksum: 256e02795 -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Retarget | Checksum: 250df70fd +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Retarget | Checksum: 256e02795 INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. Phase 4 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Phase 4 Constant propagation | Checksum: 250df70fd +Phase 4 Constant propagation | Checksum: 256e02795 -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Constant propagation | Checksum: 250df70fd +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Constant propagation | Checksum: 256e02795 INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 5 Sweep -Phase 5 Sweep | Checksum: 2690de14c +Phase 5 Sweep | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Sweep | Checksum: 2690de14c +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Sweep | Checksum: 26f0e97e4 INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 6 BUFG optimization -Phase 6 BUFG optimization | Checksum: 2690de14c +Phase 6 BUFG optimization | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -BUFG optimization | Checksum: 2690de14c +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +BUFG optimization | Checksum: 26f0e97e4 INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. Phase 7 Shift Register Optimization INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs -Phase 7 Shift Register Optimization | Checksum: 2690de14c +Phase 7 Shift Register Optimization | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Shift Register Optimization | Checksum: 2690de14c +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Shift Register Optimization | Checksum: 26f0e97e4 INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 8 Post Processing Netlist -Phase 8 Post Processing Netlist | Checksum: 2690de14c +Phase 8 Post Processing Netlist | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Post Processing Netlist | Checksum: 2690de14c +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Post Processing Netlist | Checksum: 26f0e97e4 INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells Phase 9 Finalization Phase 9.1 Finalizing Design Cores and Updating Shapes -Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2690de14c +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 Phase 9.2 Verifying Netlist Connectivity Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Phase 9.2 Verifying Netlist Connectivity | Checksum: 2690de14c +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Phase 9 Finalization | Checksum: 2690de14c +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Phase 9 Finalization | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 Opt_design Change Summary ========================= @@ -196,29 +186,31 @@ Opt_design Change Summary ------------------------------------------------------------------------------------------------------------------------- -Ending Logic Optimization Task | Checksum: 2690de14c +Ending Logic Optimization Task | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. -Ending Power Optimization Task | Checksum: 2690de14c +Ending Power Optimization Task | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 Starting Final Cleanup Task -Ending Final Cleanup Task | Checksum: 2690de14c +Ending Final Cleanup Task | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 -Ending Netlist Obfuscation Task | Checksum: 2690de14c +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Ending Netlist Obfuscation Task | Checksum: 26f0e97e4 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 INFO: [Common 17-83] Releasing license: Implementation -34 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. +35 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. opt_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx Command: report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. @@ -226,20 +218,20 @@ INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt. report_drc completed successfully INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438 -Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 -Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043 +Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10048 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp' has been generated. Command: place_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i' +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' INFO: [Common 17-83] Releasing license: Implementation INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors @@ -255,54 +247,54 @@ Starting Placer Task Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436 -Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 230d9d08a +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 236da8722 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. -Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1ef0c3055 +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1bc38e117 -Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 140 ; free virtual = 9432 +Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031 Phase 1.3 Build Placer Netlist Model -Phase 1.3 Build Placer Netlist Model | Checksum: 24050593c +Phase 1.3 Build Placer Netlist Model | Checksum: 1eb442b17 -Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9429 +Time (s): cpu = 00:00:00.94 ; elapsed = 00:00:00.28 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031 Phase 1.4 Constrain Clocks/Macros -Phase 1.4 Constrain Clocks/Macros | Checksum: 24050593c +Phase 1.4 Constrain Clocks/Macros | Checksum: 1eb442b17 -Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437 -Phase 1 Placer Initialization | Checksum: 24050593c +Time (s): cpu = 00:00:00.95 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031 +Phase 1 Placer Initialization | Checksum: 1eb442b17 -Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437 +Time (s): cpu = 00:00:00.97 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 983 ; free virtual = 10031 Phase 2 Global Placement Phase 2.1 Floorplanning -Phase 2.1 Floorplanning | Checksum: 2a6b39e8b +Phase 2.1 Floorplanning | Checksum: 1c493d4b9 -Time (s): cpu = 00:00:00.64 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027 Phase 2.2 Update Timing before SLR Path Opt -Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2a217b8a6 +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2495728f0 -Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027 Phase 2.3 Post-Processing in Floorplanning -Phase 2.3 Post-Processing in Floorplanning | Checksum: 2a217b8a6 +Phase 2.3 Post-Processing in Floorplanning | Checksum: 2495728f0 -Time (s): cpu = 00:00:00.79 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027 Phase 2.4 Global Placement Core Phase 2.4.1 UpdateTiming Before Physical Synthesis -Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 25c43c498 +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 236cb21d7 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.26 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9438 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.52 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 943 ; free virtual = 9992 Phase 2.4.2 Physical Synthesis In Placer INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 @@ -317,7 +309,7 @@ INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 939 ; free virtual = 9991 Summary of Physical Synthesis Optimizations ============================================ @@ -339,55 +331,55 @@ Summary of Physical Synthesis Optimizations ----------------------------------------------------------------------------------------------------------------------------------------------------------- -Phase 2.4.2 Physical Synthesis In Placer | Checksum: 25c43c498 +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 236cb21d7 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.54 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441 -Phase 2.4 Global Placement Core | Checksum: 22fb7f765 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.81 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 +Phase 2.4 Global Placement Core | Checksum: 1ef8b2f73 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446 -Phase 2 Global Placement | Checksum: 22fb7f765 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 +Phase 2 Global Placement | Checksum: 1ef8b2f73 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros -Phase 3.1 Commit Multi Column Macros | Checksum: 25ac10194 +Phase 3.1 Commit Multi Column Macros | Checksum: 1d486398a -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.69 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.9 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 Phase 3.2 Commit Most Macros & LUTRAMs -Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2278c4f69 +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2966bbfaa -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 150 ; free virtual = 9444 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.92 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 Phase 3.3 Area Swap Optimization -Phase 3.3 Area Swap Optimization | Checksum: 2e6dac979 +Phase 3.3 Area Swap Optimization | Checksum: 1ff89d11b -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 Phase 3.4 Pipeline Register Optimization -Phase 3.4 Pipeline Register Optimization | Checksum: 2e4d933a3 +Phase 3.4 Pipeline Register Optimization | Checksum: 2376f352c -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 Phase 3.5 Small Shape Detail Placement -Phase 3.5 Small Shape Detail Placement | Checksum: 295821a43 +Phase 3.5 Small Shape Detail Placement | Checksum: 1e3efe8b9 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.78 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 3.6 Re-assign LUT pins -Phase 3.6 Re-assign LUT pins | Checksum: 295821a43 +Phase 3.6 Re-assign LUT pins | Checksum: 1e3efe8b9 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 3.7 Pipeline Register Optimization -Phase 3.7 Pipeline Register Optimization | Checksum: 274242b16 +Phase 3.7 Pipeline Register Optimization | Checksum: 21c0a8caa -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 -Phase 3 Detail Placement | Checksum: 274242b16 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 3 Detail Placement | Checksum: 21c0a8caa -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 4 Post Placement Optimization and Clean-Up @@ -395,7 +387,7 @@ Phase 4.1 Post Commit Optimization INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 4.1.1 Post Placement Optimization -Post Placement Optimization Initialization | Checksum: 28d31213d +Post Placement Optimization Initialization | Checksum: 1f5099abb Phase 4.1.1.1 BUFG Insertion @@ -403,33 +395,33 @@ Starting Physical Synthesis Task Phase 1 Physical Synthesis Initialization INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs -INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.670 | TNS=0.000 | -Phase 1 Physical Synthesis Initialization | Checksum: 1b5106441 +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.716 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 1654a0736 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. -Ending Physical Synthesis Task | Checksum: 1e2cf2294 +Ending Physical Synthesis Task | Checksum: 19a6df91d -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 -Phase 4.1.1.1 BUFG Insertion | Checksum: 28d31213d +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1f5099abb -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.84 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 4.1.1.2 Post Placement Timing Optimization -INFO: [Place 30-746] Post Placement Timing Summary WNS=0.670. For the most accurate timing information please run report_timing. -Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1e50d54a6 +INFO: [Place 30-746] Post Placement Timing Summary WNS=0.716. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1ef975e62 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 -Phase 4.1 Post Commit Optimization | Checksum: 1e50d54a6 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4.1 Post Commit Optimization | Checksum: 1ef975e62 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 4.2 Post Placement Cleanup -Phase 4.2 Post Placement Cleanup | Checksum: 1e50d54a6 +Phase 4.2 Post Placement Cleanup | Checksum: 1ef975e62 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 4.3 Placer Reporting @@ -448,72 +440,76 @@ INFO: [Place 30-612] Post-Placement Estimated Congestion | West| 1x1| 1x1| |___________|___________________|___________________| -Phase 4.3.1 Print Estimated Congestion | Checksum: 1e50d54a6 +Phase 4.3.1 Print Estimated Congestion | Checksum: 1ef975e62 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 -Phase 4.3 Placer Reporting | Checksum: 1e50d54a6 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4.3 Placer Reporting | Checksum: 1ef975e62 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 -Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ab14c66f +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1b59ed02b -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 -Ending Placer Task | Checksum: 170a2839b +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Ending Placer Task | Checksum: 128415a24 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 -68 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +70 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. place_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. Running report generation with 3 threads. INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb INFO: [Vivado 12-24828] Executing command : report_io -file design_1_wrapper_io_placed.rpt -report_io: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432 +report_io: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432 -Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9431 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 135 ; free virtual = 9431 -Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9430 +Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp' has been generated. Command: phys_opt_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i' +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' Starting Initial Update Timing Task -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 -INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.670 | TNS= 0.000 | +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.716 | TNS= 0.000 | INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. INFO: [Common 17-83] Releasing license: Implementation -79 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. +82 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. phys_opt_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 -Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420 -Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 123 ; free virtual = 9419 +Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974 +Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp' has been generated. Command: route_design -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i' +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' Running DRC as a precondition to command route_design INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors @@ -524,30 +520,30 @@ Starting Routing Task INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs Phase 1 Build RT Design -Checksum: PlaceDB: a6bc7693 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 6998572b -Post Restoration Checksum: NetGraph: 6eb65909 | NumContArr: b80e0518 | Constraints: c2a8fa9d | Timing: c2a8fa9d -Phase 1 Build RT Design | Checksum: 2ac16535b +Checksum: PlaceDB: 2fd8b8e3 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: e7093645 | NumContArr: 7eee71f2 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 2eb499d71 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 124 ; free virtual = 9335 +Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 691 ; free virtual = 9755 Phase 2 Router Initialization Phase 2.1 Fix Topology Constraints -Phase 2.1 Fix Topology Constraints | Checksum: 2ac16535b +Phase 2.1 Fix Topology Constraints | Checksum: 2eb499d71 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327 +Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755 Phase 2.2 Pre Route Cleanup -Phase 2.2 Pre Route Cleanup | Checksum: 2ac16535b +Phase 2.2 Pre Route Cleanup | Checksum: 2eb499d71 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327 +Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755 Number of Nodes with overlaps = 0 Phase 2.3 Update Timing -Phase 2.3 Update Timing | Checksum: 254d5c1cf +Phase 2.3 Update Timing | Checksum: 1ef724d83 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 117 ; free virtual = 9312 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.554 | TNS=0.000 | WHS=-0.081 | THS=-0.315 | +Time (s): cpu = 00:00:36 ; elapsed = 00:00:29 . Memory (MB): peak = 3447.844 ; gain = 234.703 ; free physical = 616 ; free virtual = 9684 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.651 | TNS=0.000 | WHS=-0.121 | THS=-0.525 | Router Utilization Summary @@ -562,78 +558,71 @@ Router Utilization Summary Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 -Phase 2 Router Initialization | Checksum: 2831a6c13 +Phase 2 Router Initialization | Checksum: 27c63ec9c -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 Phase 3 Global Routing -Phase 3 Global Routing | Checksum: 2831a6c13 +Phase 3 Global Routing | Checksum: 27c63ec9c -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 Phase 4 Initial Routing Phase 4.1 Initial Net Routing Pass -Phase 4.1 Initial Net Routing Pass | Checksum: 302d7982a +Phase 4.1 Initial Net Routing Pass | Checksum: 2a761ba40 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 -Phase 4 Initial Routing | Checksum: 302d7982a +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 +Phase 4 Initial Routing | Checksum: 2a761ba40 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 Phase 5 Rip-up And Reroute Phase 5.1 Global Iteration 0 Number of Nodes with overlaps = 1 Number of Nodes with overlaps = 0 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.445 | TNS=0.000 | WHS=N/A | THS=N/A | +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.634 | TNS=0.000 | WHS=N/A | THS=N/A | -Phase 5.1 Global Iteration 0 | Checksum: 29e81f649 +Phase 5.1 Global Iteration 0 | Checksum: 2985bcbb7 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 -Phase 5 Rip-up And Reroute | Checksum: 29e81f649 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 +Phase 5 Rip-up And Reroute | Checksum: 2985bcbb7 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 Phase 6 Delay and Skew Optimization Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 2985bcbb7 -Phase 6.1.1 Update Timing -Phase 6.1.1 Update Timing | Checksum: 2bae5a207 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 -INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451 | TNS=0.000 | WHS=N/A | THS=N/A | - -Phase 6.1 Delay CleanUp | Checksum: 2bae5a207 - -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 Phase 6.2 Clock Skew Optimization -Phase 6.2 Clock Skew Optimization | Checksum: 2bae5a207 +Phase 6.2 Clock Skew Optimization | Checksum: 2985bcbb7 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 -Phase 6 Delay and Skew Optimization | Checksum: 2bae5a207 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 +Phase 6 Delay and Skew Optimization | Checksum: 2985bcbb7 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 Phase 7 Post Hold Fix Phase 7.1 Hold Fix Iter -INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451 | TNS=0.000 | WHS=0.184 | THS=0.000 | +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.735 | TNS=0.000 | WHS=0.182 | THS=0.000 | -Phase 7.1 Hold Fix Iter | Checksum: 27bbfa417 +Phase 7.1 Hold Fix Iter | Checksum: 280f4f3e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 -Phase 7 Post Hold Fix | Checksum: 27bbfa417 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 +Phase 7 Post Hold Fix | Checksum: 280f4f3e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 Phase 8 Route finalize Router Utilization Summary - Global Vertical Routing Utilization = 0.0250563 % - Global Horizontal Routing Utilization = 0.0066636 % + Global Vertical Routing Utilization = 0.0172892 % + Global Horizontal Routing Utilization = 0.00115664 % Routable Net Status* *Does not include unroutable nets such as driverless and loadless. Run report_route_status for detailed report. @@ -643,50 +632,51 @@ Router Utilization Summary Number of Partially Routed Nets = 0 Number of Node Overlaps = 0 -Phase 8 Route finalize | Checksum: 27bbfa417 +Phase 8 Route finalize | Checksum: 280f4f3e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 Phase 9 Verifying routed nets Verification completed successfully -Phase 9 Verifying routed nets | Checksum: 27bbfa417 +Phase 9 Verifying routed nets | Checksum: 280f4f3e6 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 Phase 10 Depositing Routes -Phase 10 Depositing Routes | Checksum: 1ecfb1d61 +Phase 10 Depositing Routes | Checksum: 26c826477 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 Phase 11 Post Process Routing -Phase 11 Post Process Routing | Checksum: 1ecfb1d61 +Phase 11 Post Process Routing | Checksum: 26c826477 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 Phase 12 Post Router Timing -INFO: [Route 35-57] Estimated Timing Summary | WNS=0.451 | TNS=0.000 | WHS=0.184 | THS=0.000 | +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.735 | TNS=0.000 | WHS=0.182 | THS=0.000 | INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. -Phase 12 Post Router Timing | Checksum: 1ecfb1d61 +Phase 12 Post Router Timing | Checksum: 26c826477 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 -Total Elapsed time in route_design: 5.99 secs +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 +Total Elapsed time in route_design: 29.29 secs Phase 13 Post-Route Event Processing -Phase 13 Post-Route Event Processing | Checksum: d68495c0 +Phase 13 Post-Route Event Processing | Checksum: 123c604a5 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 INFO: [Route 35-16] Router Completed Successfully -Ending Routing Task | Checksum: d68495c0 +Ending Routing Task | Checksum: 123c604a5 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315 +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation -94 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. +97 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 76.961 ; free physical = 132 ; free virtual = 9315 +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx Command: report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. @@ -701,46 +691,46 @@ INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/ho report_methodology completed successfully INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file design_1_wrapper_timing_summary_routed.rpt -pb design_1_wrapper_timing_summary_routed.pb -rpx design_1_wrapper_timing_summary_routed.rpx -warn_on_violation INFO: [Timing 38-35] Done setting XDC timing constraints. -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. Running report generation with 3 threads. -INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file design_1_wrapper_incremental_reuse_routed.rpt INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx -INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs INFO: [Vivado 12-24828] Executing command : report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx Command: report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx Running Vector-less Activity Propagation... Finished Running Vector-less Activity Propagation -114 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. +118 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. report_power completed successfully INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. WARNING: [Device 21-2174] Failed to initialize Virtual grid. INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266 -Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266 +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267 -Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266 -Write Physdb Complete: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266 +Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Write Physdb Complete: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp' has been generated. -INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:01 2024... +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:32:37 2024... #----------------------------------------------------------- # Vivado v2024.1.2 (64-bit) # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:37:11 2024 -# Process ID: 9169 +# Start of session at: Thu Nov 28 16:35:19 2024 +# Process ID: 16486 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi @@ -755,62 +745,64 @@ INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:01 2024... # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11482 MB +# Available Virtual :12272 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace Command: open_checkpoint design_1_wrapper_routed.dcp Starting open_checkpoint Task -Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1571.242 ; gain = 0.000 ; free physical = 1525 ; free virtual = 10623 -INFO: [Device 21-403] Loading part xc7z010iclg225-1L -INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1922.414 ; gain = 0.000 ; free physical = 1198 ; free virtual = 10305 +Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 1634.656 ; gain = 0.000 ; free physical = 2212 ; free virtual = 11300 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2012.367 ; gain = 0.000 ; free physical = 1859 ; free virtual = 10956 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2024.1.2 INFO: [Project 1-570] Preparing netlist for logic optimization -Read ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1985.258 ; gain = 1.000 ; free physical = 1114 ; free virtual = 10220 +Read ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2080.148 ; gain = 0.000 ; free physical = 1772 ; free virtual = 10869 INFO: [Timing 38-478] Restoring timing data from binary archive. INFO: [Timing 38-479] Binary timing data restore complete. INFO: [Project 1-856] Restoring constraints from binary archive. INFO: [Project 1-853] Binary constraint restore complete. INFO: [Designutils 20-5722] Start Reading Physical Databases. Reading placement. -Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 +Read Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 Reading placer database... -Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 -Read PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 -Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 +Read Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 +Read PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 +Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 Reading routing. -Read RouteStorage: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 -Read Physdb Files: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 -Restored from archive | CPU: 0.050000 secs | Memory: 1.109970 MB | -Finished XDEF File Restore: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.05 . Memory (MB): peak = 2549.773 ; gain = 5.938 ; free physical = 630 ; free virtual = 9737 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2549.773 ; gain = 0.000 ; free physical = 630 ; free virtual = 9737 +Read RouteStorage: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 +Read Physdb Files: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 +Restored from archive | CPU: 0.050000 secs | Memory: 1.109596 MB | +Finished XDEF File Restore: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2689.500 ; gain = 6.938 ; free physical = 1253 ; free virtual = 10350 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2689.500 ; gain = 0.000 ; free physical = 1253 ; free virtual = 10350 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. INFO: [Project 1-604] Checkpoint was created with Vivado v2024.1.2 (64-bit) build 5164865 -open_checkpoint: Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 2556.742 ; gain = 985.500 ; free physical = 630 ; free virtual = 9737 Command: write_bitstream -force design_1_wrapper.bit -Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i' +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' Running DRC as a precondition to command write_bitstream INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'. INFO: [DRC 23-27] Running DRC with 8 threads -ERROR: [DRC NSTD-1] Unspecified I/O Standard: 11 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: clk, btnD, btnC, and led[7:0]. -ERROR: [DRC UCIO-1] Unconstrained Logical Port: 11 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: clk, btnD, btnC, and led[7:0]. -WARNING: [DRC ZPS7-1] PS7 block required: The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -INFO: [Vivado 12-3199] DRC finished with 2 Errors, 1 Warnings +INFO: [Vivado 12-3199] DRC finished with 0 Errors INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. -ERROR: [Vivado 12-1345] Error(s) found during DRC. Bitgen not run. +INFO: [Designutils 20-2272] Running write_bitstream with 8 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./design_1_wrapper.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-1876] WebTalk data collection is mandatory when using a ULT device. To see the specific WebTalk data collected for your design, open the usage_statistics_webtalk.html or usage_statistics_webtalk.xml file in the implementation directory. INFO: [Common 17-83] Releasing license: Implementation -21 Infos, 1 Warnings, 0 Critical Warnings and 3 Errors encountered. -write_bitstream failed -write_bitstream: Time (s): cpu = 00:00:01 ; elapsed = 00:00:11 . Memory (MB): peak = 2803.207 ; gain = 246.465 ; free physical = 540 ; free virtual = 9648 -ERROR: [Common 17-39] 'write_bitstream' failed due to earlier errors. - -INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:38 2024... +24 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:35:55 2024... diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_7847.backup.vdi b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_11165.backup.vdi similarity index 69% rename from Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_7847.backup.vdi rename to Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_11165.backup.vdi index b45f2bc..ce92940 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_7847.backup.vdi +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_11165.backup.vdi @@ -3,8 +3,8 @@ # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:36:33 2024 -# Process ID: 7847 +# Start of session at: Thu Nov 28 16:16:03 2024 +# Process ID: 11165 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi @@ -19,9 +19,10 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11470 MB +# Available Virtual :13191 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace +create_project: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 1629.680 ; gain = 0.023 ; free physical = 1353 ; free virtual = 12216 INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'. @@ -32,7 +33,7 @@ INFO: [Device 21-403] Loading part xc7z010iclg225-1L INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library. INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp' for cell 'design_1_i/clk_wiz_0' INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp' for cell 'design_1_i/reg_decalage_0' -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1946.828 ; gain = 0.000 ; free physical = 902 ; free virtual = 10298 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1983.734 ; gain = 0.000 ; free physical = 1024 ; free virtual = 11888 INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2024.1 @@ -42,6 +43,7 @@ Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst' INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54] INFO: [Timing 38-2] Deriving generated clocks [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54] +get_clocks: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 2663.152 ; gain = 533.766 ; free physical = 598 ; free virtual = 11462 Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst' Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc] CRITICAL WARNING: [Common 17-69] Command failed: 'R4' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:8] @@ -57,13 +59,13 @@ CRITICAL WARNING: [Common 17-69] Command failed: 'B22' is not a valid site or pa CRITICAL WARNING: [Common 17-69] Command failed: 'D22' is not a valid site or package pin name. [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc:34] Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc] INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2624.246 ; gain = 0.000 ; free physical = 353 ; free virtual = 9762 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2663.152 ; gain = 0.000 ; free physical = 597 ; free virtual = 11461 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. 15 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. link_design completed successfully -link_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 2624.246 ; gain = 991.535 ; free physical = 353 ; free virtual = 9762 +link_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2663.152 ; gain = 1024.566 ; free physical = 597 ; free virtual = 11461 Command: opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z010i' @@ -74,13 +76,13 @@ INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Project 1-461] DRC finished with 0 Errors INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. -Time (s): cpu = 00:00:00.83 ; elapsed = 00:00:00.51 . Memory (MB): peak = 2688.277 ; gain = 64.031 ; free physical = 336 ; free virtual = 9745 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.88 . Memory (MB): peak = 2727.184 ; gain = 64.031 ; free physical = 587 ; free virtual = 11451 Starting Cache Timing Information Task INFO: [Timing 38-35] Done setting XDC timing constraints. Ending Cache Timing Information Task | Checksum: 250df70fd -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2688.277 ; gain = 0.000 ; free physical = 335 ; free virtual = 9745 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2727.184 ; gain = 0.000 ; free physical = 587 ; free virtual = 11451 Starting Logic Optimization Task @@ -89,30 +91,30 @@ Phase 1 Initialization Phase 1.1 Core Generation And Design Setup Phase 1.1 Core Generation And Design Setup | Checksum: 250df70fd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11148 Phase 1.2 Setup Constraints And Sort Netlist Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 250df70fd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11148 Phase 1 Initialization | Checksum: 250df70fd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 115 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11148 Phase 2 Timer Update And Timing Data Collection Phase 2.1 Timer Update Phase 2.1 Timer Update | Checksum: 250df70fd -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Phase 2.2 Timing Data Collection Phase 2.2 Timing Data Collection | Checksum: 250df70fd -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Phase 2 Timer Update And Timing Data Collection | Checksum: 250df70fd -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Phase 3 Retarget INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 @@ -120,7 +122,7 @@ INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). INFO: [Opt 31-49] Retargeted 0 cell(s). Phase 3 Retarget | Checksum: 250df70fd -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Retarget | Checksum: 250df70fd INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. @@ -129,21 +131,21 @@ Phase 4 Constant propagation INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). Phase 4 Constant propagation | Checksum: 250df70fd -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Constant propagation | Checksum: 250df70fd INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells Phase 5 Sweep Phase 5 Sweep | Checksum: 2690de14c -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Sweep | Checksum: 2690de14c INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells Phase 6 BUFG optimization Phase 6 BUFG optimization | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 BUFG optimization | Checksum: 2690de14c INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. @@ -151,14 +153,14 @@ Phase 7 Shift Register Optimization INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs Phase 7 Shift Register Optimization | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Shift Register Optimization | Checksum: 2690de14c INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells Phase 8 Post Processing Netlist Phase 8 Post Processing Netlist | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Post Processing Netlist | Checksum: 2690de14c INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells @@ -167,19 +169,19 @@ Phase 9 Finalization Phase 9.1 Finalizing Design Cores and Updating Shapes Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Phase 9.2 Verifying Netlist Connectivity Starting Connectivity Check Task -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Phase 9.2 Verifying Netlist Connectivity | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Phase 9 Finalization | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.03 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Opt_design Change Summary ========================= @@ -198,24 +200,24 @@ Opt_design Change Summary Ending Logic Optimization Task | Checksum: 2690de14c -Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Starting Power Optimization Task INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. Ending Power Optimization Task | Checksum: 2690de14c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Starting Final Cleanup Task Ending Final Cleanup Task | Checksum: 2690de14c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Starting Netlist Obfuscation Task -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 Ending Netlist Obfuscation Task | Checksum: 2690de14c -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2979.973 ; gain = 0.000 ; free physical = 114 ; free virtual = 9435 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3017.910 ; gain = 0.000 ; free physical = 285 ; free virtual = 11149 INFO: [Common 17-83] Releasing license: Implementation 34 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. opt_design completed successfully @@ -226,16 +228,16 @@ INFO: [DRC 23-27] Running DRC with 8 threads INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt. report_drc completed successfully INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438 -Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 146 ; free virtual = 9438 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 -Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 144 ; free virtual = 9436 +Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11145 +Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11146 +Write Physdb Complete: Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 281 ; free virtual = 11146 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp' has been generated. Command: place_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' @@ -255,54 +257,54 @@ Starting Placer Task Phase 1 Placer Initialization Phase 1.1 Placer Initialization Netlist Sorting -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147 Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 230d9d08a -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9436 +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147 Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device INFO: [Timing 38-35] Done setting XDC timing constraints. Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1ef0c3055 -Time (s): cpu = 00:00:00.26 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 140 ; free virtual = 9432 +Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 283 ; free virtual = 11147 Phase 1.3 Build Placer Netlist Model Phase 1.3 Build Placer Netlist Model | Checksum: 24050593c -Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9429 +Time (s): cpu = 00:00:00.86 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 282 ; free virtual = 11147 Phase 1.4 Constrain Clocks/Macros Phase 1.4 Constrain Clocks/Macros | Checksum: 24050593c -Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437 +Time (s): cpu = 00:00:00.87 ; elapsed = 00:00:00.24 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 282 ; free virtual = 11147 Phase 1 Placer Initialization | Checksum: 24050593c -Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9437 +Time (s): cpu = 00:00:00.88 ; elapsed = 00:00:00.24 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 282 ; free virtual = 11147 Phase 2 Global Placement Phase 2.1 Floorplanning Phase 2.1 Floorplanning | Checksum: 2a6b39e8b -Time (s): cpu = 00:00:00.64 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.27 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 280 ; free virtual = 11145 Phase 2.2 Update Timing before SLR Path Opt Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2a217b8a6 -Time (s): cpu = 00:00:00.78 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 280 ; free virtual = 11145 Phase 2.3 Post-Processing in Floorplanning Phase 2.3 Post-Processing in Floorplanning | Checksum: 2a217b8a6 -Time (s): cpu = 00:00:00.79 ; elapsed = 00:00:00.16 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 142 ; free virtual = 9436 +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 280 ; free virtual = 11145 Phase 2.4 Global Placement Core Phase 2.4.1 UpdateTiming Before Physical Synthesis Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 25c43c498 -Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.26 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 143 ; free virtual = 9438 +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.55 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11142 Phase 2.4.2 Physical Synthesis In Placer INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 @@ -317,7 +319,7 @@ INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Summary of Physical Synthesis Optimizations ============================================ @@ -341,53 +343,53 @@ Summary of Physical Synthesis Optimizations Phase 2.4.2 Physical Synthesis In Placer | Checksum: 25c43c498 -Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.54 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 147 ; free virtual = 9441 +Time (s): cpu = 00:00:03 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 2.4 Global Placement Core | Checksum: 22fb7f765 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 2 Global Placement | Checksum: 22fb7f765 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.68 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9446 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11142 Phase 3 Detail Placement Phase 3.1 Commit Multi Column Macros Phase 3.1 Commit Multi Column Macros | Checksum: 25ac10194 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.69 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142 Phase 3.2 Commit Most Macros & LUTRAMs Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2278c4f69 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 150 ; free virtual = 9444 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142 Phase 3.3 Area Swap Optimization Phase 3.3 Area Swap Optimization | Checksum: 2e6dac979 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142 Phase 3.4 Pipeline Register Optimization Phase 3.4 Pipeline Register Optimization | Checksum: 2e4d933a3 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.71 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 151 ; free virtual = 9445 +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 277 ; free virtual = 11142 Phase 3.5 Small Shape Detail Placement Phase 3.5 Small Shape Detail Placement | Checksum: 295821a43 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.78 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 3.6 Re-assign LUT pins Phase 3.6 Re-assign LUT pins | Checksum: 295821a43 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 3.7 Pipeline Register Optimization Phase 3.7 Pipeline Register Optimization | Checksum: 274242b16 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 3 Detail Placement | Checksum: 274242b16 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.79 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4 Post Placement Optimization and Clean-Up @@ -406,30 +408,30 @@ INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximu INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.670 | TNS=0.000 | Phase 1 Physical Synthesis Initialization | Checksum: 1b5106441 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. Ending Physical Synthesis Task | Checksum: 1e2cf2294 -Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.1.1.1 BUFG Insertion | Checksum: 28d31213d -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.84 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9440 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.1.1.2 Post Placement Timing Optimization INFO: [Place 30-746] Post Placement Timing Summary WNS=0.670. For the most accurate timing information please run report_timing. Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1e50d54a6 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.1 Post Commit Optimization | Checksum: 1e50d54a6 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.2 Post Placement Cleanup Phase 4.2 Post Placement Cleanup | Checksum: 1e50d54a6 -Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.3 Placer Reporting @@ -450,41 +452,41 @@ INFO: [Place 30-612] Post-Placement Estimated Congestion Phase 4.3.1 Print Estimated Congestion | Checksum: 1e50d54a6 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.3 Placer Reporting | Checksum: 1e50d54a6 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4.4 Final Placement Cleanup -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1ab14c66f -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.85 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 Ending Placer Task | Checksum: 170a2839b -Time (s): cpu = 00:00:04 ; elapsed = 00:00:00.86 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +Time (s): cpu = 00:00:05 ; elapsed = 00:00:01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 68 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. place_design completed successfully INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. Running report generation with 3 threads. INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt -report_control_sets: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 145 ; free virtual = 9439 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 278 ; free virtual = 11143 INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb INFO: [Vivado 12-24828] Executing command : report_io -file design_1_wrapper_io_placed.rpt -report_io: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432 +report_io: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 275 ; free virtual = 11139 INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432 -Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9432 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 137 ; free virtual = 9431 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 136 ; free virtual = 9431 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 135 ; free virtual = 9431 -Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 134 ; free virtual = 9430 +Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11139 +Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11140 +Write Physdb Complete: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 274 ; free virtual = 11140 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp' has been generated. Command: phys_opt_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' @@ -492,7 +494,7 @@ INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc Starting Initial Update Timing Task -Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131 INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.670 | TNS= 0.000 | INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. @@ -500,16 +502,16 @@ INFO: [Common 17-83] Releasing license: Implementation 79 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. phys_opt_design completed successfully INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 -Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 126 ; free virtual = 9421 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 266 ; free virtual = 11131 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420 -Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 124 ; free virtual = 9420 -Write Physdb Complete: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3019.992 ; gain = 0.000 ; free physical = 123 ; free virtual = 9419 +Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 258 ; free virtual = 11123 +Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 258 ; free virtual = 11123 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 257 ; free virtual = 11123 +Write Physdb Complete: Time (s): cpu = 00:00:00.08 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3057.930 ; gain = 0.000 ; free physical = 257 ; free virtual = 11123 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp' has been generated. Command: route_design Attempting to get a license for feature 'Implementation' and/or device 'xc7z010i' @@ -528,25 +530,25 @@ Checksum: PlaceDB: a6bc7693 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 6998572b Post Restoration Checksum: NetGraph: 6eb65909 | NumContArr: b80e0518 | Constraints: c2a8fa9d | Timing: c2a8fa9d Phase 1 Build RT Design | Checksum: 2ac16535b -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 124 ; free virtual = 9335 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3108.414 ; gain = 2.984 ; free physical = 159 ; free virtual = 11024 Phase 2 Router Initialization Phase 2.1 Fix Topology Constraints Phase 2.1 Fix Topology Constraints | Checksum: 2ac16535b -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3108.414 ; gain = 2.984 ; free physical = 159 ; free virtual = 11024 Phase 2.2 Pre Route Cleanup Phase 2.2 Pre Route Cleanup | Checksum: 2ac16535b -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3069.891 ; gain = 1.984 ; free physical = 116 ; free virtual = 9327 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3108.414 ; gain = 2.984 ; free physical = 159 ; free virtual = 11024 Number of Nodes with overlaps = 0 Phase 2.3 Update Timing Phase 2.3 Update Timing | Checksum: 254d5c1cf -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 117 ; free virtual = 9312 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.554 | TNS=0.000 | WHS=-0.081 | THS=-0.315 | @@ -564,22 +566,22 @@ Router Utilization Summary Phase 2 Router Initialization | Checksum: 2831a6c13 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 3 Global Routing Phase 3 Global Routing | Checksum: 2831a6c13 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 4 Initial Routing Phase 4.1 Initial Net Routing Pass Phase 4.1 Initial Net Routing Pass | Checksum: 302d7982a -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 4 Initial Routing | Checksum: 302d7982a -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:12 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 5 Rip-up And Reroute @@ -590,10 +592,10 @@ INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.445 | TNS=0.000 | WHS Phase 5.1 Global Iteration 0 | Checksum: 29e81f649 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 5 Rip-up And Reroute | Checksum: 29e81f649 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 6 Delay and Skew Optimization @@ -602,20 +604,20 @@ Phase 6.1 Delay CleanUp Phase 6.1.1 Update Timing Phase 6.1.1 Update Timing | Checksum: 2bae5a207 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451 | TNS=0.000 | WHS=N/A | THS=N/A | Phase 6.1 Delay CleanUp | Checksum: 2bae5a207 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 6.2 Clock Skew Optimization Phase 6.2 Clock Skew Optimization | Checksum: 2bae5a207 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 6 Delay and Skew Optimization | Checksum: 2bae5a207 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 129 ; free virtual = 9312 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 7 Post Hold Fix @@ -624,10 +626,10 @@ INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.451 | TNS=0.000 | WHS Phase 7.1 Hold Fix Iter | Checksum: 27bbfa417 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 7 Post Hold Fix | Checksum: 27bbfa417 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 8 Route finalize @@ -645,24 +647,24 @@ Router Utilization Summary Phase 8 Route finalize | Checksum: 27bbfa417 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 9 Verifying routed nets Verification completed successfully Phase 9 Verifying routed nets | Checksum: 27bbfa417 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 10 Depositing Routes Phase 10 Depositing Routes | Checksum: 1ecfb1d61 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 11 Post Process Routing Phase 11 Post Process Routing | Checksum: 1ecfb1d61 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Phase 12 Post Router Timing INFO: [Route 35-57] Estimated Timing Summary | WNS=0.451 | TNS=0.000 | WHS=0.184 | THS=0.000 | @@ -670,23 +672,23 @@ INFO: [Route 35-57] Estimated Timing Summary | WNS=0.451 | TNS=0.000 | WHS=0.1 INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. Phase 12 Post Router Timing | Checksum: 1ecfb1d61 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 128 ; free virtual = 9311 -Total Elapsed time in route_design: 5.99 secs +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 +Total Elapsed time in route_design: 10.29 secs Phase 13 Post-Route Event Processing Phase 13 Post-Route Event Processing | Checksum: d68495c0 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 INFO: [Route 35-16] Router Completed Successfully Ending Routing Task | Checksum: d68495c0 -Time (s): cpu = 00:00:07 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 29.047 ; free physical = 132 ; free virtual = 9315 +Time (s): cpu = 00:00:13 ; elapsed = 00:00:10 . Memory (MB): peak = 3135.477 ; gain = 30.047 ; free physical = 147 ; free virtual = 11012 Routing Is Done. INFO: [Common 17-83] Releasing license: Implementation 94 Infos, 0 Warnings, 11 Critical Warnings and 0 Errors encountered. route_design completed successfully -route_design: Time (s): cpu = 00:00:08 ; elapsed = 00:00:06 . Memory (MB): peak = 3096.953 ; gain = 76.961 ; free physical = 132 ; free virtual = 9315 +route_design: Time (s): cpu = 00:00:14 ; elapsed = 00:00:11 . Memory (MB): peak = 3135.477 ; gain = 77.547 ; free physical = 147 ; free virtual = 11012 INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx Command: report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx INFO: [IP_Flow 19-1839] IP Catalog is up to date. @@ -705,9 +707,9 @@ INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. Running report generation with 3 threads. -INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file design_1_wrapper_incremental_reuse_routed.rpt INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1L, Delay Type: min_max. INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs @@ -722,15 +724,15 @@ INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file desig WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. WARNING: [Device 21-2174] Failed to initialize Virtual grid. INFO: [Timing 38-480] Writing timing data to binary archive. -Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266 -Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266 -Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9266 +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 217 ; free virtual = 10971 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 217 ; free virtual = 10971 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 217 ; free virtual = 10971 Writing XDEF routing. Writing XDEF routing logical nets. Writing XDEF routing special nets. -Wrote RouteStorage: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267 -Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 162 ; free virtual = 9267 -Wrote Device Cache: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266 -Write Physdb Complete: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3199.871 ; gain = 0.000 ; free physical = 160 ; free virtual = 9266 +Wrote RouteStorage: Time (s): cpu = 00:00:00.05 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 216 ; free virtual = 10971 +Wrote Netlist Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 216 ; free virtual = 10971 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 215 ; free virtual = 10970 +Write Physdb Complete: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3240.363 ; gain = 0.000 ; free physical = 215 ; free virtual = 10970 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp' has been generated. -INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:37:01 2024... +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:16:50 2024... diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi new file mode 100644 index 0000000..c9a5e26 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_14663.backup.vdi @@ -0,0 +1,726 @@ +#----------------------------------------------------------- +# Vivado v2024.1.2 (64-bit) +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 +# IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 +# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 +# Start of session at: Thu Nov 28 16:31:41 2024 +# Process ID: 14663 +# Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 +# Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace +# Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi +# Journal file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou +# Running On :hogtest +# Platform :unknown +# Operating System :unknown +# Processor Detail :11th Gen Intel(R) Core(TM) i5-1140G7 @ 1.10GHz +# CPU Frequency :1804.800 MHz +# CPU Physical cores:4 +# CPU Logical cores :8 +# Host memory :8296 MB +# Swap memory :8296 MB +# Total Virtual :16593 MB +# Available Virtual :12349 MB +#----------------------------------------------------------- +source design_1_wrapper.tcl -notrace +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'. +Command: link_design -top design_1_wrapper -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.dcp' for cell 'design_1_i/clk_wiz_0' +INFO: [Project 1-454] Reading design checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.dcp' for cell 'design_1_i/reg_decalage_0' +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2038.219 ; gain = 0.000 ; free physical = 1845 ; free virtual = 10861 +INFO: [Netlist 29-17] Analyzing 1 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2024.1.2 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst' +Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1_board.xdc] for cell 'design_1_i/clk_wiz_0/inst' +Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst' +INFO: [Timing 38-35] Done setting XDC timing constraints. [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54] +INFO: [Timing 38-2] Deriving generated clocks [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc:54] +Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xdc] for cell 'design_1_i/clk_wiz_0/inst' +Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc] +Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Nexys-Video-Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2763.770 ; gain = 0.000 ; free physical = 1299 ; free virtual = 10336 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +15 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +link_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.86 ; elapsed = 00:00:00.56 . Memory (MB): peak = 2827.801 ; gain = 64.031 ; free physical = 1311 ; free virtual = 10348 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: 256e02795 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2827.801 ; gain = 0.000 ; free physical = 1311 ; free virtual = 10348 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: 256e02795 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 256e02795 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042 +Phase 1 Initialization | Checksum: 256e02795 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10042 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: 256e02795 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: 256e02795 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041 +Phase 2 Timer Update And Timing Data Collection | Checksum: 256e02795 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10041 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: 256e02795 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Retarget | Checksum: 256e02795 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells +INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: 256e02795 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Constant propagation | Checksum: 256e02795 +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Sweep | Checksum: 26f0e97e4 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +BUFG optimization | Checksum: 26f0e97e4 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Shift Register Optimization | Checksum: 26f0e97e4 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Post Processing Netlist | Checksum: 26f0e97e4 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Phase 9 Finalization | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 1 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 0 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +Ending Netlist Obfuscation Task | Checksum: 26f0e97e4 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3118.496 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10043 +INFO: [Common 17-83] Releasing license: Implementation +35 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold +INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx +Command: report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10042 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 999 ; free virtual = 10043 +Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 1004 ; free virtual = 10048 +INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 236da8722 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 998 ; free virtual = 10042 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1bc38e117 + +Time (s): cpu = 00:00:00.47 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 1eb442b17 + +Time (s): cpu = 00:00:00.94 ; elapsed = 00:00:00.28 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 1eb442b17 + +Time (s): cpu = 00:00:00.95 ; elapsed = 00:00:00.29 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 984 ; free virtual = 10031 +Phase 1 Placer Initialization | Checksum: 1eb442b17 + +Time (s): cpu = 00:00:00.97 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 983 ; free virtual = 10031 + +Phase 2 Global Placement + +Phase 2.1 Floorplanning +Phase 2.1 Floorplanning | Checksum: 1c493d4b9 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027 + +Phase 2.2 Update Timing before SLR Path Opt +Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2495728f0 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027 + +Phase 2.3 Post-Processing in Floorplanning +Phase 2.3 Post-Processing in Floorplanning | Checksum: 2495728f0 + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.34 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 979 ; free virtual = 10027 + +Phase 2.4 Global Placement Core + +Phase 2.4.1 UpdateTiming Before Physical Synthesis +Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 236cb21d7 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.52 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 943 ; free virtual = 9992 + +Phase 2.4.2 Physical Synthesis In Placer +INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0 +INFO: [Physopt 32-1138] End 1 Pass. Optimized 0 net or LUT. Breaked 0 LUT, combined 0 existing LUT and moved 0 existing LUT +INFO: [Physopt 32-65] No nets found for high-fanout optimization. +INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance. +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +INFO: [Physopt 32-670] No setup violation found. DSP Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register to Pipeline Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. Shift Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. BRAM Register Optimization was not performed. +INFO: [Physopt 32-670] No setup violation found. URAM Register Optimization was not performed. +INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication +INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 939 ; free virtual = 9991 + +Summary of Physical Synthesis Optimizations +============================================ + + +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| Optimization | Added Cells | Removed Cells | Optimized Cells/Nets | Dont Touch | Iterations | Elapsed | +----------------------------------------------------------------------------------------------------------------------------------------------------------- +| LUT Combining | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Retime | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Very High Fanout | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| DSP Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register to Pipeline | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Shift Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| BRAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| URAM Register | 0 | 0 | 0 | 0 | 0 | 00:00:00 | +| Dynamic/Static Region Interface Net Replication | 0 | 0 | 0 | 0 | 1 | 00:00:00 | +| Total | 0 | 0 | 0 | 0 | 4 | 00:00:00 | +----------------------------------------------------------------------------------------------------------------------------------------------------------- + + +Phase 2.4.2 Physical Synthesis In Placer | Checksum: 236cb21d7 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.81 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 +Phase 2.4 Global Placement Core | Checksum: 1ef8b2f73 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 +Phase 2 Global Placement | Checksum: 1ef8b2f73 + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.88 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1d486398a + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.9 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 2966bbfaa + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.92 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 1ff89d11b + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 2376f352c + +Time (s): cpu = 00:00:03 ; elapsed = 00:00:00.93 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 938 ; free virtual = 9990 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 1e3efe8b9 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 1e3efe8b9 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 21c0a8caa + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 3 Detail Placement | Checksum: 21c0a8caa + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Phase 4.1.1 Post Placement Optimization +Post Placement Optimization Initialization | Checksum: 1f5099abb + +Phase 4.1.1.1 BUFG Insertion + +Starting Physical Synthesis Task + +Phase 1 Physical Synthesis Initialization +INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 8 CPUs +INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.716 | TNS=0.000 | +Phase 1 Physical Synthesis Initialization | Checksum: 1654a0736 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0. +Ending Physical Synthesis Task | Checksum: 19a6df91d + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4.1.1.1 BUFG Insertion | Checksum: 1f5099abb + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 4.1.1.2 Post Placement Timing Optimization +INFO: [Place 30-746] Post Placement Timing Summary WNS=0.716. For the most accurate timing information please run report_timing. +Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 1ef975e62 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4.1 Post Commit Optimization | Checksum: 1ef975e62 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 1ef975e62 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 4.3 Placer Reporting + +Phase 4.3.1 Print Estimated Congestion +INFO: [Place 30-612] Post-Placement Estimated Congestion + ____________________________________________________ +| | Global Congestion | Short Congestion | +| Direction | Region Size | Region Size | +|___________|___________________|___________________| +| North| 1x1| 1x1| +|___________|___________________|___________________| +| South| 1x1| 1x1| +|___________|___________________|___________________| +| East| 1x1| 1x1| +|___________|___________________|___________________| +| West| 1x1| 1x1| +|___________|___________________|___________________| + +Phase 4.3.1 Print Estimated Congestion | Checksum: 1ef975e62 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4.3 Placer Reporting | Checksum: 1ef975e62 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Phase 4.4 Final Placement Cleanup +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1b59ed02b + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Ending Placer Task | Checksum: 128415a24 + +Time (s): cpu = 00:00:04 ; elapsed = 00:00:01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +70 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold +INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb +INFO: [Vivado 12-24828] Executing command : report_io -file design_1_wrapper_io_placed.rpt +report_io: Time (s): cpu = 00:00:00.14 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Device Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp' has been generated. +Command: phys_opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' + +Starting Initial Update Timing Task + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +INFO: [Vivado_Tcl 4-2279] Estimated Timing Summary | WNS= 0.716 | TNS= 0.000 | +INFO: [Vivado_Tcl 4-383] Design worst setup slack (WNS) is greater than or equal to 0.000 ns. All physical synthesis setup optimizations will be skipped. +INFO: [Vivado_Tcl 4-232] No setup violation found. The netlist was not modified. +INFO: [Common 17-83] Releasing license: Implementation +82 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +phys_opt_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PlaceDB: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 929 ; free virtual = 9981 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974 +Write Physdb Complete: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3206.539 ; gain = 0.000 ; free physical = 921 ; free virtual = 9974 +INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp' has been generated. +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command route_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 8 CPUs + +Phase 1 Build RT Design +Checksum: PlaceDB: 2fd8b8e3 ConstDB: 0 ShapeSum: 604db5dd RouteDB: 981aeb64 +Post Restoration Checksum: NetGraph: e7093645 | NumContArr: 7eee71f2 | Constraints: c2a8fa9d | Timing: c2a8fa9d +Phase 1 Build RT Design | Checksum: 2eb499d71 + +Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 691 ; free virtual = 9755 + +Phase 2 Router Initialization + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 2eb499d71 + +Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 2eb499d71 + +Time (s): cpu = 00:00:34 ; elapsed = 00:00:28 . Memory (MB): peak = 3380.086 ; gain = 166.945 ; free physical = 689 ; free virtual = 9755 + Number of Nodes with overlaps = 0 + +Phase 2.3 Update Timing +Phase 2.3 Update Timing | Checksum: 1ef724d83 + +Time (s): cpu = 00:00:36 ; elapsed = 00:00:29 . Memory (MB): peak = 3447.844 ; gain = 234.703 ; free physical = 616 ; free virtual = 9684 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.651 | TNS=0.000 | WHS=-0.121 | THS=-0.525 | + + +Router Utilization Summary + Global Vertical Routing Utilization = 0 % + Global Horizontal Routing Utilization = 0 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 15 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 15 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 2 Router Initialization | Checksum: 27c63ec9c + +Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 + +Phase 3 Global Routing +Phase 3 Global Routing | Checksum: 27c63ec9c + +Time (s): cpu = 00:00:37 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 + +Phase 4 Initial Routing + +Phase 4.1 Initial Net Routing Pass +Phase 4.1 Initial Net Routing Pass | Checksum: 2a761ba40 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 +Phase 4 Initial Routing | Checksum: 2a761ba40 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 + +Phase 5 Rip-up And Reroute + +Phase 5.1 Global Iteration 0 + Number of Nodes with overlaps = 1 + Number of Nodes with overlaps = 0 +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.634 | TNS=0.000 | WHS=N/A | THS=N/A | + +Phase 5.1 Global Iteration 0 | Checksum: 2985bcbb7 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 +Phase 5 Rip-up And Reroute | Checksum: 2985bcbb7 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 + +Phase 6 Delay and Skew Optimization + +Phase 6.1 Delay CleanUp +Phase 6.1 Delay CleanUp | Checksum: 2985bcbb7 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 + +Phase 6.2 Clock Skew Optimization +Phase 6.2 Clock Skew Optimization | Checksum: 2985bcbb7 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 +Phase 6 Delay and Skew Optimization | Checksum: 2985bcbb7 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9681 + +Phase 7 Post Hold Fix + +Phase 7.1 Hold Fix Iter +INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.735 | TNS=0.000 | WHS=0.182 | THS=0.000 | + +Phase 7.1 Hold Fix Iter | Checksum: 280f4f3e6 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 +Phase 7 Post Hold Fix | Checksum: 280f4f3e6 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 + +Phase 8 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0172892 % + Global Horizontal Routing Utilization = 0.00115664 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + (Failed Nets is the sum of unrouted and partially routed nets) + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Phase 8 Route finalize | Checksum: 280f4f3e6 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 613 ; free virtual = 9681 + +Phase 9 Verifying routed nets + + Verification completed successfully +Phase 9 Verifying routed nets | Checksum: 280f4f3e6 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 + +Phase 10 Depositing Routes +Phase 10 Depositing Routes | Checksum: 26c826477 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 + +Phase 11 Post Process Routing +Phase 11 Post Process Routing | Checksum: 26c826477 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 + +Phase 12 Post Router Timing +INFO: [Route 35-57] Estimated Timing Summary | WNS=0.735 | TNS=0.000 | WHS=0.182 | THS=0.000 | + +INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary. +Phase 12 Post Router Timing | Checksum: 26c826477 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 +Total Elapsed time in route_design: 29.29 secs + +Phase 13 Post-Route Event Processing +Phase 13 Post-Route Event Processing | Checksum: 123c604a5 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 +INFO: [Route 35-16] Router Completed Successfully +Ending Routing Task | Checksum: 123c604a5 + +Time (s): cpu = 00:00:38 ; elapsed = 00:00:29 . Memory (MB): peak = 3455.234 ; gain = 242.094 ; free physical = 612 ; free virtual = 9680 + +Routing Is Done. +INFO: [Common 17-83] Releasing license: Implementation +97 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold +INFO: [Vivado 12-24828] Executing command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx +Command: report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt. +report_drc completed successfully +INFO: [Vivado 12-24828] Executing command : report_methodology -file design_1_wrapper_methodology_drc_routed.rpt -pb design_1_wrapper_methodology_drc_routed.pb -rpx design_1_wrapper_methodology_drc_routed.rpx +Command: report_methodology -file design_1_wrapper_methodology_drc_routed.rpt -pb design_1_wrapper_methodology_drc_routed.pb -rpx design_1_wrapper_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 8 threads +INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt. +report_methodology completed successfully +INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file design_1_wrapper_timing_summary_routed.rpt -pb design_1_wrapper_timing_summary_routed.pb -rpx design_1_wrapper_timing_summary_routed.rpx -warn_on_violation +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs +INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel. +Running report generation with 3 threads. +INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file design_1_wrapper_incremental_reuse_routed.rpt +INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report. +INFO: [Vivado 12-24828] Executing command : report_route_status -file design_1_wrapper_route_status.rpt -pb design_1_wrapper_route_status.pb +INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 8 CPUs +INFO: [Vivado 12-24828] Executing command : report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx +Command: report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +118 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt +WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid. +WARNING: [Device 21-2174] Failed to initialize Virtual grid. +INFO: [Timing 38-480] Writing timing data to binary archive. +Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Wrote RouteStorage: Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +Write Physdb Complete: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3543.277 ; gain = 0.000 ; free physical = 614 ; free virtual = 9683 +INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp' has been generated. +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:32:37 2024... diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt index e1dbd00..7a43862 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:37:00 2024 +| Date : Thu Nov 28 16:32:36 2024 | Host : hogtest running 64-bit unknown | Command : report_bus_skew -warn_on_violation -file design_1_wrapper_bus_skew_routed.rpt -pb design_1_wrapper_bus_skew_routed.pb -rpx design_1_wrapper_bus_skew_routed.rpx | Design : design_1_wrapper -| Device : 7z010i-clg225 -| Speed File : -1L PRODUCTION 1.12 2019-11-22 +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 | Design State : Routed --------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_bus_skew_routed.rpx index acbced8a8ff0941943cbdc3133ebb47580d968c0..eec61b99012c1ebf0c84877402a8d421f199d22c 100644 GIT binary patch delta 128 zcmeC>?B<-{$2fDM{}INclSLRUeSElB0uqZ#gj`%w%QBNwZOs#n3=B#X6ao^HvlG)( zZHtrAO)N|l6oLy<Q&Vhp4HYy3g8W@VokKkR{S*xKjEohG3=A!F4a{^6jVI4y%;q+- gP%t#JGB&a@Hk&NKbcZ97fq{XGN!M`mSEd<^0D>JOB>(^b delta 130 zcmeC??B$%`$2e=E{}IMxlSLRUeSNuD0uqZ#gj`)x%QBNwZOy9;3=J|B6ao^HvlG)( zZIg4-jf_kc6oLy<Q&Vhp4Sf_e0)qTqLY+fA{rwaS^$d*^j0_Adbqx)5jf^JGWX$F^ hG*K`#wlX%iGBB9T&vb_~ih+TFiy5eM^Jk_Ri~!AVBd`Df diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt index 38d42df..401a6be 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_clock_utilization_routed.rpt @@ -1,15 +1,14 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------------- -| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:37:01 2024 -| Host : hogtest running 64-bit unknown -| Command : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt -| Design : design_1_wrapper -| Device : 7z010i-clg225 -| Speed File : -1L PRODUCTION 1.12 2019-11-22 -| Temperature Grade : I -| Design State : Routed --------------------------------------------------------------------------------------------------------------------------------------------------- +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 +| Date : Thu Nov 28 16:32:37 2024 +| Host : hogtest running 64-bit unknown +| Command : report_clock_utilization -file design_1_wrapper_clock_utilization_routed.rpt +| Design : design_1_wrapper +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 +| Design State : Routed +--------------------------------------------------------------------------------------------------------------------------------------------- Clock Utilization Report @@ -22,7 +21,8 @@ Table of Contents 5. Clock Regions : Global Clock Summary 6. Device Cell Placement Summary for Global Clock g0 7. Device Cell Placement Summary for Global Clock g1 -8. Clock Region Cell Placement per Global Clock: Region X1Y0 +8. Clock Region Cell Placement per Global Clock: Region X0Y1 +9. Clock Region Cell Placement per Global Clock: Region X1Y2 1. Clock Primitive Utilization ------------------------------ @@ -31,12 +31,12 @@ Table of Contents | Type | Used | Available | LOC | Clock Region | Pblock | +----------+------+-----------+-----+--------------+--------+ | BUFGCTRL | 2 | 32 | 0 | 0 | 0 | -| BUFH | 0 | 48 | 0 | 0 | 0 | -| BUFIO | 0 | 8 | 0 | 0 | 0 | -| BUFMR | 0 | 4 | 0 | 0 | 0 | -| BUFR | 0 | 8 | 0 | 0 | 0 | -| MMCM | 0 | 2 | 0 | 0 | 0 | -| PLL | 1 | 2 | 0 | 0 | 0 | +| BUFH | 0 | 120 | 0 | 0 | 0 | +| BUFIO | 0 | 40 | 0 | 0 | 0 | +| BUFMR | 0 | 20 | 0 | 0 | 0 | +| BUFR | 0 | 40 | 0 | 0 | 0 | +| MMCM | 0 | 10 | 0 | 0 | 0 | +| PLL | 1 | 10 | 0 | 0 | 0 | +----------+------+-----------+-----+--------------+--------+ @@ -59,8 +59,8 @@ Table of Contents +-----------+-----------+--------------------+------------+----------------+--------------+-------------+-----------------+---------------------+-------------------------------+---------------------------------------------------+---------------------------------------------------------+ | Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | +-----------+-----------+--------------------+------------+----------------+--------------+-------------+-----------------+---------------------+-------------------------------+---------------------------------------------------+---------------------------------------------------------+ -| src0 | g0 | PLLE2_ADV/CLKOUT0 | None | PLLE2_ADV_X0Y0 | X1Y0 | 1 | 0 | 2.500 | clk_out1_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 | design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 | -| src1 | g1 | PLLE2_ADV/CLKFBOUT | None | PLLE2_ADV_X0Y0 | X1Y0 | 1 | 0 | 10.000 | clkfbout_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT | design_1_i/clk_wiz_0/inst/clkfbout_design_1_clk_wiz_0_1 | +| src0 | g0 | PLLE2_ADV/CLKOUT0 | None | PLLE2_ADV_X1Y2 | X1Y2 | 1 | 0 | 2.500 | clk_out1_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 | design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 | +| src1 | g1 | PLLE2_ADV/CLKFBOUT | None | PLLE2_ADV_X1Y2 | X1Y2 | 1 | 0 | 10.000 | clkfbout_design_1_clk_wiz_0_1 | design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT | design_1_i/clk_wiz_0/inst/clkfbout_design_1_clk_wiz_0_1 | +-----------+-----------+--------------------+------------+----------------+--------------+-------------+-----------------+---------------------+-------------------------------+---------------------------------------------------+---------------------------------------------------------+ * Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered ** Non-Clock Loads column represents cell count of non-clock pin loads @@ -74,10 +74,16 @@ Table of Contents +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ | Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ -| X0Y0 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y0 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 11 | 1100 | 11 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | -| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1100 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | -| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1100 | 0 | 350 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2700 | 0 | 800 | 0 | 60 | 0 | 30 | 0 | 60 | +| X1Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | +| X0Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 11 | 4200 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y2 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 1 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 3600 | 0 | 1400 | 0 | 100 | 0 | 50 | 0 | 100 | +| X1Y3 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 4000 | 0 | 1400 | 0 | 80 | 0 | 40 | 0 | 80 | +| X0Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 1 | 0 | 50 | 0 | 50 | 0 | 2550 | 0 | 750 | 0 | 50 | 0 | 25 | 0 | 60 | +| X1Y4 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 4 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 800 | 0 | 40 | 0 | 20 | 0 | 40 | +-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ * Global Clock column represents track count; while other columns represents cell counts @@ -89,6 +95,9 @@ All Modules +----+----+----+ | | X0 | X1 | +----+----+----+ +| Y4 | 0 | 0 | +| Y3 | 0 | 0 | +| Y2 | 0 | 0 | | Y1 | 0 | 0 | | Y0 | 0 | 0 | +----+----+----+ @@ -108,12 +117,15 @@ All Modules **** GT Loads column represents load cell count of GT types -+----+----+-----+-----------------------+ -| | X0 | X1 | HORIZONTAL PROG DELAY | -+----+----+-----+-----------------------+ -| Y1 | 0 | 0 | - | -| Y0 | 0 | 11 | 0 | -+----+----+-----+-----------------------+ ++----+-----+----+-----------------------+ +| | X0 | X1 | HORIZONTAL PROG DELAY | ++----+-----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 0 | - | +| Y1 | 11 | 0 | 0 | +| Y0 | 0 | 0 | - | ++----+-----+----+-----------------------+ 7. Device Cell Placement Summary for Global Clock g1 @@ -133,18 +145,33 @@ All Modules +----+----+----+-----------------------+ | | X0 | X1 | HORIZONTAL PROG DELAY | +----+----+----+-----------------------+ +| Y4 | 0 | 0 | - | +| Y3 | 0 | 0 | - | +| Y2 | 0 | 1 | 0 | | Y1 | 0 | 0 | - | -| Y0 | 0 | 1 | 0 | +| Y0 | 0 | 0 | - | +----+----+----+-----------------------+ -8. Clock Region Cell Placement per Global Clock: Region X1Y0 +8. Clock Region Cell Placement per Global Clock: Region X0Y1 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+------------------------------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+------------------------------------+ +| g0 | n/a | BUFG/O | None | 11 | 0 | 11 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | design_1_i/clk_wiz_0/inst/clk_out1 | ++-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+------------------------------------+ +* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered +** Non-Clock Loads column represents cell count of non-clock pin loads +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +9. Clock Region Cell Placement per Global Clock: Region X1Y2 ------------------------------------------------------------ +-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------------------------------------------------------+ | Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | +-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------------------------------------------------------+ -| g0 | n/a | BUFG/O | None | 11 | 0 | 11 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | design_1_i/clk_wiz_0/inst/clk_out1 | | g1 | n/a | BUFG/O | None | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | design_1_i/clk_wiz_0/inst/clkfbout_buf_design_1_clk_wiz_0_1 | +-----------+-------+-----------------+------------+-------------+-----------------+----+-------------+------+-----+----+------+-----+---------+-------------------------------------------------------------+ * Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered @@ -160,11 +187,11 @@ set_property LOC BUFGCTRL_X0Y0 [get_cells design_1_i/clk_wiz_0/inst/clkout1_buf] # Location of IO Primitives which is load of clock spine # Location of clock ports -set_property LOC IOB_X0Y28 [get_ports clk] +set_property LOC IOB_X1Y124 [get_ports clk] # Clock net "design_1_i/clk_wiz_0/inst/clk_out1" driven by instance "design_1_i/clk_wiz_0/inst/clkout1_buf" located at site "BUFGCTRL_X0Y0" #startgroup create_pblock {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1} add_cells_to_pblock [get_pblocks {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="design_1_i/clk_wiz_0/inst/clk_out1"}]]] -resize_pblock [get_pblocks {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} +resize_pblock [get_pblocks {CLKAG_design_1_i/clk_wiz_0/inst/clk_out1}] -add {CLOCKREGION_X0Y1:CLOCKREGION_X0Y1} #endgroup diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt index bf6f5b4..417d7ed 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_control_sets_placed.rpt @@ -1,11 +1,11 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:36:53 2024 +| Date : Thu Nov 28 16:32:05 2024 | Host : hogtest running 64-bit unknown | Command : report_control_sets -verbose -file design_1_wrapper_control_sets_placed.rpt | Design : design_1_wrapper -| Device : xc7z010i +| Device : xc7a200t --------------------------------------------------------------------------------------------------------------------------------------------- Control Set Information diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.pb index 0158a2ad826bcd75c8436a6a29252340aee67559..8ebaa788697aa1bbb8ab2b30bd329d93fcb4a328 100644 GIT binary patch delta 12 TcmY#Yogl}~ut1*SfGPt35h?<t delta 12 TcmY#Yogl}~v_PKmfGPt35j+B? diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt index fbdcca3..7277967 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:36:51 2024 +| Date : Thu Nov 28 16:32:02 2024 | Host : hogtest running 64-bit unknown | Command : report_drc -file design_1_wrapper_drc_opted.rpt -pb design_1_wrapper_drc_opted.pb -rpx design_1_wrapper_drc_opted.rpx | Design : design_1_wrapper -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Synthesized --------------------------------------------------------------------------------------------------------------------------------------------- @@ -24,30 +24,12 @@ Table of Contents Design limits: <entire design considered> Ruledeck: default Max violations: <unlimited> - Violations found: 3 -+--------+------------------+----------------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+------------------+----------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+------------------+----------------------------+------------+ + Violations found: 0 ++------+----------+-------------+------------+ +| Rule | Severity | Description | Violations | ++------+----------+-------------+------------+ ++------+----------+-------------+------------+ 2. REPORT DETAILS ----------------- -NSTD-1#1 Critical Warning -Unspecified I/O Standard -11 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: btnC, btnD, clk, led[7:0]. -Related violations: <none> - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -11 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: btnC, btnD, clk, led[7:0]. -Related violations: <none> - -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: <none> - diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_opted.rpx index 0d00fa0e1d8f41078489865417d58b7fee2b44a9..9b5e25b7109e6691ab1f0e6668ebd5d443d0d306 100644 GIT binary patch delta 34 pcmaE*m_5N?hM|C=f}w$tp@WfO0wcpDW^R`tXN92D;?kUwVgRF-34j0q literal 4330 zcmeHL&u<$=6t-jJ7(*bcRH+IQdMbw|mAhnJXi*MGsU1YBD2{9=6|F35Jo~&m%I?f| zX4X!GkT@c7L7d^jU&5clkuw*L@Md>07>TGLMMYpAJf4|1Z@zgy=9}lYDwRs(=H|_< zdVAM@;N8Eyaq?(<CR86y7F+W%O*d=4*S_&~fJTIJ?2qS~r77xhp!ry(76VVG7q@<) z&zn&#tz1+-udaPjt$tOld|i93+wVZB>xXsPs=B$hwb{|a3eIA9!n71Jyj?#Y47>OI zySGlINs)`GzyJ>4?ZLn@8898R8->I>{s)yuU#|N;sLX<zg5QQ%g}Jg+X=@-en6EVD zO4vT^4Tk&2-Ti)d2WBkJ5bksjKH5J$8s2Gvkj5eloGRwlYGB5lllCu>@M4h%!$=rN z*d=iG+a_E|i_!`uL21&(Rgy9*CL$L066V52U?YTyq9Z`6=A@qFXq7~6#mot@>D2v} zA&^a{N+(QmY=Of-1`?z{Bx_w3`T;Mj#smq1K~n+=Si(XCtMa`9oC%Ij-0kcDDM=8G zo1aI5M<6WFK}rzhJs2wLX-zO_4Nc92is=+e5{%p%gffnotZGVg6hT2JrTHlnvD+m? ziJDm?gHD7s1ehg|$rz2v^(^}<VlyY1n||5%3lA1f3vepPEje6*qvn6m&S{U_3%SX& zKLydUA_Ln}S)k*pBtaQqu+z$;CO^9yq>$SKgLa&1MNT2(4-U=_`iH|$;L|c<KZ9o> z3AhyC5%O~bMSPAtfaBiqU=N-|C`qkztaHSfn6W@XpRkcrr<##nGHx@Cu#H~mLCiAA zqp@}ZLmoTNIUt0M1wrALa>&`3foDl*Dq=F83`!Yh%Mmg(q#Ex<N}U4*70fAyaQwSF zDCpCC!eJ9h8dFe7TiB2wcyOZCga#>SDXI2gVr8f0zPl~p@p%hk44ysQ+Z}n2zh7nJ zDjQeX_|mg+cT{AhU$*VCy<fKPmF@dw`(V_}lX&EPS6}me_tpNX{$X>Y@%m<Mt43K4 zmAjSZ8;vG)Uva5l_FpagoxH!%*l^;c%5}fFg5ys+hdtu>ty7{&VqMMLbq+@*d!Nun z({2<pIm`c8zk=m+){yG27&}(HxXTthS=c`6b#_YH`X94+DkQy_3iDhyiyI;xAhWy2 zM&WP^3mSLNju+?gCD_-);6?2HXBhl%Q24i3QTQs={^^5xDQ2(v!MsYfm-twIp;Y@< z@bk~E+^-U0uP;jPrzeAlM9Vj*feA6gIh97wGog`+?qV*d<MEIx1t-IiYP}>g7AENU zH=uf^s860=%I9>yyVBK-DIHL~OT`LGMzzGE#v}${^xuLbg{cTLDix{J^d4XL2EPIn C)zTmU diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.pb index 0158a2ad826bcd75c8436a6a29252340aee67559..8ebaa788697aa1bbb8ab2b30bd329d93fcb4a328 100644 GIT binary patch delta 12 TcmY#Yogl}~ut1*SfGPt35h?<t delta 12 TcmY#Yogl}~v_PKmfGPt35j+B? diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt index fad725a..fb47850 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:37:00 2024 +| Date : Thu Nov 28 16:32:35 2024 | Host : hogtest running 64-bit unknown | Command : report_drc -file design_1_wrapper_drc_routed.rpt -pb design_1_wrapper_drc_routed.pb -rpx design_1_wrapper_drc_routed.rpx | Design : design_1_wrapper -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Fully Routed --------------------------------------------------------------------------------------------------------------------------------------------- @@ -24,30 +24,12 @@ Table of Contents Design limits: <entire design considered> Ruledeck: default Max violations: <unlimited> - Violations found: 3 -+--------+------------------+----------------------------+------------+ -| Rule | Severity | Description | Violations | -+--------+------------------+----------------------------+------------+ -| NSTD-1 | Critical Warning | Unspecified I/O Standard | 1 | -| UCIO-1 | Critical Warning | Unconstrained Logical Port | 1 | -| ZPS7-1 | Warning | PS7 block required | 1 | -+--------+------------------+----------------------------+------------+ + Violations found: 0 ++------+----------+-------------+------------+ +| Rule | Severity | Description | Violations | ++------+----------+-------------+------------+ ++------+----------+-------------+------------+ 2. REPORT DETAILS ----------------- -NSTD-1#1 Critical Warning -Unspecified I/O Standard -11 out of 12 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: btnC, btnD, clk, led[7:0]. -Related violations: <none> - -UCIO-1#1 Critical Warning -Unconstrained Logical Port -11 out of 12 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: btnC, btnD, clk, led[7:0]. -Related violations: <none> - -ZPS7-1#1 Warning -PS7 block required -The PS7 cell must be used in this Zynq design in order to enable correct default configuration. -Related violations: <none> - diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_drc_routed.rpx index 34e6afbf76e39d0f481fb71a20e1c899783ff35f..ce02959879e232dd1fa66762e24c5f225df53f37 100644 GIT binary patch delta 34 pcmaE@m@^?jhM|C=f}w$tp@WfO0wcpDW^R`tXN92D;?kUwVgRG|34;It literal 4331 zcmeHL&u<$=6t-jJ7(*bcRH+IFp30#~<t|wlT9gA)Y6r<x6i2p`idL32-W_{KS<h@| zX6-}>i6ash#2GI9CHyHIIdkC%-|Q{{BM}v(sHoTnk7wu2d*8et`_1z^rBbPObMxj_ zwYlp*@b2H<IDRxb6{^Qg8e7xBa=qev%^UBA+=!_h`J;tqNy7Cg)WJxr)bh~N$@#5c z@p(I{B&GAx7v;4t%jK`jrEe;4w0kWol>N94TjkBQt<9DeRs<}jCrnErr?;y|{XzSl zfA`jjGzkyHMDUP0?{}$hnGBf@o3&ixJ^!Q9qp#L|pJ18Pg#0GOYMLoal(vRa!?T^H z*h$-+Zhx?U)ZXv4cWBPyl+&H|!6*AChl4u}64F@CLZ^zky_%SD2Zj9tNsw>yXb=fQ zGj>4%``t*Oq~+2IB}r-M3e+rNR*Xd~>;)}^jmSov#tKKEL@l5ma@Zw#V8z@CvB|{! zmQ#XLCrZzl40wYa1~^DS{}kT3$n_IHx0=s5AQ)~6AR(KvDJQEkTOpr|fID$#*#S}# z5RF@3L?VbtSi(UH5QrWP6lPij2KHcSE>w(DC<z!@G=MUWm%M5UcjQ@tlVW|sMC`0g zx#XHz4u_6~H2}<Jl**VJlj&KGSH$K{GIRZM>@Opj2QB0ifv{w70Y@$Vq&<L*EDD*+ z)4wFqvLZv<Qdz=r)ojLP$itmRmNnVg-9x0@9vN;&iB<>-oZmk<J?M1?pVDVV#(qxE zrVwy75JWsUGnD7&&?7qP4i5I{NyH`8y1=?XoQgRM74?7(L7iv@zodapHK%RvO+AWP zDuZaGoxmW7UE~}PU}IsJ$EA4We9XwR5SoY>&XZvw!));cj;2KOdy!ISgruSca)^)q z2nUir$rc<oF-u}3m9)7J0l}kVt;TqWq(xEf(b&pX!+p0K6vSr@ih20#;ok1hd;G(y z8duf0s>YXIjl09VD*a+?7UTV5{Gb>=EXD`JdRD|k@B8YS@4K(&&()9X8?`q#D_a#* zIhF2~>TlKRn7-ypznH&X%v;%fqqgD1p~_9ay@KOUTAeO9e(MA@3D(ujz0T>dVDDqR zXqvTLCS&=Zs#mal#u`%n9b?BT$lqm`mMm=_c3V3IZT*i~JP{H%rrbT(&Ef{6LvXvh zY~%sAbV=jx+VSE%z6ASv7(CCtSBAm=0fm2e6@{-#?cZLQmvZ)+FU+e_dx@9j7b>;? z1V8`c`u#Epd;PNYetO)02wJ{@fyQ8lGqgs}Q=vJU?tCqy<Iw<}f|Ch2dc9d{ERDJ2 z-w^#w-k&_Zkk9dccde^?rgVsY7tIQn486o<j|m1~_;bOL!bD6{w2Ejoy~o#W;5P>( B(wP7N diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt index 0233ef6..e00756b 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_io_placed.rpt @@ -1,15 +1,15 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:36:53 2024 +| Date : Thu Nov 28 16:32:05 2024 | Host : hogtest running 64-bit unknown | Command : report_io -file design_1_wrapper_io_placed.rpt | Design : design_1_wrapper -| Device : xc7z010i -| Speed File : -1L -| Package : clg225 -| Package Version : FINAL 2012-11-02 -| Package Pin Delay Version : VERS. 2.0 2012-11-02 +| Device : xc7a200t +| Speed File : -1 +| Package : sbg484 +| Package Version : FINAL 2012-06-12 +| Package Pin Delay Version : VERS. 2.0 2012-06-12 ---------------------------------------------------------------------------------------------------------------------------------------------------------- IO Information @@ -32,235 +32,494 @@ Table of Contents 2. IO Assignments by Package Pin -------------------------------- -+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | -+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ -| A1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A2 | | | PS_DDR_DQ1_502 | PSS IO | | | | | | | | | | | | | | | | -| A3 | | | PS_DDR_DQ7_502 | PSS IO | | | | | | | | | | | | | | | | -| A4 | | | PS_DDR_DQ5_502 | PSS IO | | | | | | | | | | | | | | | | -| A5 | | | PS_MIO1_500 | PSS IO | | | | | | | | | | | | | | | | -| A6 | | | VCCO_MIO0_500 | VCCO | | | | | | | any** | | | | | | | | | -| A7 | | | PS_MIO3_500 | PSS IO | | | | | | | | | | | | | | | | -| A8 | | | PS_MIO2_500 | PSS IO | | | | | | | | | | | | | | | | -| A9 | | | PS_MIO5_500 | PSS IO | | | | | | | | | | | | | | | | -| A10 | | | PS_MIO6_500 | PSS IO | | | | | | | | | | | | | | | | -| A11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| A12 | | | PS_MIO52_501 | PSS IO | | | | | | | | | | | | | | | | -| A13 | | | PS_MIO38_501 | PSS IO | | | | | | | | | | | | | | | | -| A14 | | | PS_MIO35_501 | PSS IO | | | | | | | | | | | | | | | | -| A15 | | | PS_MIO28_501 | PSS IO | | | | | | | | | | | | | | | | -| B1 | | | PS_DDR_DM0_502 | PSS IO | | | | | | | | | | | | | | | | -| B2 | | | PS_DDR_DQS_N0_502 | PSS IO | | | | | | | | | | | | | | | | -| B3 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| B4 | | | PS_DDR_DQ4_502 | PSS IO | | | | | | | | | | | | | | | | -| B5 | | | PS_MIO9_500 | PSS IO | | | | | | | | | | | | | | | | -| B6 | | | PS_MIO8_500 | PSS IO | | | | | | | | | | | | | | | | -| B7 | | | PS_MIO12_500 | PSS IO | | | | | | | | | | | | | | | | -| B8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| B9 | | | PS_MIO14_500 | PSS IO | | | | | | | | | | | | | | | | -| B10 | | | PS_MIO11_500 | PSS IO | | | | | | | | | | | | | | | | -| B11 | | | PS_SRST_B_501 | PSS IO | | | | | | | | | | | | | | | | -| B12 | | | PS_MIO48_501 | PSS IO | | | | | | | | | | | | | | | | -| B13 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| B14 | | | PS_MIO36_501 | PSS IO | | | | | | | | | | | | | | | | -| B15 | | | PS_MIO30_501 | PSS IO | | | | | | | | | | | | | | | | -| C1 | | | PS_DDR_DQ3_502 | PSS IO | | | | | | | | | | | | | | | | -| C2 | | | PS_DDR_DQS_P0_502 | PSS IO | | | | | | | | | | | | | | | | -| C3 | | | PS_DDR_DQ6_502 | PSS IO | | | | | | | | | | | | | | | | -| C4 | | | PS_DDR_DQ2_502 | PSS IO | | | | | | | | | | | | | | | | -| C5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| C6 | | | PS_MIO13_500 | PSS IO | | | | | | | | | | | | | | | | -| C7 | | | PS_CLK_500 | PSS Clock | | | | | | | | | | | | | | | | -| C8 | | | PS_MIO4_500 | PSS IO | | | | | | | | | | | | | | | | -| C9 | | | PS_POR_B_500 | PSS IO | | | | | | | | | | | | | | | | -| C10 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| C11 | | | PS_MIO33_501 | PSS IO | | | | | | | | | | | | | | | | -| C12 | | | PS_MIO31_501 | PSS IO | | | | | | | | | | | | | | | | -| C13 | | | PS_MIO53_501 | PSS IO | | | | | | | | | | | | | | | | -| C14 | | | PS_MIO37_501 | PSS IO | | | | | | | | | | | | | | | | -| C15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D1 | | | PS_DDR_DQ9_502 | PSS IO | | | | | | | | | | | | | | | | -| D2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D3 | | | PS_DDR_DM1_502 | PSS IO | | | | | | | | | | | | | | | | -| D4 | | | PS_DDR_DQ0_502 | PSS IO | | | | | | | | | | | | | | | | -| D5 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | -| D6 | | | PS_MIO10_500 | PSS IO | | | | | | | | | | | | | | | | -| D7 | | | VCCO_MIO0_500 | VCCO | | | | | | | any** | | | | | | | | | -| D8 | | | PS_MIO0_500 | PSS IO | | | | | | | | | | | | | | | | -| D9 | | | PS_MIO7_500 | PSS IO | | | | | | | | | | | | | | | | -| D10 | | | PS_MIO15_500 | PSS IO | | | | | | | | | | | | | | | | -| D11 | | | PS_MIO29_501 | PSS IO | | | | | | | | | | | | | | | | -| D12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| D13 | | | PS_MIO49_501 | PSS IO | | | | | | | | | | | | | | | | -| D14 | | | PS_MIO39_501 | PSS IO | | | | | | | | | | | | | | | | -| D15 | | | PS_MIO34_501 | PSS IO | | | | | | | | | | | | | | | | -| E1 | | | PS_DDR_DQ8_502 | PSS IO | | | | | | | | | | | | | | | | -| E2 | | | PS_DDR_DQ10_502 | PSS IO | | | | | | | | | | | | | | | | -| E3 | | | PS_DDR_DQ11_502 | PSS IO | | | | | | | | | | | | | | | | -| E4 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E6 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | -| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E8 | | | RSVDGND | GND | | | | | | | | | | | | | | | | -| E9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| E10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| E11 | | High Range | IO_L2P_T0_AD8P_35 | User IO | | 35 | | | | | | | | | | | | | | -| E12 | | High Range | IO_L2N_T0_AD8N_35 | User IO | | 35 | | | | | | | | | | | | | | -| E13 | | High Range | IO_L1N_T0_AD0N_35 | User IO | | 35 | | | | | | | | | | | | | | -| E14 | | | VCCO_MIO1_501 | VCCO | | | | | | | any** | | | | | | | | | -| E15 | | | PS_MIO32_501 | PSS IO | | | | | | | | | | | | | | | | -| F1 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| F2 | | | PS_DDR_DQS_N1_502 | PSS IO | | | | | | | | | | | | | | | | -| F3 | | | PS_DDR_DQ12_502 | PSS IO | | | | | | | | | | | | | | | | -| F4 | | | PS_DDR_VREF0_502 | PSS IO | | | | | | | | | | | | | | | | -| F5 | | | VCCPLL | PSS VCCPLL | | | | | | | | | | | | | | | | -| F6 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | 3.30 | | | | | | | | | -| F7 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| F8 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | -| F9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| F10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| F11 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.20 | | | | | | | | | -| F12 | | High Range | IO_L1P_T0_AD0P_35 | User IO | | 35 | | | | | | | | | | | | | | -| F13 | | High Range | IO_L3P_T0_DQS_AD1P_35 | User IO | | 35 | | | | | | | | | | | | | | -| F14 | | High Range | IO_L3N_T0_DQS_AD1N_35 | User IO | | 35 | | | | | | | | | | | | | | -| F15 | btnU | High Range | IO_L5N_T0_AD9N_35 | INPUT | LVCMOS12 | 35 | | | | NONE | | FIXED | | | | NONE | | | | -| G1 | | | PS_DDR_DQ13_502 | PSS IO | | | | | | | | | | | | | | | | -| G2 | | | PS_DDR_DQS_P1_502 | PSS IO | | | | | | | | | | | | | | | | -| G3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G4 | | | RSVDVCC1 | Reserved | | | | | | | | | | | | | | | | -| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G6 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| G7 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | -| G8 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | -| G9 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | -| G10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| G11 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| G12 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| G13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| G14 | | High Range | IO_L3P_T0_DQS_PUDC_B_34 | User IO | | 34 | | | | | | | | | | | | | | -| G15 | | High Range | IO_L5P_T0_AD9P_35 | User IO | | 35 | | | | | | | | | | | | | | -| H1 | | | PS_DDR_DQ14_502 | PSS IO | | | | | | | | | | | | | | | | -| H2 | | | PS_DDR_DQ15_502 | PSS IO | | | | | | | | | | | | | | | | -| H3 | | | PS_DDR_VRP_502 | PSS IO | | | | | | | | | | | | | | | | -| H4 | | | RSVDVCC3 | Reserved | | | | | | | | | | | | | | | | -| H5 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| H6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H7 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | -| H8 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | -| H9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| H10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| H11 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| H12 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| H13 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| H14 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| H15 | | High Range | VCCO_35 | VCCO | | 35 | | | | | 1.20 | | | | | | | | | -| J1 | | | PS_DDR_A10_502 | PSS IO | | | | | | | | | | | | | | | | -| J2 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| J3 | | | PS_DDR_VRN_502 | PSS IO | | | | | | | | | | | | | | | | -| J4 | | | RSVDVCC2 | Reserved | | | | | | | | | | | | | | | | -| J5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J6 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| J7 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | -| J8 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| J9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| J10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| J11 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| J12 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| J13 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| J14 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| J15 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| K1 | | | PS_DDR_A14_502 | PSS IO | | | | | | | | | | | | | | | | -| K2 | | | PS_DDR_A13_502 | PSS IO | | | | | | | | | | | | | | | | -| K3 | | | PS_DDR_ODT_502 | PSS IO | | | | | | | | | | | | | | | | -| K4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K5 | | | VCCPINT | PSS VCCINT | | | | | | | | | | | | | | | | -| K6 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | -| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K8 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | 3.30 | | | | | | | | | -| K9 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | -| K10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K11 | clk | High Range | IO_L11P_T1_SRCC_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| K12 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| K13 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| K14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| K15 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | -| L1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L2 | | | PS_DDR_A11_502 | PSS IO | | | | | | | | | | | | | | | | -| L3 | | | PS_DDR_CKE_502 | PSS IO | | | | | | | | | | | | | | | | -| L4 | | | PS_DDR_DRST_B_502 | PSS IO | | | | | | | | | | | | | | | | -| L5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L6 | | | VCCPAUX | PSS VCCAUX | | | | | | | | | | | | | | | | -| L7 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | -| L8 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | -| L9 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | -| L10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | -| L11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| L12 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| L13 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| L14 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| L15 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| M1 | | | PS_DDR_A2_502 | PSS IO | | | | | | | | | | | | | | | | -| M2 | | | PS_DDR_A12_502 | PSS IO | | | | | | | | | | | | | | | | -| M3 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| M4 | | | PS_DDR_A3_502 | PSS IO | | | | | | | | | | | | | | | | -| M5 | | | PS_DDR_A7_502 | PSS IO | | | | | | | | | | | | | | | | -| M6 | | | PS_DDR_BA0_502 | PSS IO | | | | | | | | | | | | | | | | -| M7 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | -| M8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| M9 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | -| M10 | led[5] | High Range | IO_L21P_T3_DQS_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| M11 | led[4] | High Range | IO_L21N_T3_DQS_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| M12 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| M13 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| M14 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| M15 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| N1 | | | PS_DDR_A1_502 | PSS IO | | | | | | | | | | | | | | | | -| N2 | | | PS_DDR_CKN_502 | PSS IO | | | | | | | | | | | | | | | | -| N3 | | | PS_DDR_CKP_502 | PSS IO | | | | | | | | | | | | | | | | -| N4 | | | PS_DDR_A9_502 | PSS IO | | | | | | | | | | | | | | | | -| N5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| N6 | | | PS_DDR_BA2_502 | PSS IO | | | | | | | | | | | | | | | | -| N7 | led[3] | High Range | IO_L22P_T3_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| N8 | led[2] | High Range | IO_L22N_T3_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| N9 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | -| N10 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| N11 | | High Range | IO_L13P_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| N12 | | High Range | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | -| N13 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| N14 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | -| N15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P1 | | | PS_DDR_A0_502 | PSS IO | | | | | | | | | | | | | | | | -| P2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P3 | | | PS_DDR_A4_502 | PSS IO | | | | | | | | | | | | | | | | -| P4 | | | PS_DDR_A5_502 | PSS IO | | | | | | | | | | | | | | | | -| P5 | | | PS_DDR_A6_502 | PSS IO | | | | | | | | | | | | | | | | -| P6 | | | PS_DDR_A8_502 | PSS IO | | | | | | | | | | | | | | | | -| P7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| P8 | led[1] | High Range | IO_L23P_T3_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| P9 | led[0] | High Range | IO_L23N_T3_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| P10 | btnD | High Range | IO_L24P_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| P11 | | High Range | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| P12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| P13 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| P14 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| P15 | | High Range | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -| R1 | | | PS_DDR_BA1_502 | PSS IO | | | | | | | | | | | | | | | | -| R2 | | | PS_DDR_CS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| R3 | | | PS_DDR_WE_B_502 | PSS IO | | | | | | | | | | | | | | | | -| R4 | | | VCCO_DDR_502 | VCCO | | | | | | | any** | | | | | | | | | -| R5 | | | PS_DDR_CAS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| R6 | | | PS_DDR_RAS_B_502 | PSS IO | | | | | | | | | | | | | | | | -| R7 | led[7] | High Range | IO_L20P_T3_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| R8 | led[6] | High Range | IO_L20N_T3_34 | OUTPUT | LVCMOS18* | 34 | 12 | SLOW | | FP_VTT_50 | | UNFIXED | | | | NONE | | | | -| R9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | -| R10 | btnC | High Range | IO_L24N_T3_34 | INPUT | LVCMOS18* | 34 | | | | NONE | | UNFIXED | | | | NONE | | | | -| R11 | | High Range | IO_L16N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| R12 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| R13 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | -| R14 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 1.80 | | | | | | | | | -| R15 | | High Range | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | -+------------+-------------+------------+-------------------------+-------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ ++------------+-------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| Pin Number | Signal Name | Bank Type | Pin Name | Use | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization | ++------------+-------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ +| A1 | | High Range | IO_L1N_T0_AD4N_35 | User IO | | 35 | | | | | | | | | | | | | | +| A2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A4 | | | MGTPTXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A6 | | | MGTPTXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A8 | | | MGTPRXN0_216 | Gigabit | | | | | | | | | | | | | | | | +| A9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A10 | | | MGTPRXN2_216 | Gigabit | | | | | | | | | | | | | | | | +| A11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| A13 | | High Range | IO_L10P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A14 | | High Range | IO_L10N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| A15 | | High Range | IO_L9P_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A16 | | High Range | IO_L9N_T1_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A17 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 1.20 | | | | | | | | | +| A18 | | High Range | IO_L17P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A19 | | High Range | IO_L17N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A20 | | High Range | IO_L16N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| A21 | | High Range | IO_L21N_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| A22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA1 | | High Range | IO_L7P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA3 | | High Range | IO_L9N_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA4 | | High Range | IO_L11N_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA5 | | High Range | IO_L10P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA6 | | High Range | IO_L18N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA7 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AA8 | | High Range | IO_L22P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AA9 | | High Range | IO_L8P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA10 | | High Range | IO_L9P_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA11 | | High Range | IO_L9N_T1_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AA13 | | High Range | IO_L3P_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA14 | | High Range | IO_L5N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA15 | | High Range | IO_L4P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA16 | | High Range | IO_L1N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AA17 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AA18 | | High Range | IO_L17P_T2_A14_D30_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA19 | | High Range | IO_L15P_T2_DQS_RDWR_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA20 | | High Range | IO_L8P_T1_D11_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA21 | | High Range | IO_L8N_T1_D12_14 | User IO | | 14 | | | | | | | | | | | | | | +| AA22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB1 | | High Range | IO_L7N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB2 | | High Range | IO_L8N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB3 | | High Range | IO_L8P_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB4 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| AB5 | | High Range | IO_L10N_T1_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB6 | | High Range | IO_L20N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB7 | | High Range | IO_L20P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB8 | | High Range | IO_L22N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| AB9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB10 | | High Range | IO_L8N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB11 | | High Range | IO_L7P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB12 | | High Range | IO_L7N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB13 | | High Range | IO_L3N_T0_DQS_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB14 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| AB15 | | High Range | IO_L4N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB16 | | High Range | IO_L2P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB17 | | High Range | IO_L2N_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| AB18 | | High Range | IO_L17N_T2_A13_D29_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| AB20 | | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB21 | | High Range | IO_L10P_T1_D14_14 | User IO | | 14 | | | | | | | | | | | | | | +| AB22 | | High Range | IO_L10N_T1_D15_14 | User IO | | 14 | | | | | | | | | | | | | | +| B1 | | High Range | IO_L1P_T0_AD4P_35 | User IO | | 35 | | | | | | | | | | | | | | +| B2 | | High Range | IO_L2N_T0_AD12N_35 | User IO | | 35 | | | | | | | | | | | | | | +| B3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B4 | | | MGTPTXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B5 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B6 | | | MGTPTXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B7 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B8 | | | MGTPRXP0_216 | Gigabit | | | | | | | | | | | | | | | | +| B9 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B10 | | | MGTPRXP2_216 | Gigabit | | | | | | | | | | | | | | | | +| B11 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| B12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B13 | | High Range | IO_L8N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B14 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 1.20 | | | | | | | | | +| B15 | | High Range | IO_L7P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B16 | | High Range | IO_L7N_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| B17 | | High Range | IO_L11P_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B18 | | High Range | IO_L11N_T1_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| B19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| B20 | | High Range | IO_L16P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| B21 | | High Range | IO_L21P_T3_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| B22 | btnC | High Range | IO_L20N_T3_16 | INPUT | LVCMOS12 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| C1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | +| C2 | | High Range | IO_L2P_T0_AD12P_35 | User IO | | 35 | | | | | | | | | | | | | | +| C3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C4 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C5 | | | MGTPTXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C7 | | | MGTPTXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C8 | | | MGTAVTT | Gigabit Power | | | | | | | | | | | | | | | | +| C9 | | | MGTPRXN3_216 | Gigabit | | | | | | | | | | | | | | | | +| C10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C11 | | | MGTPRXN1_216 | Gigabit | | | | | | | | | | | | | | | | +| C12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C13 | | High Range | IO_L8P_T1_16 | User IO | | 16 | | | | | | | | | | | | | | +| C14 | | High Range | IO_L3P_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C15 | | High Range | IO_L3N_T0_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| C16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| C17 | | High Range | IO_L12N_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C18 | | High Range | IO_L13P_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C19 | | High Range | IO_L13N_T2_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| C20 | | High Range | IO_L19N_T3_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| C21 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 1.20 | | | | | | | | | +| C22 | | High Range | IO_L20P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D1 | | High Range | IO_L3N_T0_DQS_AD5N_35 | User IO | | 35 | | | | | | | | | | | | | | +| D2 | | High Range | IO_L4N_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| D3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D5 | | | MGTPTXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D6 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D7 | | | MGTPTXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D9 | | | MGTPRXP3_216 | Gigabit | | | | | | | | | | | | | | | | +| D10 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| D11 | | | MGTPRXP1_216 | Gigabit | | | | | | | | | | | | | | | | +| D12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| D14 | | High Range | IO_L6P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| D15 | | High Range | IO_L6N_T0_VREF_16 | User IO | | 16 | | | | | | | | | | | | | | +| D16 | | High Range | IO_L5N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| D17 | | High Range | IO_L12P_T1_MRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D18 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 1.20 | | | | | | | | | +| D19 | | High Range | IO_L14N_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| D20 | | High Range | IO_L19P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D21 | | High Range | IO_L23N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| D22 | btnD | High Range | IO_L22N_T3_16 | INPUT | LVCMOS12 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| E1 | | High Range | IO_L3P_T0_DQS_AD5P_35 | User IO | | 35 | | | | | | | | | | | | | | +| E2 | | High Range | IO_L4P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| E3 | | High Range | IO_L6N_T0_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| E4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E6 | | | MGTREFCLK0N_216 | Gigabit | | | | | | | | | | | | | | | | +| E7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E8 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| E9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E10 | | | MGTREFCLK1N_216 | Gigabit | | | | | | | | | | | | | | | | +| E11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E12 | | Dedicated | VCCBATT_0 | Config | | 0 | | | | | | | | | | | | | | +| E13 | | High Range | IO_L4P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E14 | | High Range | IO_L4N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E15 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 1.20 | | | | | | | | | +| E16 | | High Range | IO_L5P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E17 | | High Range | IO_L2N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| E18 | | High Range | IO_L15N_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| E19 | | High Range | IO_L14P_T2_SRCC_16 | User IO | | 16 | | | | | | | | | | | | | | +| E20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| E21 | | High Range | IO_L23P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| E22 | | High Range | IO_L22P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| F1 | | High Range | IO_L5N_T0_AD13N_35 | User IO | | 35 | | | | | | | | | | | | | | +| F2 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | +| F3 | | High Range | IO_L6P_T0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F4 | | High Range | IO_0_35 | User IO | | 35 | | | | | | | | | | | | | | +| F5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F6 | | | MGTREFCLK0P_216 | Gigabit | | | | | | | | | | | | | | | | +| F7 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F8 | | | MGTRREF_216 | Gigabit | | | | | | | | | | | | | | | | +| F9 | | | MGTAVCC | Gigabit Power | | | | | | | | | | | | | | | | +| F10 | | | MGTREFCLK1P_216 | Gigabit | | | | | | | | | | | | | | | | +| F11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | 3.30 | | | | | | | | | +| F13 | | High Range | IO_L1P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F14 | | High Range | IO_L1N_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F15 | btnU | High Range | IO_0_16 | INPUT | LVCMOS12 | 16 | | | | NONE | | FIXED | | | | NONE | | | | +| F16 | | High Range | IO_L2P_T0_16 | User IO | | 16 | | | | | | | | | | | | | | +| F17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| F18 | | High Range | IO_L15P_T2_DQS_16 | User IO | | 16 | | | | | | | | | | | | | | +| F19 | | High Range | IO_L18P_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F20 | | High Range | IO_L18N_T2_16 | User IO | | 16 | | | | | | | | | | | | | | +| F21 | | High Range | IO_25_16 | User IO | | 16 | | | | | | | | | | | | | | +| F22 | | High Range | VCCO_16 | VCCO | | 16 | | | | | 1.20 | | | | | | | | | +| G1 | | High Range | IO_L5P_T0_AD13P_35 | User IO | | 35 | | | | | | | | | | | | | | +| G2 | | High Range | IO_L8N_T1_AD14N_35 | User IO | | 35 | | | | | | | | | | | | | | +| G3 | | High Range | IO_L11N_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| G4 | | High Range | IO_L12N_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| G5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G11 | | Dedicated | DONE_0 | Config | | 0 | | | | | | | | | | | | | | +| G12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G13 | | High Range | IO_L1N_T0_AD0N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| G15 | | High Range | IO_L2P_T0_AD8P_15 | User IO | | 15 | | | | | | | | | | | | | | +| G16 | | High Range | IO_L2N_T0_AD8N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G17 | | High Range | IO_L4P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G18 | | High Range | IO_L4N_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| G19 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| G20 | | High Range | IO_L8N_T1_AD10N_15 | User IO | | 15 | | | | | | | | | | | | | | +| G21 | | High Range | IO_L24P_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| G22 | | High Range | IO_L24N_T3_16 | User IO | | 16 | | | | | | | | | | | | | | +| H1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H2 | | High Range | IO_L8P_T1_AD14P_35 | User IO | | 35 | | | | | | | | | | | | | | +| H3 | | High Range | IO_L11P_T1_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H4 | | High Range | IO_L12P_T1_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| H5 | | High Range | IO_L10N_T1_AD15N_35 | User IO | | 35 | | | | | | | | | | | | | | +| H6 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | +| H7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| H11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| H13 | | High Range | IO_L1P_T0_AD0P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H14 | | High Range | IO_L3N_T0_DQS_AD1N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H15 | | High Range | IO_L5N_T0_AD9N_15 | User IO | | 15 | | | | | | | | | | | | | | +| H16 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| H17 | | High Range | IO_L6P_T0_15 | User IO | | 15 | | | | | | | | | | | | | | +| H18 | | High Range | IO_L6N_T0_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| H19 | | High Range | IO_L12N_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| H20 | | High Range | IO_L8P_T1_AD10P_15 | User IO | | 15 | | | | | | | | | | | | | | +| H21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| H22 | | High Range | IO_L7N_T1_AD2N_15 | User IO | | 15 | | | | | | | | | | | | | | +| J1 | | High Range | IO_L7N_T1_AD6N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J2 | | High Range | IO_L9N_T1_DQS_AD7N_35 | User IO | | 35 | | | | | | | | | | | | | | +| J3 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | +| J4 | | High Range | IO_L13N_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| J5 | | High Range | IO_L10P_T1_AD15P_35 | User IO | | 35 | | | | | | | | | | | | | | +| J6 | | High Range | IO_L17N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| J7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| J10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| J12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J13 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| J14 | | High Range | IO_L3P_T0_DQS_AD1P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J15 | | High Range | IO_L5P_T0_AD9P_15 | User IO | | 15 | | | | | | | | | | | | | | +| J16 | | High Range | IO_0_15 | User IO | | 15 | | | | | | | | | | | | | | +| J17 | | High Range | IO_L21N_T3_DQS_A18_15 | User IO | | 15 | | | | | | | | | | | | | | +| J18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| J19 | | High Range | IO_L12P_T1_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J20 | | High Range | IO_L11P_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J21 | | High Range | IO_L11N_T1_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| J22 | | High Range | IO_L7P_T1_AD2P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K1 | | High Range | IO_L7P_T1_AD6P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K2 | | High Range | IO_L9P_T1_DQS_AD7P_35 | User IO | | 35 | | | | | | | | | | | | | | +| K3 | | High Range | IO_L14N_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K4 | | High Range | IO_L13P_T2_MRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| K5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K6 | | High Range | IO_L17P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| K7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| K9 | | Dedicated | GNDADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K10 | | Dedicated | VCCADC_0 | XADC | | 0 | | | | | | | | | | | | | | +| K11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| K13 | | High Range | IO_L19P_T3_A22_15 | User IO | | 15 | | | | | | | | | | | | | | +| K14 | | High Range | IO_L19N_T3_A21_VREF_15 | User IO | | 15 | | | | | | | | | | | | | | +| K15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| K16 | | High Range | IO_L23N_T3_FWE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| K17 | | High Range | IO_L21P_T3_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| K18 | | High Range | IO_L13P_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K19 | | High Range | IO_L13N_T2_MRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| K20 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| K21 | | High Range | IO_L9P_T1_DQS_AD3P_15 | User IO | | 15 | | | | | | | | | | | | | | +| K22 | | High Range | IO_L9N_T1_DQS_AD3N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L1 | | High Range | IO_L15N_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| L2 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L3 | | High Range | IO_L14P_T2_SRCC_35 | User IO | | 35 | | | | | | | | | | | | | | +| L4 | | High Range | IO_L18N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L5 | | High Range | IO_L18P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| L6 | | High Range | IO_25_35 | User IO | | 35 | | | | | | | | | | | | | | +| L7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| L8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| L9 | | Dedicated | VREFN_0 | XADC | | 0 | | | | | | | | | | | | | | +| L10 | | Dedicated | VP_0 | XADC | | 0 | | | | | | | | | | | | | | +| L11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| L12 | | Dedicated | CCLK_0 | Config | | 0 | | | | | | | | | | | | | | +| L13 | | High Range | IO_L20N_T3_A19_15 | User IO | | 15 | | | | | | | | | | | | | | +| L14 | | High Range | IO_L22P_T3_A17_15 | User IO | | 15 | | | | | | | | | | | | | | +| L15 | | High Range | IO_L22N_T3_A16_15 | User IO | | 15 | | | | | | | | | | | | | | +| L16 | | High Range | IO_L23P_T3_FOE_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| L17 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| L18 | | High Range | IO_L16N_T2_A27_15 | User IO | | 15 | | | | | | | | | | | | | | +| L19 | | High Range | IO_L14P_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L20 | | High Range | IO_L14N_T2_SRCC_15 | User IO | | 15 | | | | | | | | | | | | | | +| L21 | | High Range | IO_L10N_T1_AD11N_15 | User IO | | 15 | | | | | | | | | | | | | | +| L22 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M1 | | High Range | IO_L15P_T2_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| M2 | | High Range | IO_L16N_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M3 | | High Range | IO_L16P_T2_35 | User IO | | 35 | | | | | | | | | | | | | | +| M4 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | +| M5 | | High Range | IO_L23N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M6 | | High Range | IO_L23P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| M7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| M9 | | Dedicated | VN_0 | XADC | | 0 | | | | | | | | | | | | | | +| M10 | | Dedicated | VREFP_0 | XADC | | 0 | | | | | | | | | | | | | | +| M11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| M13 | | High Range | IO_L20P_T3_A20_15 | User IO | | 15 | | | | | | | | | | | | | | +| M14 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| M15 | | High Range | IO_L24P_T3_RS1_15 | User IO | | 15 | | | | | | | | | | | | | | +| M16 | | High Range | IO_L24N_T3_RS0_15 | User IO | | 15 | | | | | | | | | | | | | | +| M17 | | High Range | IO_25_15 | User IO | | 15 | | | | | | | | | | | | | | +| M18 | | High Range | IO_L16P_T2_A28_15 | User IO | | 15 | | | | | | | | | | | | | | +| M19 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| M20 | | High Range | IO_L18N_T2_A23_15 | User IO | | 15 | | | | | | | | | | | | | | +| M21 | | High Range | IO_L10P_T1_AD11P_15 | User IO | | 15 | | | | | | | | | | | | | | +| M22 | | High Range | IO_L15N_T2_DQS_ADV_B_15 | User IO | | 15 | | | | | | | | | | | | | | +| N1 | | High Range | VCCO_35 | VCCO | | 35 | | | | | any** | | | | | | | | | +| N2 | | High Range | IO_L22N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N3 | | High Range | IO_L19N_T3_VREF_35 | User IO | | 35 | | | | | | | | | | | | | | +| N4 | | High Range | IO_L19P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N5 | | High Range | IO_L24N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| N6 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| N8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N9 | | Dedicated | DXN_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N10 | | Dedicated | DXP_0 | Temp Sensor | | 0 | | | | | | | | | | | | | | +| N11 | | | VCCBRAM | VCCBRAM | | | | | | | | | | | | | | | | +| N12 | | Dedicated | PROGRAM_B_0 | Config | | 0 | | | | | | | | | | | | | | +| N13 | | High Range | IO_L23P_T3_A03_D19_14 | User IO | | 14 | | | | | | | | | | | | | | +| N14 | | High Range | IO_L23N_T3_A02_D18_14 | User IO | | 14 | | | | | | | | | | | | | | +| N15 | | High Range | IO_25_14 | User IO | | 14 | | | | | | | | | | | | | | +| N16 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| N17 | | High Range | IO_L21P_T3_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| N18 | | High Range | IO_L17P_T2_A26_15 | User IO | | 15 | | | | | | | | | | | | | | +| N19 | | High Range | IO_L17N_T2_A25_15 | User IO | | 15 | | | | | | | | | | | | | | +| N20 | | High Range | IO_L18P_T2_A24_15 | User IO | | 15 | | | | | | | | | | | | | | +| N21 | | High Range | VCCO_15 | VCCO | | 15 | | | | | any** | | | | | | | | | +| N22 | | High Range | IO_L15P_T2_DQS_15 | User IO | | 15 | | | | | | | | | | | | | | +| P1 | | High Range | IO_L20N_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P2 | | High Range | IO_L22P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P3 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P4 | | High Range | IO_L21N_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P5 | | High Range | IO_L21P_T3_DQS_35 | User IO | | 35 | | | | | | | | | | | | | | +| P6 | | High Range | IO_L24P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| P7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| P11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P12 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| P13 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| P14 | | High Range | IO_L19P_T3_A10_D26_14 | User IO | | 14 | | | | | | | | | | | | | | +| P15 | | High Range | IO_L22P_T3_A05_D21_14 | User IO | | 14 | | | | | | | | | | | | | | +| P16 | | High Range | IO_L24P_T3_A01_D17_14 | User IO | | 14 | | | | | | | | | | | | | | +| P17 | | High Range | IO_L21N_T3_DQS_A06_D22_14 | User IO | | 14 | | | | | | | | | | | | | | +| P18 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| P19 | | High Range | IO_L5P_T0_D06_14 | User IO | | 14 | | | | | | | | | | | | | | +| P20 | | High Range | IO_0_14 | User IO | | 14 | | | | | | | | | | | | | | +| P21 | | High Range | IO_L2P_T0_D02_14 | User IO | | 14 | | | | | | | | | | | | | | +| P22 | | High Range | IO_L1P_T0_D00_MOSI_14 | User IO | | 14 | | | | | | | | | | | | | | +| R1 | | High Range | IO_L20P_T3_35 | User IO | | 35 | | | | | | | | | | | | | | +| R2 | | High Range | IO_L3N_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R3 | | High Range | IO_L3P_T0_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| R4 | clk | High Range | IO_L13P_T2_MRCC_34 | INPUT | LVCMOS33 | 34 | | | | NONE | | FIXED | | | | NONE | | | | +| R5 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| R6 | | High Range | IO_L17P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| R7 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R9 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| R10 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R11 | | | VCCAUX | VCCAUX | | | | | | | 1.80 | | | | | | | | | +| R12 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R13 | | Dedicated | TDI_0 | Config | | 0 | | | | | | | | | | | | | | +| R14 | | High Range | IO_L19N_T3_A09_D25_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| R15 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| R16 | | High Range | IO_L22N_T3_A04_D20_14 | User IO | | 14 | | | | | | | | | | | | | | +| R17 | | High Range | IO_L24N_T3_A00_D16_14 | User IO | | 14 | | | | | | | | | | | | | | +| R18 | | High Range | IO_L20P_T3_A08_D24_14 | User IO | | 14 | | | | | | | | | | | | | | +| R19 | | High Range | IO_L5N_T0_D07_14 | User IO | | 14 | | | | | | | | | | | | | | +| R20 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| R21 | | High Range | IO_L2N_T0_D03_14 | User IO | | 14 | | | | | | | | | | | | | | +| R22 | | High Range | IO_L1N_T0_D01_DIN_14 | User IO | | 14 | | | | | | | | | | | | | | +| T1 | | High Range | IO_L1P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T2 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| T3 | | High Range | IO_0_34 | User IO | | 34 | | | | | | | | | | | | | | +| T4 | | High Range | IO_L13N_T2_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T5 | | High Range | IO_L14P_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| T6 | | High Range | IO_L17N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| T7 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T8 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T9 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T10 | | | VCCINT | VCCINT | | | | | | | | | | | | | | | | +| T11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T12 | | Dedicated | VCCO_0 | VCCO | | 0 | | | | | 3.30 | | | | | | | | | +| T13 | | Dedicated | TMS_0 | Config | | 0 | | | | | | | | | | | | | | +| T14 | led[0] | High Range | IO_L15P_T2_DQS_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T15 | led[1] | High Range | IO_L15N_T2_DQS_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T16 | led[2] | High Range | IO_L17P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| T17 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| T18 | | High Range | IO_L20N_T3_A07_D23_14 | User IO | | 14 | | | | | | | | | | | | | | +| T19 | | High Range | IO_L6P_T0_FCS_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| T20 | | High Range | IO_L6N_T0_D08_VREF_14 | User IO | | 14 | | | | | | | | | | | | | | +| T21 | | High Range | IO_L4P_T0_D04_14 | User IO | | 14 | | | | | | | | | | | | | | +| T22 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U1 | | High Range | IO_L1N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U2 | | High Range | IO_L2P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U3 | | High Range | IO_L6P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| U4 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U5 | | High Range | IO_L14N_T2_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| U6 | | High Range | IO_L16P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| U7 | | High Range | IO_25_34 | User IO | | 34 | | | | | | | | | | | | | | +| U8 | | Dedicated | CFGBVS_0 | Config | | 0 | | | | | 3.30 | | | | | | | | | +| U9 | | Dedicated | M2_0 | Config | | 0 | | | | | | | | | | | | | | +| U10 | | Dedicated | M1_0 | Config | | 0 | | | | | | | | | | | | | | +| U11 | | Dedicated | M0_0 | Config | | 0 | | | | | | | | | | | | | | +| U12 | | Dedicated | INIT_B_0 | Config | | 0 | | | | | | | | | | | | | | +| U13 | | Dedicated | TDO_0 | Config | | 0 | | | | | | | | | | | | | | +| U14 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| U15 | | High Range | IO_L14P_T2_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| U16 | led[3] | High Range | IO_L17N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| U17 | | High Range | IO_L18P_T2_A12_D28_14 | User IO | | 14 | | | | | | | | | | | | | | +| U18 | | High Range | IO_L18N_T2_A11_D27_14 | User IO | | 14 | | | | | | | | | | | | | | +| U19 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| U20 | | High Range | IO_L11P_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| U21 | | High Range | IO_L4N_T0_D05_14 | User IO | | 14 | | | | | | | | | | | | | | +| U22 | | High Range | IO_L3P_T0_DQS_PUDC_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V1 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V2 | | High Range | IO_L2N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| V3 | | High Range | IO_L6N_T0_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| V4 | | High Range | IO_L12P_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| V5 | | High Range | IO_L16N_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| V6 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| V7 | | High Range | IO_L19P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| V8 | | High Range | IO_L21N_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V9 | | High Range | IO_L21P_T3_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| V10 | | High Range | IO_L10P_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| V11 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V12 | | Dedicated | TCK_0 | Config | | 0 | | | | | | | | | | | | | | +| V13 | | High Range | IO_L13P_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V14 | | High Range | IO_L13N_T2_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| V15 | led[4] | High Range | IO_L14N_T2_SRCC_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| V16 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| V17 | | High Range | IO_L16P_T2_CSI_B_14 | User IO | | 14 | | | | | | | | | | | | | | +| V18 | | High Range | IO_L14P_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V19 | | High Range | IO_L14N_T2_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V20 | | High Range | IO_L11N_T1_SRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| V21 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| V22 | | High Range | IO_L3N_T0_DQS_EMCCLK_14 | User IO | | 14 | | | | | | | | | | | | | | +| W1 | | High Range | IO_L5P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W2 | | High Range | IO_L4P_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| W3 | | High Range | VCCO_34 | VCCO | | 34 | | | | | 3.30 | | | | | | | | | +| W4 | | High Range | IO_L12N_T1_MRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| W5 | | High Range | IO_L15N_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| W6 | | High Range | IO_L15P_T2_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| W7 | | High Range | IO_L19N_T3_VREF_34 | User IO | | 34 | | | | | | | | | | | | | | +| W8 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W9 | | High Range | IO_L24P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| W10 | | High Range | IO_L10N_T1_13 | User IO | | 13 | | | | | | | | | | | | | | +| W11 | | High Range | IO_L12P_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W12 | | High Range | IO_L12N_T1_MRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| W13 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| W14 | | High Range | IO_L6P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| W15 | led[6] | High Range | IO_L16P_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W16 | led[5] | High Range | IO_L16N_T2_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| W17 | | High Range | IO_L16N_T2_A15_D31_14 | User IO | | 14 | | | | | | | | | | | | | | +| W18 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| W19 | | High Range | IO_L12P_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W20 | | High Range | IO_L12N_T1_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| W21 | | High Range | IO_L7P_T1_D09_14 | User IO | | 14 | | | | | | | | | | | | | | +| W22 | | High Range | IO_L7N_T1_D10_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y1 | | High Range | IO_L5N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y2 | | High Range | IO_L4N_T0_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y3 | | High Range | IO_L9P_T1_DQS_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y4 | | High Range | IO_L11P_T1_SRCC_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y5 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y6 | | High Range | IO_L18P_T2_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y7 | | High Range | IO_L23N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y8 | | High Range | IO_L23P_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y9 | | High Range | IO_L24N_T3_34 | User IO | | 34 | | | | | | | | | | | | | | +| Y10 | | High Range | VCCO_13 | VCCO | | 13 | | | | | 2.50 | | | | | | | | | +| Y11 | | High Range | IO_L11P_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y12 | | High Range | IO_L11N_T1_SRCC_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y13 | led[7] | High Range | IO_L5P_T0_13 | OUTPUT | LVCMOS25 | 13 | 12 | SLOW | | FP_VTT_50 | | FIXED | | | | NONE | | | | +| Y14 | | High Range | IO_L6N_T0_VREF_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y15 | | | GND | GND | | | | | | | 0.0 | | | | | | | | | +| Y16 | | High Range | IO_L1P_T0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y17 | | High Range | IO_0_13 | User IO | | 13 | | | | | | | | | | | | | | +| Y18 | | High Range | IO_L13P_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y19 | | High Range | IO_L13N_T2_MRCC_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y20 | | High Range | VCCO_14 | VCCO | | 14 | | | | | any** | | | | | | | | | +| Y21 | | High Range | IO_L9P_T1_DQS_14 | User IO | | 14 | | | | | | | | | | | | | | +| Y22 | | High Range | IO_L9N_T1_DQS_D13_14 | User IO | | 14 | | | | | | | | | | | | | | ++------------+-------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+ * Default value ** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements. diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt index d71bb8c..1646f3a 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_methodology_drc_routed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:37:00 2024 +| Date : Thu Nov 28 16:32:36 2024 | Host : hogtest running 64-bit unknown | Command : report_methodology -file design_1_wrapper_methodology_drc_routed.rpt -pb design_1_wrapper_methodology_drc_routed.pb -rpx design_1_wrapper_methodology_drc_routed.rpx | Design : design_1_wrapper -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Fully Routed -------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_opt.dcp index 6d18e1f777d048584ab936361bc020e1ac37847e..b7fad007160f2be4db7032e85b3d10b13da99c1a 100644 GIT binary patch literal 187288 zcmZ^~1C%Ghvo82++xE0=+qP}nwx(^{c2C>3ZDU%~wzmIo_ubvQ=e{~8Pi0nBR(%!u zMPy`Vq=GaEC@KH|fCK=A_-K-LR0}u)0{|jW000C43SeUFK<8m=qpAW10J)2nFdtr# zbk1Tx1?)M0QnO@l2t$&^9(rY)0QS>Uhe15XC5Z)MEg6i~WWIbIqshkw@n0A4+ir6C zcxmko?$$1m6_UEbExqU;L?u0Z{>nDdLg_POmyv4Z8a2`YsO2pE=p_b(uQx?>G08-p z29#Ve);z@jg>HU1FktUMuZF$27-s(Et%&lX&R26p4y1#dLw(tV_8<Y-Lw|rc%-Yw$ z2ZDJMNImLL$moREvG6meIm8x6T?%Vk!!W(^<!FOGxD!u{zHgkg8Q`XoR?-Be51!wv z+(i?0&^#U@E^CUzkdSsz9NK4`Us?T1vMVb2=M}k5Dm|Jm<%sW_NfoJo5K?TK{o6>w z{V<uu9<1^(e9m#DRPwN8;ZPyZtW2;tTwiHP{2kXEYdp>o6N5t{Tq$MAVae)`W$X9F zk(??QPE0AOrQE$c5=<B;tE6n=Ki}39tZeeOtvR1(R{srjxR2J$`kD^w^cj@9k5DQG zXc19OFr)1qVC>i1PJegexZ=({r`ERFJ=jd14K}HlzV-vEl?|p0z0P?L@|M-tZx8Eo zLS4scb215s+^z^&RHtB?b0W}+*aIQ-(4axx6Nv5%rd7>!4I4+Xm;B~wmCWS0xdKL0 zB9e_8%KP;{5UQY$B?V_=YBb&5t+A7OCL-reZDYAvkt0uy6m1gDIia&FcQC9Ry2Rx# z&?wPt)1k#?TpQQ-7U^Aj;Tvz2zCMdLKDokDiy_bPE9dQUFm2q2Q%+IH_k_P|+GR>U zyN-OKVb+_Ekj!a&c}B&|NtUDz@`9Y)E}$E;TxU0{|DY|cPq`5!_yc5X`M=`uy}El9 z{u@25?r&ExfB=A{pM>!rdYU?0n%n6!>bpA`Iyjg*={vi)8qvA^7hQd0r>%h*5r?ne z)w_&gw0kK&??}9E6%Z>*6e6R?|AHGMCsucS`zEPcJRcnQXJzbWtzH{j=^ifEMq>8# z@bE^17a{c|tDWc=*@+;a)HF;%07=7N#?g3-e)Fei!15Up#hHLgcTw-tn)#>%4c6pm zKPXlJ_}bR?3`}dV$kC`dE{I8BWOEA}!L?J=g3kMZ3K$y>;`=OWW}r=5E(O;tkiBg# zv9vE3^_y%lGAS8wL&qdc$Tc!TQ25rVZQUVTo`UGFm4GGJk!#8TOrFI|l5bvH)V0&5 z=S|EP`)^0%oKNmq7Nw+#Gg`VSh+g_af4T@TB<ghW?u|Aa|HNv4wu71*U!-q8-*4`b zi^jmP-7Vz5fc<x&UP(2+QU3^(?MLsi|Ib3TF!_JYfNz|j^?(3k==Cd_bM+i3vC-xc z2_tI1QA5i^%cWhZqr*B}Cl2d(4^DyF{1e-h_x*{tBW$Q8m-OR^@Y@4Ng!te*B%fpg z-`1ecBxtEre<eGIMuBkWAXUV{{oq$AKki`Dh9hl55JuukAFMmc{3k}SgoYh=dYWm5 zc+KEpa#xMHO@mJ^28}HFp-G3vkz&Y3(sjO&c(WPhruGhtMW7Doja79RHzZ2HO-BH% z#2_X4H<Fn@)HOR;MGN~gRq|9{)0esdfoX$YE$|SCidkKEAR%m!w}VMtZQo#~b~|C* zLMwXzt6IQo$K4}X`*W(uMR3)k3<X`QOuDcE;t0hN7yqAZla-rD)<8a({Ztp<e;u&U z{&C;TZ3aXTJ1yzrz}5@CF+6YJ|6SJR9K0#hKh}2aC;gAIb})1@wEb@;7ibK?{;gr- zp~lD|D)j3=2O|Uf|2qFNVmSF6%Qvd`4+uW|Q!2pF6fQDKVQ*MH99@?M@8Iv#-9gT& zn;tlr5YtVNp08q0fChWg;BN}1J%+r?fRl2Q1H~}Oj?-z#z7fQ%^i=`u=+Ci0L+J_X z=24uKYzEYp)6+&(uq9gDKr#NnCmPEEBNoX2Dy?qN{XJWCj{gs#Kd{`EeXk(U<-tl- z;7=MFI(f~c#83uM%^Q*viFrRsXAFVQL!xL+cr8M5xLm@=Nb!G{2A9LW76BvxP)GWI zkcO$DlZ_{xhl%ljX>^U&rqd<|vhS?Ai|JE;MxyJjskz#_h_uR-)^=oZlB29_#V_PU zL}gG6fT^|e>+=;j=_^x4<#w(lCzHJZ0sGu3u0YcQEmaY<<raRTM?I$Kp#zevIP*(p zjSAtrJ~vKx%1eXWLdVS3U;2gh8G+T20gErjztO`=&vsmSOL9jY%5&>?H&xxKrlSW% z8BI}o<{9ozmdhPO#;Q577@D{B9xC6OF1K&bbS-&zmpGVlW!wMuX7zV?%e!_#Y@ICM z3=!k5`_0l*eF~&5-pbS}ovl_2xD9ftlp|{{ziq!5v*C+g=m+lM{HCppP0rA#iF>=b zbCq=z&5*wkm$~1aG-}4{r=<qfTkA~x@dcUdck>lWF7uuR_*6IAzFgSg`Xh5L#TQ?7 zp~WJ*2*UbrxDE6~CCvZa8exvimpQayMt?V_gC{Wr>h*4p{;}97(l5$d*WD1$fADW! zdTjW!>hIJ0-GRk+j;~EyuXx>Av$x6$JYk}!Q64FzM<dd6pWy}aD9e=CZxfsDj|HDu z{E(TQt+YUL;6DXLz5qtOfFMgS%e*&zx&N!(gotyJuTMbTyJ!Um0%Lne{`BS683uu# zj&RJKMcp~Qe98iIB4^;hLQuub7BUjphdGL|nuR-~m2<AnV~(?+*0bQ(HpK;ZkW8A~ z-c)gL%ET=rDKw%fJm@gRS;#sFA*1$wG94$w_e@BII-<;Pe7J+*d^t0C@jAK$%>CM& zrY!H0Bnj^j_y+UQ`O{yY5fRA-7OjFRpy1Mc&a6h9qVz*Wrn04Zo&g*MU;Qv(gA`cN z!4}9P+2!4I%++~=?Twm*OR32A1xxUtZdv0CQv77?snf^JNTfS7&ot3I;9vU^<Z>W0 zaDBju;FFVsZeq07nNu8=M@3FqY$5U))riHWhDT1Vc`b3!D8G^1C4f{E(noSARQ#k$ z8(T)WU(zmJ;iUDj+lymK=I#bPrH+y|R<tjt_k#m4TP76g(!3E>L(_*F<7vWW?n;5L z2Q{xjidzkl2S_C513(W!gVJYy6N2UiS>SF(3wscdAd+4aro(GuwpV|1O4$=)#u=h+ z#Vi(!0(km&emi<N`%@ha@jq~e9~xwe84duCANvfjq!+l$7OkT){_dSRWatmJU?LDF zlnc>>mBcP~Kcndxom}jHvBQ+*Z&Yx4{Q}QRpHadTPojVe`^%|+1Y<@lz=peZVCjGo zQ-tbRn8Rx>XhH=bGeb4j8j$3W^<5BC<QkS4fQ$=1<DClThyiy${Pp`)mnV;OpTA;E z<5&BJquXu&tUxEy-=6UDG~~|WyU;(QF+38Lt(0OW@T(#cz$48Z6!dLMMHAKK&Z@Nq z$rnQVT=Yq_K?|5jDk;G)hhbu!#p*O3Iw=J{6+-Qw5CT~z`=}dQ!0Ayn8q!QkE{}o< zvWx+G6>KzqU3-<$38BA!yJ2T+QAm&5G?vAI=oDHC!9bAwPL`Y$9z)AW;%e24erC*T zX9}N*<IFL_&w`-|(TQnDnL^ATJ+0xwBPN>4N%^idJ>1qu;D!06ZZMOJvYM|!2u-ea zM58ugXtt_#8dquIpiBk@(W=*md|%38)2&qiF*)y1aMfR;uiIuk9Bw8>7gL)-9SKuA z6ra}5qyU~~G@#%(nL{I3gXqx0soG-sl`WoY{eu@~r@3uQ3*EaCsao}n6K5YW#~##+ zF~UN$N7y_=nX92t6S93l$xusWFe>b3&5c^Ks$RO(sou!Z)Bv%_92?Xa^5kzacp$q1 z4X93HS;44=XU=}1IOZ8xce|`}z9w#3(|`bRoKP=vr9noaG~Kv%gH>`?cz3C*?D~@D zAFG>TyLjo8+e`VEawr($v=hegUE5o-!Bs9~<pQ<4trav^i5Dm|q46v{ny!JMF;cJ2 zRyn(bS%^@9yNE)_7N${9ba4?{ii_%aU}jn-DxuI-4a|0$ZzBmy;cE+i9;Bm2st3O) zIG%axKd9E;OC;!0bd&5ow`gjuNbs!PbPkU(wouM=p_@$>W{79#KP^$Jew?;(>FY#8 z6@U8<Dr?e3GMU5FQLM7C_lI|sH0@+|Eb2-{?-1DNnjMPi>Unob4juCSvv2Qc(nGS- z=#CGR9{k}mj1AW6g5^rduzg8eGC10)SdnoahTkDgS6!!qqXsjUFRc8ge$$J{p?HKp zg}WuPYYY!*?=Gr1R6k-cs^q4t_mEw6z2cB6JmI2)L*-n{m^XRnUuBI`SQQ0f7%tyw z#xYlII`lx~a7xevn-t)^E3PQ6)lZoOJH!v;)Kedm%v}<iop8tyTV&Az5@<4A$FVt} zh08*HB)Eh=g;3&?Og-`jd1vniGY>6NdRtL*nSwNQ248|ZdBzq;NlQ~$k6o0E7v)Ms zk(g5QjR;INaSCa%R^QQ6hu2s%{<-6~Omc48hgyKTU2{s)rw#m95!^g9b}N}CiNkcs zvy8puElGr|We$>sO$|hJZA&Q_LLxco${DmMZVF`jZm=FR<=2P&m$Bh7(^vlbM**W< zDUd609FC3vTM|1;iunihVkONRBJ#_i$Rn-aH`f5C9L%^@gZ_On;b4{=9d_TTY`b9H z=0CU2>36^qsa)bg;3IM$t2``4;_Tc3jIXE>d>z>fQ?PTlmIZy?6YbD${^mc|PMTsK zO2g0tsDW#9Y3I{#U(e$>h1=qN)#6v%_>gg17AcIRb%v1H{xS!aC~|szTFzYx%aCz4 zzx~IeF?asEQgnI!ollJa?q76rz08fF0{|*#008R$;Mx8+&!RiW-hPv#Wn}ld>{q<6 zBStz~BJQa?{XdxD$Rw7ALswk$;C=xIn`XzXH7#k&Maq*-{X`|3#6)U7+-yKpPJcS% z>pkHsE`DN|X5#3XRR&qr2Sg{n+rhurV@aQ#YrTfNMfv;Dqrutjuw&(c?`!8=lh=O+ z%^!(J>vra^T@xDa4%q+n<o>07-P-Ycz9w|z%$kqi>DnhAkDq@|+Pq<CkJovA`?-Ji zz9ri5z3=^5mhRA!$xTG~#)bVo|9X?=e~0nz`_`pJ8pV@Ky8dy05a(|~rO=W&az9)~ z`kwJEk%aIa!6m~sVjg!N`XYXpNT>c9cK@|!Hq<!YTKuu9%9P=YnH!=g3&+jPZS%k| zd!)|zH4F8gO_R8Mlpq-`KZ!O;fJ~M(W%AP!uTQz53WvbYBed(zBigBdUW3@_(9p9^ z2=X_yXPSGBXQR&U&LY0uUzx+}Cf8iXrOnQq7_;d4{^+zgj4Zqo1!p!tvu6%}qg<;u z%8DL;cr!imf3QoDEkeA0_BM=@|JXfV&!nNzZ=)ni`#0;N;oXzTWL~n}L~*j7Y#d!5 zYcfBpTgz8tVFg=qit<R`H!Aq-%DvPx9=bC9_2v)80&IjFt0gNB_AGhlCuc-Ax`D+c z4~HG|L0&gMS6=9MMULpT<F>`l4!h<Tj2dpREIz+svP|N#)PFLsWuD0L#|RI0Oj!-? zjWFi?OkV`OBR((n?p;9l$I-7l-m}KnJ@<7dD03+9XAMug)=k%(&Dd3guFz)NdSNkY zNuq4BC%Z54Dg4L;Gu@{&=FdNW=EP{!D~q3ZT>dd~x{uZQ-S0J?=P!re<;0d#ZPwdx z*Gb^t^%b$Z<4@3}d3`zSZ%9+i%yvP4e<WN$8Cnxse#DuV;*sPTMON+3g`MldorYmF zF{E|Ok+cejQW~3-<Xie!mv`yH67Gp|$oGB`_dSe##!<)4*4Wvc0MeCUcmJ@W_`xav zn*PwF*!Ar<i#uHN9C&($Xbq0pFAI_Be~QAViOJ7Gkk5GCQp-D_D2J`R@#!j!*Abcp zYkP$*pXa<o>l0>I;Mj+0hYhxj)D>6=Iuq&SiEKDyvB$Q*>Z;fr7W;vXKr%P{aca!; zzWshkFEbCqPHSQ3uvy#Bw`IVtYhicOqZ<s;)^CSBzqE(53EsK~-bpE>96Z{hYlL7| zpT)q!`m*wbVK)fpwitE-uKhLe?hw44oL|xJzJ=0kbpy1qw}LY!H7ToB&$aasBE5R+ zoJ-%YAQdGB8{1B$HgyS+nQ?tD3c<n{gDBz_6Vo@+5h3vBdZWU=_H6bJogbP#^(tF` zI4zJpT)ZZI)_!#{>>DM|kiiak9u{S}owJ#t3T}$e{1!D8ZA7RDZ@Z(SZ6nIAPw%J{ zXPW=x48O|KZu4Wm?991K>ZO&IjlY-R;V^;V`FD=oly^JBKq;o!DH)Rjp0|BIjJI7! z-|6MW^}Wwqyg|}aZjlI!TO<l!^U_1)gu_(Ny=fRzJLvfl5&w|KmPmO<+2m?qD$mz% zatmq-zVHJw#c7JNCyGF;Ox{5jpfTYRqp~O6nv&ZG*Ie{5VNw(GuRz+_+yP}NO~$B+ zSq5BoTI-S5F@Hnp>?d$>Qp;cx6(RS2b=*{v_ejGJVoryFUkd3@f@JKT)@JAH?kym` zdfG*}1{7E93iB;lkw&f@Qm62p9Xt^lEVB!v;|SGKRIZ(9$`;KyH>5f9aH&3mc(bKh zGrqs@+Qv=~UFF@v8$m}zNnP26&a2zoHrs(v&i95BR#*De^8J@fk-N3SX?_$+)rKve z?LL-;MG@S%2)U2DoZakCdt)I7)!SijooAlSnGdr=*&o@G@Zdg>m!55TCslMbl3S$T zBBU|KV<x+1e`1EUh6N7MxzAjO)RM$FvJ3<4xS*CBzQKW8uE`8^bY=>7I6=JMdWw=+ z-J%$5HeI8_))#s7x`!4;=F#;<GC$f>A0SE~!Ntiky=B619t$th|Ja6le_-3g_+dsD zl88x?78qkpsFWm&ZHZ+VC961L<G;H`jpZW`d)dAVb1~-p>fus2^MHzuk&%;yVS^L; z2SxF8U-DUNeK|U57@`t{jUT)y!=_w)>b>AYwgt;VkkSONo&S25CX!*Q#YFS#Xc>Sy z%pk;WYfA<z90)1H2t#Yu=J*OLqzFsI<DmRxHo(33TQ;OZPJ`o8_2(k7%L8>LROojY zBHa>)W&1|u8UkzvzQQYGD}`?5;y9y51D=%l@mRECM<_mKZ7UuoK3~3*ft^B!N?BDa zp490{n}KT^C!z*kEV5p$bn5Jy*JFT`%Af*=XiH&c77U8Ml-w{m)x;0<LNDS2;gxN@ zrY(&e6|qNatnll2DJ5|_pYx7lrds_;gP#el33U?JPB?8fl6DRIC2-XxRY^tY!=l6` zoJCSA>_BQ;O{GgWtP>Pb1}-Ma;j<;u@gA04508Z!qM(aJVJh8jVTk;H&8-(F7;DD- zE{n@%9oorq&ug2m+bB;f2-~RL8L{$om^uGyFm7WbuLS&`=H}BZN?`=KwzcV7#Hm_@ z&&G7pjER?aM9RD`!X8^dKG%h*T1k1(naKtIpDSmvTiNK<@a&^NRfCFM?t1QJ>jB@4 zYNRQJca)QV&N2U}tohu16ngy;t)urPECC%6BQ5b6Sco$bw8?r|-90WsUS!~D#97Kp zrOx$YETy(d&+`)@D<RyXM{AKaxxT(rjIi8ojjq9<RaYlGNLFA(spB6^-y%V+8k8N& z=kl4Am4D&E<(oZ`UeTQCs}H(vAD4Fa$6WtMCR=)I!iM%v(cZC6q*fZ(f>(|XN{IJZ zgQfJ~DLv+WWfMbh)E$V9O*I){p~B_WX&=XyrE*2C#unItQIHCPRq^lu&aitpQJ;62 z_xsa?5HVIt#vTB|cV<ImflQ%sU>rXoE7mWy)dX8TB&+k1&Eugpvj#$+RJ|rn1CjKD zL)N(ta+sGhOYhdS5R7VK`g%;?nw1_v=juB;MW?3X>W*eru|(?Q=|pk&j7sHz^tbaQ zv7ul=cSK*IB1&6-XezRuy`uwbIOn43MFR+wp5dhy%8Ul^DXcB<DD|5jSXvPGt%d`7 zrA*nA@P;l}KDGE{=4q|Q#-%2&_c!qD^t*|q?ZBL0GNn4pgsAHg1oRRiv?ys3?u1u- zMJsFMvf0r)^b!*EacLr(!V`#edu=~Ns>)aVY?z|8?{5v6OaqY(_o*TfwS&@W1JYO} z8xFvzMolcGb!O*?Mu<jr$4Ix|p^{BVi%QtnBrpEelkVaXi!<Jaa|NBiFSdGFI*+&k z_o;E?epiFp5>B9DTc%~Ta^u7Fma>d9bb|#K{SXH24ZkuLbXc*H_}0EXCDYz6<|=F$ z*9BZ=04wu0kWA%y+OwG|cfX9vn+JppdgWh;gXC&E@}*;!DPog;P*^I}dk`ec#Vb(e z?ofE{QiuU6N0~F@NMDHR6e-ke8)E_e(l;x8kJbywxwMMlxlS@PD-&p8Ak)#}n#W-# z5z_(D9#PV8LkcnyZnCR6%LH)YW|YcMS}I!DxT}mNADDye`iYPWZcU<MLWW!wU;0(m z${Yn+lF2X@;PjtjFhgaI0oi|^4#nJHw0zS$s#dxRu_DxbE52Ft+gW_j6|sA~{SUZ@ z9?=z&U0&M-f1xj3bEVyWs)~@CB70A^PgA38uC1*{XyTq~dS#a}qjHi&QY9{szYi!y zS<KVfwRLm*LGr2EXEL}OEyauSc<86|gJX+VzokfYQ%M74_-VDaNcK!RrVW^=3V51% z_m!|kGJ>SD@XpzUj}vJg7b+yWO(fOa%c7Fb*n-E)Med1Bn3v&b<;=q76YQ8(xW<v0 za)3+*enD$m{vqBrqgdBIGQZm;5=^?zEjS~?jqU3we_(?BB(D0p;n6%kusgW(OrjIx zt!0k33(LAPDq(??BF!;wXf}n*>>aY&Ab4C$k)ntS46uWSRy!aw`{7MT<DlGKm`St5 zT&*DM=I-))^m3<z<X4||`sj03Zh=k-Roq%FOrVPjGatdeD;Dy_S?<!2b*OoX0dHW$ zs?Vs7*tCNCW9=wg`Rl?%2y5jl@{m(fnpw4WF?p$c#0rWm-76>L358{h0eed)Y~g;D z44#qTJ1b<v7i>u3!i?i*X336LRa%9Yno@Pir1M1NxX6E0ncjZ58w5b7p7e%V_T^HK z+sS>QOlI7ehp0|}u#fF5Tbd97{3|nyM>{`spc`VY(ozJLh2=poJp@Wbc{NM)3j+i$ z1~I<G;K0Ha;;5ylVv?yr)Bu)M5g<bB!gs##yjpa;fvQ=}j>d4y_**p9!7^GVww;qR z6Fmt`fQbs>NRFiP5BVawIICmCNSJ(rOM9w<0PRZokqQf7J|dB3d!Z&>22tSZB`r~I z9w1UC-54$HPLc11E;G(<0Vqs5(I(OTX{U?C>s+@AZq53BTZHOZDGB@Y;H>4`Tg%4q z#cO$~Rs|3q(N>Bd`(`H;sd|w909iexXy~TFf|+wIwi@hQFI0*3J;Qc4+I#$GY`z#A zX315n0a`Z=__qAy9cR;Jw{d@%Ioma<K#2X`jd^L6NE(!-JTAiKc=4gb2WRm4fMRVG z>vOxzlnsJ#yVM6~Y$L;(+GN_YcFx*)?6Ets(4hXEWp*z2oQ!iip8bFk5unrs|7JCI zU}E?eBKuas+~&$`tqO`y(<+}(qMYC)6~ay^?pbyBZ`BPQj;2?>pzoe5b%9?~=Vkc; z@KT^4WY551QVArskP{(3jVfeRut9<QSm)WB)8CF?Vt>=g$}{Q_X3AMddxYZ<M0PR5 zZ&HLuNkpeZj4g2KmP1yKX`f~uU(ML>n^7gikLO^AQfpYZtxD(6Mp205^YlHC5Mw4X z&V+w!TULyvRGDGpW`=K(OAoOG{B3-FHiwgs<?$4V*`!Cl_N7d3WEqn@o`x-eB9lJd z0MOW3hwdPm+oWk%QI%7`2Pt{7xX(!}F`rgdSgG)FIQGb=W?Mq;`|4Mq$U0^&ESlzG z0L^cGVAdnEs{>b(ILI%!xZyM2h8g&(Y<P9LA{*N{ID2|B8@!%#R=6b4AXb^FT9|$# z`1aUX+NRQqGRCJ!S-wAVcd=D_=@s398~nY6+R`t}^`M1V=!l@#h{ysDO5uQ>gMVko z)`P}XhGBh9NVp<-)vwjLW!ZFzJQxydb?&}pnPmEfz5Kyn@9QSZ=KZAa%Utfl+MWJA z-VRP79TaURG&jW|f>mWcOWcspdz}@&7powU+GS~wrFznQye#}Tq4kN4J@lvdrjZjy zmG5NJ&~o@pKP*KIKP_!FAhi>M_~|+54`N=zWyz^&V9fVADP^5|+nRII|7h|o>!P?~ zH2p<4#X_7`+{K<3Yhk3Cw>jSaeW#>9wtYvT;qIvkNm8V=sJ*!jJO7s)Om;JK*MYad zKt+oS+^fj3QfM5joiI2~1vJ(&F7u~4O1+KS<Cx}Ajc|!66k@a#hq!?G(z8jV@qU7P z`7r&25hk9dR2hx|G9$bqDkqXq-aBu<SExQZ$k~?3pA0+LHM^9XI^~-sV;O5UO~?>$ zn07arR#3GAiUsaVp1#IRP@oixosH6~Wde$AlVO^;f}%PAn8|DS$co5DaIxs#aSFK4 z4Y|XV$WNV8)&JPNhQX1^QJ*_b!~M%iXK*>_+V&u9Z(+<x3)K>K6?y&$`!}`>-*u<< zUY_sbWMH6*(uW(35PT#7TI0NA6BPJI*0DR3n9mK~B&uu+w=P%Wf<EdWO|~Z_iS-Qb zlfor633K(%*Gf2E<*d@De+gVC;Nz7Q9omY@3p#6g-kpTm^io7zvfZ!;|NINuaSZjs zrEpo26hMO_4*~i}jjjsu)&s4D4kn0qJPX|9W;hS1)KcMf)dYdoT4EGcVEzkcG(Q?~ zI=pxVzWuP}Dv6jR<xY?2-NWXW#aWeJ&RT)`V(g1)OkHZPrL4<ll`blYxF{QA%V3Q$ z(mSG3Q-P0NYgD-6au1QoNZ%kwohWFDVK}jEiL|3Y80GY<sEB)EYz+iUIY}{gQUSMo z8Pk+AK4Ajk+}OFgUVb|Z;h=$GG1j6wk|sfkptWd5W5co6N<2Mb;{D8UF}6M~75Wb> zXQVF7V(i}j-vF9+B?1!}*^z}<Z&mKX=vd3tOe}iF6x65r1%LDkpaF?;)8WKD!ka$E zS!HHZgkl+4sfvUkryK|wS|}wYPoD0#KM2~C?V(GH|D-HfqDKx8qb%ib#=HemPbv`- zOUWv5Gnlx{v{1~7?>(##s%%Y<o+>0?up<vGOY!=HKLd>utIS65$I>&ShZ(#2sy2|! zitjwU9@TAHn4I2mE2D>b3-_DHDJBD>Rq*9!>$<O7QW#mWO7Ox~{gz`Hh*L(Lp}j!1 z_{5=&Z$Hq|;$@ALn}0z{9^YjL##aEnRwiY2HCYM5Cem!KftBOK+}<pMJKYDlVK!He zh!BiJk1fRM_UXmVP{wFFsa-`lE4}ij?OhJq7GIH|$-{*lq?TGryYO4)O&qpY@5*mX z{J;!ViuBGb#WNIRii61ZY4dG*3G=F-U?IT<5K61NL4gY>p(u6Kvc@<52gbcmb+1Yz zS|W}F_i)u#3`(n#tZ|_tVk3<i#xHX#rwK*PR``CbijQ1o9ol7zaL6^Nv|r_lQY_Go z^2bj;pjgVm4KCh6*eN1d<B8e2eHV!Oomcu>sMkBWOCsPtEZ{<^H<!r&X%kMOPIXl& zP2}Pq>B;p&GPlNKe^cC(XNrjk6PR$$%H3M34&tcXnjj&@P0S`->uw%8=3QZMCuMy; z{D+?tiwUEh3RAY+Nvbjq<_nDXTFhn;Lp&@$T)doTh)VoLmQsb%ZeYi~qC6~8BYAu^ z_Kb4LINVeLE->*a30F@6a;NCYbtmZ%K+pPmbSHe8WXixdn(aRAqw4{O^;ceRWrJS8 z7_av1Df6}OD<jjV`DyUAoF@zN=&)tp?xvCvuo!NUA51g43k8{x87~Ff*F*v<d3-El z@Q{Eb(OIrj%}ymIVvK9T`6VaNvvib19TWL!z(>_f2Kw4&+cM-p#U^5mV*>RRD>$-b zvNdEc!#(grm>3^?AcG&mjo4F>i40<!ynY^W0|WG|_9W|?jCfJwXxew7PTXJ?EpDTY zO6;`|;7sC8pDu!Om|1TkQ+h0UG2<q(IDxut?J{Y}oqk?Xg!KcU<Cn1&lazVs#Q!2? zk4$(S)si#S@!4S{+&nXt3DysWAB0u<s(n5xJyVPxGvS+Jym?C5+vVv9yqQ9&Yjq$8 zCE$A9-~%2i_%aa59(LHQW(}TD9Y%sIHv8#XbKpqZJF7?~@M=h=jF1h-nQQe(ihOvW zzv%qzEfb>dkeCKTXc?U5iKL4u>a0P0D#q2hp&j|S^ub&5QgKRGP;3MDBU6oAL~wZ4 ze3qBk(JuNuOz>g<S~xG3&qq#Yv_^vU2Z&CxN*99>k)c!sQjF@ODWZcQReYq=@qssI zi9Q-5!m2inA*;CEGM4Wz#|dK2PR9XJJKmGjOX)bX{HvdFy0!dz^<*7CodnY^Js?=O zx8c&Pe-Gg`ACLCcs$Dy}O+fPxJ?60GELC4R|Eu%Zd0KY+a_%(5jcDC%&-x)~T(niJ zY_IbC;Ndepk~5<!JU+70M!C)ZMeyIJz$uR*hXsFvJ9I(*4@>Mu_J&R-|7{|?Sy54X zkO9%}SsnDjHD<ZBYq|v|v>`=K6bZ`GX}eHYneJ>0_sf0J7B+zw4UW*g{oQGI6ayxf z-2z*nmGqwHpvWch#)T_0A&15l%tk!T4D3sTqdwNmVy90NI|H2-%k+>iCww5p{XwxV zl&R_5ZkQ1iro7@&0V*}DNkJuWIcnpygY#HN?fOI5|IS*UU;QW)sXQnqQ6KcVGAQ8f zQ=UYG8XY+pI-YdU=T1foCi3D9V5nU`BxSFq{wFr4)Y2<cyc7Pc`q(almVTr0;jp8u z&9WYy+CY7sBV)w!tL&h6EXoF{!0iLz(_PQczh3xIDJ|?~w+YA+|3ee;s*Ib^-9T93 zvJJMz0*)tLwm!()U<5acDoBw^KKKGVf4ny}k*Zx)QZ8ZAMM~jvb!k79A2*VvhyBj5 z{bc<A5BZ=!?O^zT1_17`008v=X%WKN!qCC=zXBXmG_2!wMA33{PcyjP&Ahza*xeKM z3VDE!8kpB@&^^a^+()L2Gcw>hf9bGy3O6>=5#sFd5fRZ7Vn<jcQ{nrg(kxL?)JKXd znodx`d{}A*sog?Ufx_k+LH_cRd+uglG_G8eC3SxH@qP2zK6T}O?kFF<e}XwU$QUje zKF$)ey2269^gelN5x;YOKXsd~X=0B(s@?X!?-}0qeu^s=S2iZjC@Ddrtc||3u4{VU zp3__F+Bv=Tm>KfVl?D2c#0qA4@|fXgD+bT-hpiHf0Na0QIE@pR3;pi!PY*w3u8GdE zPj*MQa%*A00*ixWXHxa{o83BKf*_l+q@1alnx$<j+C$s-ah8%D?I$NX_FAD^y$_W# zV*~dyl+cWHgydM979!TS7$Kw}a%CMMT_*_<=_0N&M-~{$am0Xef6O*VhFwx_s?7}u z52rk*Ga)*vR6S2R)&VXgI=7M}foiCw9qkEd3qMmp_Ly~B&JF;rY}a=F6&TNQX+`>r zvJk#FUfDnZ_Lyl}hfIHr``@_?+>=bZc3K<>?7mnTQyUSt?i4$@b_o{B3RL`>2@IGt zfbWV6825MR*;F!J4%r^6A(wGQLV$b$b@q1AuoEwIStLWKj1-hc-2DtX$-q8KQw2~S zx>=nVtXRlArym=9nKC>_S-H9K4OaF)B>oGNXdS{mSZMW?o-UQTqmooG^GG08Z8~&s zvP1_pcIEhvjWM(Xhn5KrarF9vBqaFc5NBKhxfVM5;)`~*(!>yF(JpGKU6FmBJ5WkF z)>ec*vHs5Ysj`dp^$OQ|Ns?w6r58jSbRlIrbR_)Wv_|#%x--?miV2swQ*O6{5)mP> z()X4uby)TDtiUY?n${jN8F=k#MNFW^LPw5rVTbjyUKLhV?Htk4?Pp`SEynTNuWv3< zgCU^Fz`%wa`k;vEbhW{=5hQS0Zmf$oXxOnaglz(lq3qNdIbaZSeWB@!a(A&xMr3=1 zbcsr1!$gttS*g<9pgwxyN|J3ML~4!BB{DT?<!hK_Bqkk?XErJVEy~e3gGWb(mp1Lo z)yd9a@ScVpz@*0jRM2a{Od}>LqvK?AjuT1^sLVM;KN0;IgkZ7EX6_a57={ZdLSryI z)24U4VR?AJUUkuQ0o9aJkG39TIaCqnX7!476BD?rVRB{$kDQca<dDw@8ojIN#03dU zc!w1`^stU;n2My3@}LTVrQ&9vP5R8(X9hzIZP*>ov*~~w=^WXi1D09hA8i*wTr&|+ z@qa)>4Aer$9lXq{18Kv@0~V;A-rYe%|MYtQMm9l!)S?QqkYuf>cAQ2*)w|9T-;v%q zH>}<}a|Pw@mh^r?H~V0oh~_hGQ=t#m{}OMe3Tt6F9<yt~KE}mAw?Y~>#fHPmccO*u z6g4Q_8JqrX7ze3RW*&)RsG(AHW%$yFokI|09a6VmE@B`V;*?@pi4L!yFmi^LRkOrg zgGd8I&|@ImrUodixXn;m4C%%L>h{l}E_O7_qmC}9KY&J|xJ(;ZX<~8k6&C<uZv)0^ zn@1b#ldWZ#XW27DN)}oU(Z<EK&2p$h9T_d&uX6)s`CwI-IJ~QyJU%pDhV&5*S#lr( zhe+=-C|*Em;{)HlL}EN|rDW`mnZXOTe+=jF?nG`a`KzG#!Y16)p@kF5olN4&xiu~# zg66=QWW5)4MJMK2Sq#*MQ^!YzrlNJo0Q}5=q%ush$6cMJOll=v*4GXD-m2^kX9ES+ zsXB0KG9L7f=_dHBt5R|5HmBX4ix(6uLxL)c;Dhax7GbDDPkjGf2R-<le#4F^(MQ-7 zV0t^&lEeV+lNTX?ZV%B+vNbD0vzT3Tj2$ZJN(?h-sKb>)jbU^Ha!eCFB68no=n)VR z_@**Rw;JCNDwFO;*{N}KBkYnA>1D;Un~oVmz}U}x9K@^IP~~P;x+Q{uFpxmE;i3r7 zKQe4F?q)|5e1jrpFSRX5#xo{j(a}<*)?0njoQ`oI$no&kd6Zc1reuFupU0&v4&i<i z%RhVmIxL#N>_hDf^^o7xhu1&$Hp(-3clKp5THnGm$YHer!=eGBZ;&_De5eTAX6TT; zD>v!Oqd!=MpS*JMm9c5?d*p@3Kl+xZH=rE-#U9gN<I6V%>C|2mA!WA2=pSIbJCes> zk2QN-ADg*!1U_geYrhXg<OBIo^b2q(>4!#t%jlPUtIy0g;XmsU3}H#He*ZQuk#jGp z)FP$i;)3{f^Kx$j`RTh?BaVL$u|Jk%u^xc&{cxMr<o$avSbi_wP=k#@2Zc%HP}m}1 zat?75oNa>aBh5p)tsTUFmB#l&W^^GTZ1=%8h_qCbt5nnL9Dj8oaCh7DGKt2MRr=Z@ zRqqp!=1oJd_4YTU=?KQCsVh|<OUFFBE15Jf8V&h{-6Nsz5b;Bq*rP=F<|g>L9n>ZU zlrLsaAG<%VlicGNKCQ1+EGR(iCRglc#MVCHPr_ca_&-;u4{H)gE70#1NWa&ki+@mj ze}{erfCj1}jtPkd&4KG9^gG7v<+$g$%H15y`aO0CBkB+XS%6+!gFbiD-X}nQEkp=f z#DE&c?1kV5Kkqs~;a_9}RwN88KnW~B{Z*PJ89C-71U+Ivkz)3QWA;jl?70N}u3okq zUlRH>hyyE-e2S59FNgN}@F2EPL{=mamm$B3kh<Po!uD>2?O>-^k%u}!!y)$Pi|&ry z>=anF=o!j@CN<X`-x;lnpo^X=8P3Z-F<B);74MVNFH?QfFBPDRW=I*=D_LMe`S8}c z(8Op}*URuL4PVZ$78Bs44VT82xXyL3f7dnr+zvw(`;;_lB(zM&`0(Xw?52p`h&?Fc zD>BrBd^j7cEnqk&`}s)0Px0wOfms2Q6)IFweU5=X<ju8w1Wl~Dj)BSzuBcNa4?d-K zpuBkbwfr~h6wE#!o@N4ckyJ`LjV#=K(0my&jI5zbKx7=1++Y{t*<!+D5kn<)b1byv zV3)x;li*z<uttKvucn@o!Z8n2#lzirK7<K{(1M_0yX^vtDlRSmwv5cN3H2VN3ZWVz zSY3tGG&Cph)iSVn46aQmUSQ=c6D})sGn%8>7=7v)q{@`ql48+Rvk}Eh8&@MFT{A}Q zn%^<xAypY_yb=IR7f2h4K^0uvv2jW@eCd*nRl3>UF)T93id2HNv{LHD{YpPK)r}Hr zd7?>&vNMp>!c-+C@n)J{mpMq<6eM2Sxk+V2ofa?6I~l4pfDueVl+xfqs_~b0U{icr zXEB{I={Q8G72Zk!u>yZRxMUx-5Mu03C}Zi!%aZqeRCMzFIyXCeZ`rl9yVpapeh0)Y z^tGnEeq->>U4r>e)WnqM)5{_YvM~54@5J|ZQRmh0amweGz3q8uE0;qbmwl4slaRNm z<8xz9BS!0`^>quz#=Wz&bLZyMUv#AnrwWx;Wgd%T^`h>arbQl;6mLo>QmOixf1#te z95y+f*qfHkD1#G^*o4W^BGob7H*FwUE5Dc5$n*=Y%2T#2vX_fuUX6C!Jff<?4-S|P zI%|8q*yA+M^hOlJ80~EJ;E3_?vD#JtvNldLCf3hr#vmz)6Aw_qV=_*dz0yi$NNAZ; zDEN}8?*+J)0+)IB30}}F2Amvxxm}!e+v4Bg;QtdA@ztR%Zs)g|GoPu^ZpScmg%aQ} zz!ZG7Ett^U3a6p{H_@DyS`0^k=|>a~@<IO-1_y+f@aG%oe-B*%|7$NwSlqKC%+Ijp zKk0vr-f=K7`Y*ScqM82FfCLK;6YzV>Qsh?*2ZV?uGf6ZOoNEwJG4+5!L7#x2F{xav zZF9d@9A?3_aZh!VXn%0wu<4a{x1<b$r%Q6GZPR7Gm`IBW)0u3d43G{$4xj-bBFuey z_qqN@X#ncU#WV^6TCDilffF1$K6dKNZCh96=+0dRfYjU<DI7x)+i&Cv5Hxt&Qvro6 zT?B(h5lII`P<bXRmB9HB@k<>8+Yk<^WOBfo$cS}Zm+yjLUT)iOzWKF(byrObgC~@& zb?0eHo6$e^)_q4%<4u2k3u)di2~npeVaJ`gPrFxnUC-`jUVrVNA(PAF%-WfyeP;;` z><=wkdiGM102MGu18unA#2yMD2_qe_Y_-@HvLab!m0D(sX-IP*;snH#)?k)$J5T@n zw~kh!mtvmoj$cO*NH}1U?tLvGYNK<hWi7nEVPFa?q=c{5{3rOg?4*XtHNI}Qv_h`i zBTp=L0c2zWW0avpqbtnujC0>mp(1c%(0onyC!p}CBIK^9GA$Z_wfp=j9}jT}s8Z){ z59ZE2HC1#5c4FYrU5&|to&^htnl?i*li}MrwPeW*m@H4omoOudloTYL#8e2jNGu*k z1|&Gf3nPLWTEHR!5kW&G_eG=_ketW}?jx2RL}qyw9t6Ic%*i7~XG<(r8gfPzhIP`N zV%=5`7oW_MijYs(<#)~ZjSyKPC`5vyo1Tl?11s==0yJD(wUCQEAOY2Ekprmt|DQ}i z!_vByWb^?cV5p;WIT3SM7+?^piCPNy$pomno<hW?|FaC2mm(6FXq%u-sSQO|FqLlq zl>mF%TC&yu22h??);4uUqYi1x)(256s2X?xI((owF~fTCU~eL6=}PCre)0LqvE6ae zQiL}j*e<Sp#ORyBQV)_ZvxA56M{_K!-SN8_1cT6;E`S@o_kH0`WIb8*yaZMT*30QW z^azEKL>f8GnbXG3R&!rGpvJh~_BVdreC`|th~-JL;1*HZj|M?VITlt{%?H2Bb~Hf$ zZ!P-2cXVy@Mpo%!0{|L73FUtpetOvbml6SKV3!^Lf43U2nlYIEuN?=5EDZc4@Rzy# z6g~t^!xu<MvkdZN->_4;)<p-v1V0Y24G5@_a*58>zlEmEOal3)SiGVTWBS=LW_h|d z1sKS#JvNCd*I*gRHz9#NKlKv$ZHbb)j7&)W%+$c-4fU}3ed}`lJdEgK5jA6zs(+|f zu<E7F+!4RW;loSrKu~1hB|_D50w%KmVUi6kL)*7s$CBihI~sN{xCX#xAfS*vk{bF5 z9HS7Ko1)vV-zhh6c9`5s%1csKq>_3vq6V1^>8JYaNtqgj8ZQyUr&s${Ec47qP^;yu zhwmvs{Smdeu@7u^sXj=26j};}DGUCWIimRyzh|?vxLGtG+I;bQx{$gY2QUpU%~-1U zcLTQNP`qqBxEw;tnBHrBu>@S8hw&)kaRs@UstbHuofH&fY3!TNImbsEv~DfvkjA?^ z@OevLWgLVeQCebn>n!+t%>Eixm^c&+n~x#!SW(6E8Ih`j$g3~WbdBPg;#>BHwM|I@ zidi+`FM=b$Ga_9lO~ch870WNw=i+53{2PZ+)KBpSzc=4@1V^>z3li02`N3Z^j+{G< zB9s=n47^UaGae@TBuexOth^G{%kE%|jGM?z80wLBJbaAPd6Ont4*Em7@RCGGlm=bt z_pEfk>#^R4;0Ao40zwd*mu2xjpFaa-3$S^RPJvHuD46IK=p6fXOxOC>*L@ZF0T1=N zp_Pu~(k9D1ANx+{ZIYe>lLXU!DPPU>>v*>RDe4Jt`tqi0MHZg*?Mxtp>=*mvJzSmj zU<qX)K;|=hzVPK@-AZ=Pl7>`obSfM+F~H8a+ci9&<=@d@iTnr=x06LEy&<SYWvuAZ z{*=5n@Fry>>O*1EFKyH`;@rZ&zFUF8>k#&C`&VWC;5>m;_j%IT7(@3g$WaCOWz^W_ zER+=O^Bn2!AJ*!TY1*xsP_iS8n3IFbd{1SS%l-6bUdD=iZ&V<AgDfl*eTol+Q!TsV zK{WA6mu1Tp({N=h?3XBhlH0|eIHr6p8n*~Y?onxJx8(y~knvdFeHt~EH_S%6f_EX) zQR9T=*tzV$lDDyfOCej$5D8Y-z6+1Kq3krX+1h1E!CAMi#Yio;o(!AK_Yr`MWQ0g2 znv^O8Psvs%f8m%ctnP(~zJ|IfRq<0YCk!E*6g4!gRAR(H+|wnY7VJi$!Bdg=p1yDP zOvTzb7gBHvG=5eK;Dz2cp98Rm@2euALg7_D`FyMMOrX&6I0%!6gdm>`&MJ@V{ZBI# zORV5(o?ePtI?D*7bmQRxD42dJ;KHn5R$_FM%cf8>S7GzN-|^&%+g+3c*X~azh*n1m zD?0%UAlz;blek!ZE)JH^l*C|4u;{40>7arG6<K^eBOzP1FF_B6&{tGf28GW;Ih89n zm9U=iH@6QVS3iC(GESyqZk4|E*AB3bUr<<;TOATLFmw?mI=vDI|E`H6;Z6w>N*DwI zOm#Q)8ywPacWWU*{A(vF1UY4``{XdPn^>eU*n+1KOI6fqL023kQgaP~9B&@q*H*&T z54;w884B;r08wvHcL%TF{5y+QVa2$elbv7DZSH3_EL%<(qu<~njEykAWqQyHc;|Q( z4c!UeDU9EV*Y&UQPunS0+)Wm{p3h9TT)p?h=PDU5T(GA$Grh@m#t%KxNZHY|FDDvp zgQufU#m!r`VaPVqwx?fb@yl8rxjr1Z7UM+{7Dxu@XYDl#psNg4qAF2)2@LOyNB1oo z-Btp7XKY*P_VhCr%!jzpB^w=&qH$yxC`s?TCC)Re(=?nK*gW*o%V2Kw&Bxcb3}i6o zFNw|Vl!App>BVX5f`Gc$ClE~w>`(ZV-5u<?14w%r;VXq8&e~HbXMerC1>j(%;H1M- za3!`(FgUDEl=Sjvi}{eV?J!wsjdw#|XcSuXK!oxDJgJJ5mutQZ--;nTENel@OZTEU z0TJ}G?q1@GYlUT{8+Z0ZX*8|#!7?7Qa>|V9`v9I3Ewb6zt@@}V%-w!`W^xyzM)2K! zzpZARq)RXC6sFg`{k94)sH0q`(Lr2&a~i*BZf-_apqFAIcWF9nLfN+w2T`Rm2a0JI z1rbqZ?ZSkm2kNqTDTjeVsld)LC~+riQaude>7enhvD-1$S|~65?9<5R=uGiBN<lZj zTGV>rY)4ljf?-_{g+aSLB#^>|H8zA&f=@WBa^8^J8CA#KFObJ#sGQq!m-Ewmad_Oh z(#kvW8jSsM@Sqdcs>I?idn(c22$R+o{qq;D#)lbL2YMz>`lZ%A@*33A&tu^uIy9BX z3J&IvMY>TzPbJi`Ou1d5-=*w=Q&Q=!jOw|b79Xe#DJnJic0I2(HBTegQf%i5jq9vl zAsyk}z2<++U8gY8L;mtrS(va!LVQ(3>?`s()#&xtYrLMT6jsx^MkwiQyR(3SiEC&H z((TXTri5Z*JH+okNF0Tii#tE*yx*4ywo&duf#TS|7vdT9iNvjrnSW*7-Vn-`&S|mF zxkMl))w{)o$f{6jW2``Yu_=14pj};4(g87Vc983bSkvDpx%o9`IF+_7OSQ#FnG_C+ z;bDYOq0U<-4A*kaNs=U3sdN-77ypE^ggMDD;#KjZ{P>(_{6(IcIU0v7`f>CgiMurO z0c*yMxHrGw&Dk5j=}0(NpP0JQym=eo(+6onqmfP*kWlmqQ8*ZO<RjZaGD-J~@$dMi z=@X#0JI?)V-g4NyARIQa{z?9h$DI)f!!r7EsEC1|++yeY=1<72SZ-FLyzC$&ybf|g zk|b_<UQlok@Q3<kalplvPL(*F=FhRIr0i6?jCVd@xcXO_Zw3*DfO7LxD&<#6_Ze>K z-F2ye`bV{J*LX#TUfMv%cHLn&X9V+oJ|eA@d1xH5-IT*0rF9s)eZ(ir(y9GQfp}4u zC;aZ<7c0h=9h#}&sTd0{HYLd9w?6!avN4mI2+V(1^@9=f3W)udu_A?Zrhl`oElQJP z<JE=3ayEqub9WlzUU*#)PysdoksAhPJ&;jRpqg3h+iW)SS6c(1%8+EQJ;;F;L*#Xf zm>jFPq=^<|FF#s8(uDW#G-S~n=)3T^S*;+Y1maz{f7h>Tn_(j6%mFD!lxm_`Advjy z5E2sM$);u>w<e_3v4lvNO)?TUDj&iDB@uiG+Qy@4;Fm^D^auJALHSu)^(kirCV`wd z8#2{PzmI2S<nlp^I=Lc5ZTxXk7AzfG(#%0%Ec02)1bQ3t#wx-wzb|5?EWRlTPAQB7 z{NFfKqOLUIk<O4|v9gcao?)dQZ_2>+v%@_mq=3M-V|sRTzvuN8Ba>Sp&_wHl&t~?w z))GyJeUJL?r+bf5Fc7~~Xv-lPJBC&Z!z!m0&RNBJPqvYmqv@uZnT`U1xJg&`f*S^v zZ4O{yryd26f7`(kA_ep}=$ktSekU?WczJmG5eMi5`BYy$QFa^qzXfP5ZvB}XLb2OM z8qvs6<?@2i%O8sjkcR!DYl8e65ZHzD2Xzygga|H7tHBa+XuG)_wGY8#T^k5^4|;vG zL0<F5ta4_Loh>fe`N^_yiT9V|h^Sj|Ex%c~#{!$u=SKq*N&(0r2Nv_7Y7A54ugbZF zu_9hf=(y$zxe~3GNRvlYqJO`=G)y#?xRp;#)<tp4&zbm9GGYsTdPk`~$q!)a<>~kR zPp4pQPXLJCG1X7G+a63&C`$+hLGJ4xY~X4~P3fzHA#>`H{w*vg{<Z<Ub{wi}CTFUh zK;q@_9-I}bWx^+BH)qvr85ISCb*R(cREm8}1^U7Ubf6UzPtYe^YoMa<R5orXxiQO6 zz&f<D`5`fdvV)}BXBf9(+p=!zNWTV~!fZ5^sFtBV51;hXaQC3{^*<<k_nNu?s&0&d zS?)>6n~|2nI=$z2LVPlsaVvyDCj+B<+P4D))L9OoZa|UC8SVP;N2^!AEJlD}paL-e z0Wra&IK69sv4|)o^VwQ1p7O}@-#pRI#0;|Sjt@--%y>(QVQ1l_Rn8gMbmYrGFQ?~0 z786u!vB7yaloH~%li3)A!tG2)r6vB!x&OcDdJCYaqpxp#d+F|QR~qS%?gnXTiB(eR zZrBA>y1PUg1w^{U1(7Zh=~$3%X%O-C_dfIf-<aqBojLQJxih!s-h0lu=X1`vG&v0P zzmV#p0YIjh>_<(LP1$q>!%@s_I|e2S?Lpq>-Sz7v$VxtTq8Sbj2gD3yAr(Co2>v_| z4E?lB!+mepz_$)sI0?53`TParwyIGjMm-^we`6<{S9Za1&-lc=_j*-)3?PxZ1aG_d zE6z{4<yD)b?o`@Sf;DW@d(t+V*lNf8^dgl4_*b7j;x}(^NACINyN?&SGUbX1pgGMQ z4r4VsN5frk0fZI9Z$-opGVb!1XF}^2Z7)5tD%F}MUQGTN+_`b7xRmqkU4HafFsj&& zJwu;6FY8az)8@}zO}iraPar@!k03*`;@p2erWs-vsLa4QtMAxgF?&7BOkvyD4(_*? z>7>rRoLAKXi3eoPuV3Vo&pVM%J*W9)1xnR?_V)7tsh9o3N90abajHj|<OIAwDBf*B znwp7%6_%^s+|T~NaXFT7ol8C)8+yN@m2!+f+Tf9;?LWEYqW^tlUE$dErL_rx%%ypc zHQ9@|%sSq63ZFUKpD@?INMWgKBoU5+lV(i6E)w<@ddfO8E(gg;5sXu`73Z@H4w%R1 z9eH^ynq8><*S}Ec!7nsVKz{jEm>S^T5tSz+<Rih4P9|AUOY*YdY<Qa-W*~|6yKn07 z=vJZx`$`R^q!U#d3Brt{;r*>J3!l}IJKI9^lZ?*awYG?;;*L>DOIoGZ?D!5>(=tK} zcmDW24$g8G-N#P_#qUDi>*6Mk)ps48E$aC-het%-fm&o`v4P?5@3=naB!k6o>dm>_ zEGCGsuNU8Dx8zuY;5TQ&a}KpO>p%2d-5oScI-G7g%ef?q(qekd`^4Nn@Qz(F#qDTZ zl(|vEds%gd{bvq?0>~EOBm0C-QmG22ZEvFkegM{6-XOm)pVsyCKV^L#PMG~{qb<kj zWbThJ*VBG=rDy2uHnK09nJHNsyRB&zzQ595kv^E0b>zN+>h%fQAMs{Y_y^PWyw5a` zW=JgEbn~=UYGQq}me<Kb)kh+K?f=h)a^Ds^kU!?|SkCa_!1dOdb%h)&DAHm2*#<@9 z){CU~YaLrS1vf^I_h+xW8>qmpDEKpy0e27j1WmusHKJNfRb7>GB_Kt`$y<43a5&j7 z8eT4u28hJyfy1BiC14f)xz2^>CHUd038|77*pq7}y4L$DAku@7FL|3i`W-}7=iS-b zrQuGqQovF|xk65kmdQ}j+q-$PM!)aPk8_<lzLDFpR&Te(;N;(Yk|mH;LcEU}Ql7hM z!1_J~u3P9T@O<|-Mpm+zQ>f-urV;^!e{ecV_do1?0L_pGA=mhvo$<EfKO0B=dgByS zfNhu)uA};1dgC_Oh5M<54c({wlNk1*EGhP(ITuw**C#fs7*)c!*D{)2UcB~fEMKqq z$SEiigHIUKskfX)Eu>>oit9=LzA6`bAHnxYv^2YsdvIq&@5}TD&Fb`7Gt}WW{-p&; zl?aAu=f%W<2=i&v)7_(CZy}eSsiv&=%^{0z&a8J-Nvyv}sl4hg`h_^$S2jhgRf386 ztnYtcR#{?s%*;pdG-u9#TuAfr$y6%Usfz-Fd2jY(Wc)_3C!<F5Y6us($$k7yU%0S! zS}eY4_#44j0ifTpxeKM?Ms;i|W2zD%2L^+W-&_{<S$~+?+bkDe{A()u$3M>-<6(5w z_LQ6`?&vQfW*1W%Fn-&K)Mm()-lzhInCCT;yb+!G#W_SK2&Zw(HLGOU*#b%7gHd`F z3+uCk`GVjk-J&N=yW~0%ZSwC;X@ASf&DE2j@6*_g+6+@b<nPJYbv9gRpNZK#S{4w7 z-HYV8XHa)Ws(&eO!uIUDDCMiC!N;rlkBVt)*mBNJ&u#s~+u5LB{HJLAAZf~<Re>aj ze!8lj&;R1*R{${#CX#U0v1X}W7sK1K6=IdcCt(g$&<d2y?_(2x<$I}q?|z*AhIt>n znKSC6;UwSVOt1Q_TTyl9uva_R7M#4`2pAPja(ng+#^L-srHuc}Gg7PBHJ&r?eSZ(J zT(-M-XI>qxpR31wzC#4^7oS7Ewg^YVGN}NB+AHs8-|T5Ac~dsUD)$XbHlQuk23>)2 z!oD$c5HgOM1VInr=a)Wd&NNmx3f;;WT|hzF*~y{<=+*qrNAkCn;)!D~;>8@6?!A7m z$M=BcQCX1v8!_iQ1`f$;>H2`EtAPppBqMSenZtFJ0+S~%$+x+gSWi>K(|xU;*XnAi zy*?AEj`2AgQ3`s(Fg_KR=u8No>q{Ip4tDRFis4dZi1sVeA<tA9YU-@wcx7gr=UX&0 zZQ)#^q9E^5%}3*Hd8H+jOMcVR&dx~vi)c&I;^G-M_OTjIEe(qA<rn;UIc-}{u@)m; zt++?R<`r*YC)LmIue9*@xW2eD{x!R%Fv{d8|BP*@pLZO`kf@IrxgZzzT~0DzLp!%q z`^(tl{AQQ%4&o)zKSn0vR6h>dOE!YGnajzS57zyf>_n}HSz6QzCtH&aA1!oFsyH%L z(x*=J<2NRABqJnstg~|1@ra9OjK705X#Z$(ElfYFySr_se7F+&{JoW{X|M6{SGl1| z9*NJUq0g)rg7Y_Xr9LzCMqM+uyrgZc+b#1?IH@6*b8}nZkYVe*r!)+ubqLIkKUh;I z4LiUBVjER9bo{QEPl6`6-`!Z1a>_e~7fTowONny&?_?ZB0PhD`-PwVGf-%jz9M-Rz z&f4a)zhvyyzwQfR-Bj;c=B4=*<F?*2_$$*;BqS|<ZWPaaWy>GE@pE)U_hjge-fo^P zg5#6oP}bfV!l1KdR`NcA`I|*)kj|pz1NRIgO+(J&<ghnOCO})?YQxtEHd%WKc>^}0 zJIo=CyS+;KRDTj-uUi!R$%=L^_<Pg*U_n1y30?$Iemmrd_LOOr&egldcbGME1FyR; zSy<*um4c^wGWrf`ey-J~8s~}Q9ix3*6`7fun;dPLCEC!t%c)Yuk)wP<PeklU{N7Rn z|9N5-^}UquXQXrkd0wSUrXFj%iiAF4p7R9+<&WkB5Q@ABMWJ%wg%WA!yVxN6i6g5I z4CTwiL_Gc-N|z1WmLG<8#Z}C3B?H$FbS|JDbkbf)ESJY3zUDPFKj<q_;Us%th9Xh# zVVdVQA{y`$rt33GQZlh*^6Yy-+!-7*PVGsUC6aQrqm3DSy=1TdBr-2gPf^TMW$H$g zF~k1rM>?~n`nhV_ah`Z}z^Vt0(1t#|bGm8kJig$ncY0A&VJ>vECy_Vs8UCAq{T1zN zi@Bx6IHWtfv5qv4gS~umqlfzk?PgQ(Jli4X$FscobSUR7VD+(BH++wurDplp(XPli zU#&YdLrRZIzeV<W$?Kov_P2@;50Jm^l*eF0Yw8ZMKMP1pnk`=Lwo<PSM2yWE0OnRw zKn$y3(T}`tYZGR(6&zW$auieIUpUj571w^7_0QWFa(22UxGlMfLotmfq26+}hCi|~ zW$u?#@o}dEg}Qi8Z%H)$+`|$XJqIXPgNN`^)HFNyw}$c=q+Ad`6}_#xEgdDehK%U~ zqFk3>)IiG*KzgX8@6VqnauiRnC`tH&QkU%Xxo5KRUex0|$VHa9cZin;+EkePiZ?HX z=z!0Uv}nXYv^(WrJd!TjA6mke6w2?cx+7ljmm;got_RFT@Y*<8h0ylz@AbacaZsfu z)vGPgT7yZ2yip_X6NVw_kH@WqN!@@2H!^Ho$aP5;)yGybibl4%oV&t(2A|Eo<&8#{ z6r>$oD&~nMZaPjEF|I)0;IGLH*SPh@Bz?0bqs5PC{iUhJKrZ^O3S6DNG5v~vZ0Y02 zA`%e`Q4f7rRd4f~Ci?c(#{9gw7jqf-bibs}h)U>ljyuMtu>;+n1_tgou~DCwg&{VJ z`uS5=Qvnli!nYK<9HVnzj+yFe7M;+qJ07{#^(h<j6+`bREBn!>I#W8(!kB%=uq<A} z-_M7*$nE&=+*P}UpEx%}(1j|#k&w}VX(xaMql|IJ%=lfSlqD<$(2CqxocLv#B9%ad z44Ms!RF2J5UeIhU=T@mxl)-b*e_TEV-L9YVnaTV{{{hbU_wlbNQ_aj&8HY&<@B1Hw zOmh2szVE5FPogJZlvqfWv}xqOi3$!N(uf$=tQVgm=Ora(2cLM8q_(k1!OlJ$X1w9+ zh{q}vKe9TSs`9UYbMnAC#O8R)jQM5ukBh~>U9rXbiab1Q&Ha{+1=b>d8AJ72>P@ue z&Fy{pGI)*9P_ESD=$8Xsm*p|&u5a#a2b5=~bO-iSrqnI(ztz^MwcVsj8Sg#(P@@Yp zJ1fd{eGU1o>a^c5NUWUb=LF%6q>(k%31Y4KeujSRq;T>Q<3&v1OlLIT5bm!;+OV5i zB`tI7(5zqeLezH)tM%1Kx9@-&FW5BS$j+r>GguMm7QVajM$W~q5i^>Rn#`A@`zjM& z)z2{qc{KQPN%W$E!PUBFJg2qlhTFcwy%_puScm;I@LB*DCB9g8&43=Pe`FcGBcg8} z&hPgp#)!*KsDaO_TYq8Yo_uvo?UO!;(89xvYuB!8H3TMd@RZ5_DTQVQW&ca%A27X8 zEp2wiA3ASev^L4jzm`-u7jPG{P?`_bgmNS+&-O8lYbRC(7n+r2uAXr;F$PQZsq{tE zvILNCRXc<@E-{?={8ErLC8d5>)rNk(N5;5*;xOE4wzn$_*?IArO4mkxL#Up8hu9$I zjn3WI=KEd5P4NZSQ&%Qpc=BmGueQ*Y6sOq+1;VMv(@P?WweO4(W;r((e-vi|zZCa; z!vUS&<E7L$rrQPU_NnA=y%cu({k1(<4P8UH{QBWG6Gw;La|+Shrw%s-DY>DScyu?k zpUBbDps4W5{P6L|uE`bl{I(90TKztwJV`z59c!w}6OpAm;@{Vt8Xa<1ryqQN%QIBJ zp0@pe!%{p!mF<H6QZw-UqyHA%wYPKl-?$VH&D73+a48Y-|4M;n`%GBmf8tVNLL&bY z`DGo_sRL+zI7aeeHHL!dQY5^I8+~&V9;J{`8{G?#`*vQ2sDxA++r$=EzP5P3wI5r| z#ZbPjKnlVK9Rjm8hRB(9%qL0H&W<^{R+z^Al2kl=Li2F3vCo^lP1ycjEVA<cI}f%o zE$`-Oc7q3SOG#~(*YVT>>O>S#A5@D1sQZ$r4A#@>*_?(Xo}syR0JVZ&`ug?R(6ARO z3Qxb594|0dyMGR#%)Xp0p5$h2<d0R1sE&(Jp<}CzK=83@=IRrq5DH_8VnKye<@q(v z&#a7^WoKxTf>ylqzXnTx;Sc*eMtfwQ&TIbdOuFmOl1=X>SzJHbi&`h8XCXfZ(T2Qs zT^OS|@=Xk1zP7jh%$KKY(}_>i;whVU@Hyb<Q-quH@woV+x9E(pdynmMPZ_As+lf9p z(wXP=<I1<obS_`&Yo3o>e4o57NMzWB1Vl}{<SaAZiMs>?pB0D_)ctx5j;icf{v~|+ zTZNXMAUaZK7>RVE`BzqOO)_);EJ3nPWh}xK4=;JZTo8ZNAX~+HOPVQa;!gr#ixcnj z0^*B3*~P12B-g!i@4p>iBU92@^+3Oa8@NzhM2!jlyc96^o?^kex^>A?pfvHs)oyUU zPGCX2{jt>LU7s}B*WaP;VwQdS1Cap=?CG&zAA2ysx_M$-x@BISGU30;AMe{r7U)xF zPfu#-ma})ivgtIPxcs}Q&TFkWb3J=R1C39JbQK*})pi+ezTe!7CY8IE>X-g}pWkvJ z?vmhk(?byPw&aj*+4aDxwV4*EFOF)?lA44z_kCI+%U`b3?H5aM3^F317zG$mOhvQ3 z<bHfFPvlqA=&HwOb&uQ&>4-Gagr8yFKKr+C=Y;=A=P&yNDW`HI!R)P)9MHG{WoO}$ z;)BrVSa=rpY?;?=g)VHzviZ{?B?BaDP%de^(P3EXFKyw@O;leKWZHFhWA*Yc6L55A zOuXag-=CMCcIdjE2Kk%4D)FBQ+$WwsKkD$#C(h!YKr_5xcsNC)Bwjg?-jw)&AV?U) z(W}W_294LYlA`%Hj*ZlxM;_^8WJWo?(VS3dFf+bTA6`lR*~XP{yw~JV-O;t{-2UiV z{il$ugQg|P*Vr{7DhN?B0JHY|s$<Na0sZ;6&wy4+VRQxpF56bU`;kky2W+OAnL#*_ zQd!THQEo=W@;>&#<7q>cZ|NgQ#f*6N7v1QXp=sru;+Uv+O@>-q`G!MuwvGJnv?hQW zh`QPsZ+2Vanz+=IA!E^R$LJGYZM(+ON5!6Y3Ym7!N_=J#(zu-+OJ%3*%-{tH{Ntg3 ze-pq@l-ek*-s>iR)Ly6&<#~?V`N&1pSz1v{Dq|SsyB@K=i)nmlK$m-uM|pf5Bbb%= za&NZHVYslw`hE0+xuH}`o#H{@BYFMgNBzYDxqP;Q{0lbCE6oK~%}KLN&m5V5TV4LX zD;TCJa30Nk{v^$FVP)weL(6&NRN8P_inA<z%=~@2Sl?c|;42|r31(VlQQ-0bQt=qc zFk!ctNk3bcxLSZA&SKW^>GF&bAEb6QCbu8@fH^L|)u^07!;c^0L{N(A6K6&#D&}O9 zj@Ssd=ywCoPR-gwq{eotsTDyS|GYmnsLdZwll9Qobc**q_|Rl%_+&4?!BDHJ)O(s? zBVKTb&Rsd`;V`4Xl)epJ1WWqKyjDfLkoC;V=UsHRAH4t45S_cn7!Cy=HBHZ3IG3eQ zN^Yea`YoNmwC#KsWLsdcd&R$Sl+Z9oA+3x5frrx<cgH6(&)C#?;y`5AIb&h&Txv8% zUlvcRv?JMfU7+)qa7L43FO{Z|`YjiUMjuwlw))}pl}gH1VBvNQONs_ts!&np9)t`y z!=Uu%N$nk<(9`+t*6aiJ@lsZUGHNf?ZfDoVrXj7JQtwG_`wZgw<;G8Q6$gATg1=vs zAL#rlY;Jk=pASa-u#YGoz<|c1I(j;qX+>xptwfosABFUBEqmPe;6><BQ-&`6|2Zj& z-Dpk*6S8Gz*P8S(Zu@u(-TqIH6AgwWxodvR)4kR8AV)RN5XZusx)>Th&FKH78O?m^ z>K<EhFuGc#uN3`SGe}?Nb1<YOfaoiT!08rUYdgo76*GZ!(wAX|#)H(-5wE#SMOAd9 zQjz0S_zKKawmWiB6dgG#=i0*4Q2iflipp#=rJN5QzpE_f)~wg>Tsa_mCD2KD-t4OE z?pWP#?(P`+J9N$%$QqfV+uzB>upC^{WHN2>pKT=hK7F!}?kN!b|CFh{8;X$Rc^%_d z#Kzo)gwFU{&Ab%qqf;kf$2>4p?3$Uk;4XMPL|3(PzH&YQed`vHkz$h=H)PH#3{Sy( zS>dQC&Ff;;Cz8{DyGfW-<H@*G$ud-C&Y<6b5={%33;W()<E|~PI2bb4M={&y-UVR4 zxtM6H`YF*d|Bp?{NsYqhe5CvU;@SNuCTC%{$bduJdkx{^L8**n%Zw#W|N9JF{M&DD zCEs?APcum7aJ+ptkX|)-c=b7ed4aLtyfTK(S?iUUiRM;meIK1BzIZo~S=^e(wIIV# zYA@YHEfvJ@8K~i8`30#+MB!sa+m}6L-n1N;bdKwfWn)k`_Q9oCgL8QYx@~3YerHb~ z#E^Usyv&YlzJB?)t4U_?$rqV&b&u9|uGqp#mkn-pmTFFVbyD;CRVt{~kg-vq3(<79 zkM<f_$9!QVPBBF00+z?Wu=mMV)a^7NKzFaBXVs$k*Oj^Y&)cXkO;I3XC__TDu&REh zD42>*;lo=5vQu2m8T?ABQn_Dtn@)*Un1w|%wG^yOqkt9^rAe*yyytQPq{Lz)mEJn> z3dgAwqXfwyFEN7AJ;+!^I><ALs1gm`^szZ&z|pqhqTW(2CpkW~V9VsSIU|Gg2sgaK zWd38|BR4ZjwAOc}IZX;(V#OE9dB)hEVB_jXnlX`gm)1t!zbPDhQca6%2KvU9@n)BK z6#^5-h?MZgq*R<#1}Go+(r@YFDf)lkBmG%3y-=||diLk5(@fAMSJy+?zjRBDch<3o z4_Qx~Kj&u-;zr2_YWhg5M0v+McNsRig_%Ek<<2c@;bW9z+vrvgh!odABLqsEQt`EF zob3`d{pvmv9}-E=B&-tjd^|0ZlD0jpnGxG@AH06qrT>r>Vn|UX$GCU1hU0(VBr>@a zh%B|`aAZ|gNWHm9o9i0Fvq{tadvWxHOg-}_l}ofyW8sEL%4pF_bG8j>>cD{eLV(}y zzz2%luV%{U-|V*Yn4|<o8u*u3PPCktBlg?A-iRhuD8x~Wh1J63HqP5xO2{$?T;C@( zb^Q@HgUPfV$a#K$<MZuJ`{bL}9ldsK_(ewis+WPiiPlgxs_eZiSnKLZcWe!7yRZK5 zSlvM33mgCZ@|mLhp)O`x5|d8ElpM5-1_+eHUchTop)_g%iq%XDySA?0ELh5d%by1p z^<c5&R}(#d=|=85MC^3#2>YDt912RS%dv5{G}+cOPOARq!L)U&?i7-tm!a<-Ti(F^ za>~i-j5~rDsVBhbL|(o^%)aWJraX<40C;F70y3h9lTakFuj?(u^mZ^1l!nEfH65cl zbFkcTX`9Rh6BL|CGc{tBsvsPpN>2!WY7|h5Z19TiC6=|<N%I>+FQ1p)ngcCuWSy6N ziJA5$jPdF9;R~gmpC4QYLdPXZWY$KR{b4SoM%~=&e=Yy2(C<HUlRS`Pn0bH%IFOlG zM0{PBA<&nQ*gAhJJXl{Z0g*!|XH9H+10m&mAT!G2^43Ioqb(r%sp0OG8S70m?K0MK zwc5391UFqspRw|=lo($=^jkXD2|6#o#NW1&LaOl5-Q8o#S5gPSXWGJi8(WMV4}r}N zy2^v|bp-Poa=WEU&*J&ZVs-5Cf3z*s-VXt>FH%zcp|nlg5ceO56FzKr`CZ0=VgNWh z5LtvK?U-->NzDzWWGH_5B%l9X>*uDQMgVAc%~bN+BN=14?VNEwxXjk`)uMj$cT5vo z<`?Y^){(EvYJ7yQSd@86UG=;BDo!ofMSPT++PUsiP#`nPEm)uIy>qIqcZBRcVR^yh zOjngV9lLK47YlXQRvX~@qUtp3|AIu+hwJxE8Tg$>I9v9QXl2z@k1P}jL3=-Y-B!^a z-74<EW;39p6&08TyZs}vGO_W~I0$lcKdF(fsr6l6^Ik_kcqdP3^1w9Q7Mn3!$)no- zQfP@!dYB3%I>{2s-_g9&_F?UJMv@GYv*`!-dr!iTY9H{F9@{ue#Bb1${<3GJBB%JO z%c6R|)tLQj)$dD)7bL9msTJF{(z{DOCMudI{LxPP8NK(cTWr43&2xUtb4GoDvU!f! zXH_<+)QI|cTt<w2=r^lWa4|Lp@(tbocv$v|E*9n)ryaDozqU=xV+y1HA`+|Q)jRc! zK()ziH-1*(Jc!8SAk$vsUGlq}k>qX9BQejcM<ZX3xi>*h!h||>g6Kz0o>8f{3`p66 z9noii0m~Teo8P@1MM|Vd*W#X`G%c(vV)82(ZH7O!(lg_E&AmpVVTYI9AVS?MPwx?? z$S3@zA>wWEl-Fhz_s6TG`LG|Jx=eO{FEbVB>{Qtx9~?AUFJBK^G`Z?_tNr+e0xnQn zihiQByAM*Vl;JcR!@gvB!Ea{lfjbOmm0~}Sbhmqt>y^+btlx`uaA3<@QMqW(;LogM zig|&e-8!Q4<tySuzGeI<tgLKgn6>7h{lxMat>Q>(Av(&f*DyT?&Y~KMJrtDN|1wAD zeU_hHwlXbx?>>gu$+B@&xAdp%7iFtn4`(P-!8a9_d*_u>eXd1guh?I93Kn^HTcR!c z=nP5uQwCu0&Dk_!>Lqf{g$r>;Zs(KC_|%j07{@RGt=|DWRQ_uF@*gyT$B5>uvP@-9 zD21s1(683{=@C~^&SkCQ@AB8w)m!k>P8*5E{EV$_GqqhwC3H8j_?obn?;{F>?Dg`? z$cuR}FL{ZY@cYwO@h`Cgm4)FysUW)I=G>!KdtrR8vg@@}{=}zavAm|e-Q_g1-Lhqe zW{JP$`TN>dFI1loVx@y&<<pPTqiR;uM_h{!w#d}pj@vAKelbs1%qxy`HMs9wc8pIZ zh}27?4-!~Rc)M!PFF33HjkuSpp{%2m&wv<>r~c8MR_a=THJKrQ0{=-GN?bvPQRUv+ z%dnH<Uilpo-8bzwv*V1JODj`cd&QBxewqZmMnd<VTYh;h;1EwH`%qL|*J+0Amv4es zZ(mpl!6)r;_Hr4#IL!f^@oiCQ;#?%l#`?Xebk5)UYz6GElo^0c9YjSVMY0y&==18C zR3kNS|5eHv_9u(=OS+6F+b6d_<7|?JdDJ|3tNm8tC9B<Wl78=t96Or5B?!pn`0?yE zV#g;s1-IL4E-nJrZjQ=qU+m#6%Wed`i<bwrh#w>^A&ZUwnMpgjdj?yn-PaKnw(`zG zhY`d!iHRQGB4bQ{f)-EHvUQ~bZ@=JiI<DMX$r%yLw}VT_(U!7Uag9t&y4XCkL%kWw zb(>AC7#qTSLOe}|y}o-_UgBCaY)f|cjWfnmerJYqn|2fb0WP1P*n{nPF(1QJP6f%z zoJ9q}chQmOLglvkuOwaRz9K<i<0+$D&_vZb?>Dqq%6K!;eg|*GimZif$A!JLjp=zb zA3ZEsUnQw@=zD3PEkQ^r`6>u6QQA4-@dvJ~$AS049-Aelzo!gL3NvsiAQ2xpd$>ix zwW=nHS&_9Dx-zvKHPU_nRptJ1<*%<j%UIj>g6B<P49T*vjQr<dYMZ^)w3`Y0?t7bK zn|1>_u!jp|M<qp6{)QInT;D8)LUOzoHFuia<5d10(Rd#}y_s--^FVm(`N8`UPOyz$ zDiqY#*`x^ZN#~SpILP|(Ja0ArT7VS)#dm#Oyy4=BD<tExJbKPYhm=G^xzw-=QC6sq zq}{C|PRJ)~beZ|KBMOM#X1RDCjH7PTt@hb2#q-Ga=ocvi_?1uo5C{%C!893t#9+9y zo2C8NpQ|?C!;rBn=Kj%yCn~&ysMMuaB|Xs~kUF$C*Y>?$)MS!hfL8O!SRkozb4!6@ zN*|$Isufa&S)0n<cCvj;LIHP@mfT&z9)-jzYvC?<HN6>a6rtqo;jdoNM#f3;-lI(1 zM3D%2QL3^lQOSLHly61kTiwW`tojs3-Fi*}jZ_9zB1yH>DwBVY5Ptu7qsAfLV{-^> z4IXDQSuRk%C)71cN@@{9b!9X!Hh%e88?iNMC;|@FJZ25I=SU7El3`FuF+=JDT&T`& zwkvn#25g!1*p=Q;%0E@M^A{n0Wh<_*{-%uHA>lj=`?y4Tzd7YSUpEg;9EYgxyS=cF zy^C)%(QXt9z(>3LT5e(@LDO3L!K*>)@)3RdN}1cy_5&k~_tc?&U%owgIAwZZ;B%R- z`ShpD5zkjGc#~=r%7N#Lo|HtbFfro#+)xqa9!w<vmLHIUs+bpF_v@BP`n(*%HWbiu zxkWQ|^^vfk%Z5PJYRv4J^!GNpFN&Z0@9;*MKR$?4e}tOJB{Xelin|sfc|{q9(~qZ0 zRgAP_OD4MhDOkch?er?+n?bhFOPffz<jV?P{;}9Ou~wqKco&MS>P3#HakNh@`9A&~ zA{|H;*l`Xy5QsfXuW0dRx*^K%r@1Lar@OuRzJ_8r9$YX84&)B#gwzlLJ5PpqQ+0j> z+r9oK7k)jTbLeNxuJf}l>+eA6gLaZ~xj!szv9*y^dY?5yJhRsbiGM3huATM98Bk{0 z9X=l0Oh^L^mQ`BXrH*ypH<Mf+`!F*3OveEA!%ph8q7}v7(rT>I{X{3cdPtAXM!3xv z-aK9@ipKuQ&*8>7UwJ|L2X^g&$|VV%k7DGnDhfq>NRU~{><up(Q&;g!UP;&`$F$*0 zbbb3(zX@vYU45WEuFdZ{j4)IoCR$sYHZ#rZ_%iO?#}<7xnBc^E^2u04yMP4W)w!bK zgVdR>(WaTb?c^4_feFu(rX-dOzt7WjO_M$4M44%ke<l79{CZC6=D_qfK!&QLkTT1& zcltwT)GWKQ758|H4FhSbYY9;3hC_iV+`OZY9(Vz}xiiXE%t$|IL4Pr3djhv~a07Zi z{k+(_?0b5tbA9AWLf!^$mgWDRPzU&m5jDW;#JbkgbizJg5{Bja+?ju-?&f$pt*y#J zs=QumF5!34b`a(^9L2^Q_s+Pkl^1MQn)yfJGwiR)&!2fA5oOVj9k^MP$!q+cM|J(U zKe}`O%~)Yc(qzX9({MBhu+i=QU|&Ep`umVhEsJn3b@|HW&j*7)K_=@Ldw&*2f8;tW z#)-5wlS@h~gN5Ig^L#>Nk;&857bQQ?p%t>xZ=SCc&BpE|7Izj=$i8VN$LD0{_}Wg_ zQTSN;f!jJGW5#RQ-n23(%h06c$S%j@v2bNBUA$mo*14y!msjD+<z(t{mVqysu0$Tb z4BmXkLw8)}I&MBIP`u~%RjN?FCSiATq<=c@f0>&9D~{+JtcQ#3UkuTI=)W~Jd;2{7 z|4hyQ)0(Ud`#}fr+e&+ctJ8)bL%$Gt$0oHVliJHxHvr`G?^Qu-pw5J^mPiLAPi%!s zmt?36g%5r_&H%}hvoJ7*#13RKtUC;ZrM-F{5jI)z)i0(zlpFjS5C#Ya2+Y0u^QvvK z^Juk9^o_}OOol;dc@Wzhk=$xn!&fH~qmldySbsEAOMTSnNJ1>9qZ%F!r}C=QKF|i# z>BskIWYDK^%z}5rZfE*|YuQPalwNkm23yyZf)D4{*G%NHsp_2okJoWepGavZH2ch3 zY^0UxNxm^;_)+@pllVI3A#df!MmLf4C!~>05a!Zwwu4>Orbmhzi0vn}fjO74d9`}K zCeOJ@ZnCTVucHr}UORiX`?dr-*NJMrIiYChuA^-J@B^bgS#mFSlrG@kpGn2bf?J<m z<Gu!|6F3JQURfCzbD+A=(G+?b79CzEePokFUEA@kQ}3iD=GlD#;+OJ%d9xtI1Db!X zQ_*v)&DIMv<>{-4Kn=)^1vC8@P1$=w{fD=<p*6YyB7tAgZKJ16QsJvTn)&^F`6`H? z5`jNUwY++4ta6S`jUV2<_y@A(#vYqK#0!7ue{5=yMZJYfdVbH{L-?raWlG&pHS+s; zcAvw2j-M+}BBdpAYiWZnm!C9zv*rjqOYnF_{>GtjP@lEYq2!?g=B+*#Ra_#u8r_%K zUlVP#*krtsUnlnjU&RZL!{smZVu-0&Y~G*7Z(LpFYS|gJ8brQIARzbiVr$n;M9xV6 zgs;RcMVXFdd;I#4SL@HeS8TdoIOBr|4qRQY7YtH8j?uaL0JpD9K}9C30AJ!05NH`{ zz>h&W3|?RgbOeTNldL{fBlAQ^?~5BV>!cF<RJ%Z87*mS4!;iPz>Bpa5nYkp(Ji`}R z6rCE-@X%h{^i~|e^t8H!t{X$27J%8N1BABpwf})lcu6hQADLdli;w%We_Ax_1nBtq z;n6f-M1_9qHdr=)q2IG+JT&QCOcsRUBov++d0yIsJ|cOk=#Hs+LktU0_115VZ<+j& z6llzbW+_;rVu=o`pl9zfcDMP~PB`~tDq9#EFaLiZuiZzuOUZxFnf!<T+x!-G4gvp9 zpdM1{B@kc)A|W0bsad9m{i{d^KmtH`Kp5UqN6R_!;nLr~9hc4L#Q%!G05nRW)!Lru zfA#ubVNG<#Z&}IzRV4lY>($o9`Tywk=g-hfw*y%NF&GSn4}-!0|EsnCVi7Zj1Zu>? z0Kk9fzhx0#|3_Q@VG%`tE`R`HGgzTVA^|@y8QFwCIO!l<YES=GcIx5JaFZ|$>FZN^ z>w6q3$1;{b3xxtN$rZWstOfx<MLu?ZPJS=z7ojodWk0fvY+_F-^0N$Wf=(%RA5{pn z1rDB-O=fA>yf~%E%bM;Ky-D4E^un4T6#uMDR3Nl#{n~KrQNDm{SLroHZq`_5`gLkf zmY_|0$lyttuz+!w%Jt;>qf`OaE|pF8fvmnxw#_m@0oktgE5o0U;syA+O0Nvp9z_c< zciCMTu0DzqAnszjqR7tb>RjI_;}`G@d_MdLBLM6=yG&hq6e{pMFy^T2Q&xRv)J7SP zz|N=iON!-3Cf4sxC^E7Rt#eOOmmc{FXa>d{mT?Iv20lMzZ_6s|q`FK^%feX)1rNHG ztz?9l?pi$`*XrciF@NsQzLarN9pzZIm~mKb=g7X8;bvNIRprM%pW$GdYE?C+^^vE$ zR>iSwCS$XDy*)KQ!@~5L)pK9=9~o=a5XZ8qjOFU6_Q@SBHxps2Dj)XAjQQ$bhq8w} z3AJqPsks?SrXVZsQLQ&Tfwfa@6kA$(JkM*R9Lhv^>}%~D*hez>P3tYIMzk_`3~EK& zQrERocv8&qy~>1mV$8Wmw32uvYo}T%erm<>aMz}{Qmkpc=Ao}OYNc4!isZqsg*cQ6 z@C2Gy4QpAN%-0*@w9q_%YSvo}SF|il)}B0fFXQETYQ8h16~wbq6V;NMk@2ot#lEZ~ zqrBR##c)Z>(4_bY_mGypN$wL(w=zziuQls-?5!EgCSJe0k4QtpCw@oD%H#fE3K9Ev z3YYM}F!UC@O}_OMEG>`y(|thd60ZLnJdYT;Q@DWphLQaS&mtzSyZ1;pZ?Wfauds<5 z@HC?OPT?Hx83x#bSIGyQf~OGqci2%<?Qs1Y@Fb$~8kmQuzV6;8RS7TJguj&s{87wB zgxq0I;RW)z+wM(L(Qx!8+%YWq8Z07@z3tv0<qg;0glEVX-FB~&vW1gfgN5bMx82!@ z=xfDngv=fG7d$~8b=$p0N)?`b1@1*K-C>X6FXfT9-8fQ6IA9ZQ6NcM>TZLga;7`KH zuE1Et?H}yXzx(sV3AhWf{|7ltT6c>ZB0URBz65t7%&rwP5c=2MOQhwu*h9FleDVoc ziq_^SckHs=^XIN_YqonB9y|yl+koqb30{KR5Hi<_i=_FtfJ3;uyvIWK5lM(%#FF9> z$;Cd}A0EWCf2iOO5A;Jj!rM3xe#!3m!~Km+-EsDCKPKEE&JW%!UAY82AaU?Vc0@{v zSK4(d<}M9I_bg!tiPzS7-8}*g4>X%wIp-vI#)t{@db|w_(|q(|Wi`h&JH?$k6|)$5 z3)6GcJ0fS(w4ma87A_%Fwm}s1n&N2%oPmXB_(6u-nlIGw;n$r5V@N+(ZANBd4w1tL zHc4`w;#4h1n2UpgbmaU(+PcHB|D<I){nfNij!YFnp|uS%i{2;2dvb{gdqsVZgI{b6 zMRxkw>-#Vf>f1<>H>}X`oqknENkd+Jkw45^;5ekA+z;mYzP0v4SB*$?J$RORVy=6S zWOE<u3-|JyxB)FN*BzpK;qRoQ8^99M8cW@I%tc)ae((x`)=yuC0kOF&^sS?~18DHN zHF`@>wC;lt+|3Ww9y!UJd{{I}GP{o&B~kN7wu2u^<1GQVNhbGk-ta={?M2`giI{&; z8(36Yq9JmGIeQMcNy6`sYKs(>u3Q9ekZ|}T+ad>;ljnfzBz^k|BP2chI8S(@w9_K+ zCkc&zQEOx`Gx8AU0e>aUwFq1#f%zj_!Gh9P^<V+%?Rqf3^lW`3mKkt}bBBjXZ!cgn znU4=p?r?KIv_0I^uc#%mlNon_9U^(Rj~@ExM=AJkX{9R{FzL(_2go6kqJ5Mb+)Fy3 zKC+crVh*@Svh0WRi(Fy6S}wYT1-oH`!Ls7BpD>5SCyRh_VlQ`(EtsDhIyiEHadx@r z0@f&={Ry*Ayt|0JfYpf?c}LDLj<jL+h}Rd3&SBN!W}h&-#A}OyF=9ja<4stlxI}2& zev}CvSq99_4HXnQ$(X!cG)g?Xh#DnUb4Lb&ABy9B0&WveF5*sMh2q<tz%61i_o6_s zsJMi8<OpMS8*r1D-yIbgDJ)*u3EUv&a7PA44lpLS0oRH978OQ_dlqrOVE@u=bpn49 z)3_G}MD{Ww|2-1|dnL}*30x(Hxg!I>g5p<RU;*)MFEGFOtXCwK5wMIqf`y51cVIFZ zkC#wKFmpHb2F%p0$Um}^5x0aLB7V1s9wI(;6WoCLiC1=D(ita~kVC{pi>O1Gmw13z zWGkaY8*q_$*$wA6d8FCJd0yDK#kQPwmfskhdZ-zw`|n^JYWnNeds7^0ws6iB8U<4v zXf|*T78-4p&ZeRCioGZIG`)4bWHrY$YdAj^HU_2cYI^EMcpL6&y6Y;*?wqlSbLJEp z1ySs1y6Qgjp3F^C)1#2p9Mycwi78CqEFDji(c6`YIV~Mc6V+4lp3F%T))V%o*woD8 zG%qyTEFDVY(#w_6JSiPW8_0(QPG+a|=2LB!_NOuFy^x9dRr)oJN>57$|5xdkG$OqR zGBL-c-Dwa#koRO(T4(;$1{*)8t0ea^8#e7u_e8qth>ef)S3#q{VP;xgzRw2Rr?eB@ z9_hg$O=I0+ugN9N$GY{>RflZcoZkwlHrTj0M+?~eC)3i_biKSN7B$^D+eUHzur879 zg6=~4<1vMCB0t9>=|~w7tVrY{{bCNLfF3u7^Mf^uR2Bdah#VY|(veak(FMSLqU{l! zFU;EkCk2)iaVh}r5t%p^NkvMCphY65=sR<OyF|K<I0sm{2v-4chiHBTJxVk^g7SeC zi|C6)PSB&rkfTI$jyTE4F?x?Nls7C_L_s7{L}VZzlS7Xh!+F6nMYu#Fhv@akP+qW9 zk?4G24!yz{YJ{kF1UW)<&k-jP*+(xphVq2PifH5m*N8|QQ4*0o^kicw4_Jf<KqOK? z<XAY8Uj!!{$tQxB55y7OIpD-2yXc*AfGb274$1Z~GY1cQSdhp-9&nkcdZcKGsB#47 z27By)5|3=B*T?}b5fzUBhKM#Dkm8Y?BGGx6G<xhP&K2e+a21L<A`G&r+k$oqOq@n6 z(QbDk#|e)+k(W?^Yt$CBRiHH#b3pjQM&WnF9PL0?(HP+~8^CXnlt4gecRp=vm%<pK zg$?#5;s<SI7jldc*NHrbx?7`fBEHkM24Z#ywQLk_BE$qxrx6bY=t42ugj1c!GiZ^3 z#%aVjZ9rGisbJ&B50p+^%>%kc{}DC%Dt7eE=u>E(z(fczmo`68ag%VQ6L1R65~vGN z%%L^vLZ3j>1d>A(*9iwY0VhyfYvd;MsrB&{sGnA}3;hckC!imqxJF23<8cN0LQB<! zK88jLkc9xVX+r`rS+qWZz${vqKt&uOz~=Z8^qKbTlfp3Jm37@^1g`-0B!Wj^B3N;m z@You)0X4Q(*ns*AWS@XKXs13Y3=wWylWjottpzVZZL~6hii?E#oq$8AyMV`&?jwQ_ z(}|7<Sst7frjUBSR>2<{Xo7Zxw(+z!fTVe_R^0~#E~fe&pn2+%S_MC-uL)TPXqI}y zynByevl{CQ^)i`g2TfB~*DClzJxu_P&?=sQ2GA6Bel2#CK-*Nm9W+VZXb#Myt~T%9 zCQvaga)7?&0az*KQis%Hy`cp>ILq!$0#Q@61JuzZxeX-3gSG75AmBCCcYtQ_6j^q! z6R??*wSk0r(3aiV)Y0aO+0-(%SWjpI56ZH8jeyEDxfRq)%~Xr^fWG8GT6W_IAf^BZ zsErBE9%^NR{r7^Q30W%$OMP2|b%%!XOw@zAsP}7-!vuBJxFLcwljIgqC$*WmVg|Lo zdG``Q`Qpn>Rr+$Q8`PI4xgOL`tzr&Lryi+MaD(cZkhOp~dC*U~7YG)0kAp!glxKyg zar^+iqAf@V=Y*_cAtg>y@euzoA3KKcq300{TA-XNL|;G}IFn@+3n+UF0T&P--Q%DL zNlp)0Og?2}A^IHho>N~|F`p7Eskn>3oDVpMRB(EDgT7Oy7oyJ~uDaMwh_kL>5a=6a zrKI9EzJgxzCgct0v5evtzJy*~AZV1bNU}SJ(ykCYf-k7&5eOQlOqW#Lz~|Bv3<M2Q zsuZF}@R53gn~-O^WSfvAP7fKypZIiobpfESlx&57Uy#?Ff-;J$_;`AP0ibS5v}AV{ zWq_n&7Nv(|cP1sI5O53$=RB5HT)}V5M;}3gIS0Hzyqv9Gpih+R1%M-niLU+z#7Nh} zKZ2XHPFisZzce3x2r<wFY(Ts@lcl@UD0>UAgZMbzV?R&}`E4TBAM%NHRs^(6zD?hK zi07l8><9@^OO}q1W~~zeEs`%LV*MaZtVPV-`*?Qh`i_u~tY~In0eNR4Y7Ea(9q9<E zVMQ@_@8TJ$lQ}{>)RLtl#Qop;`W9^WP&^<2L<4*w6|5d2pzq|`^ol!p8tM}c5Er#N z2S^Dk88arAJSq|319>iomW~)F4@fNXhUBx(GGR9HCgO1;c*5!^2S_$+D-&h|Zz#UV z3zE*7&4gLUV^LRdfF!fhiGYMy@kAo}$t4ntJR$L{W=xnhJPLKRM8p?zy2K(6NE9m_ z69$KejmHh+b;cJB<F&@4hVek^fN0#&1_#;^681uEP8;hFde`u{GC*-c9K=UCpn??# zE|Krw9}or#*0N$Lc%*^d-CccQ9^{tvm}R^ZHMBU0hqY1|^nkUMu{)i7HlfH3VyLDd z9>K+m7LI5mA4tFr;;pIS>>wVj0gRXhc$hryH)ff1{~B-vKMO%!g4+=Tr$8CRz#nV@ z>Fo7CE!a&S^&2x!IuVAt0M{cbPcgHk*f8`M+*)363tWpxKE+Iv)`g+Y!S4{!r$7mW z#vg1RX;B#N4D2R{y1`76CWjS`!e>KJqi{8O<PGp40`CuC8$KC=I|Uaawr~GkEX3rC zu7RQmiBrr7Y4-I$6`x-ob&U~5RNewM;2iSEYs>&?@-<)`-WQ@U0`CdI{Q@T<oNfU> z;WY9^SD0Q>WEk!k{0hPK&ygJtlSf_w1rb*#Kmo+|36LK#dxF7|0>W@d;4sAYZ*(T< zaVY8tY%Yi10GrAcU1B;(aiQ2D_`4AF5d2V1a0BdzsQisiC!GjI4#A5;P={bIM8FBA zl~m#yun1q4!!=`8h_C+XI^bY2YzI)5adruP2s?2BjQ>+@JRHG(V(1Rc0`aU{ksr8` zF?$KU58HJ?`hn{hiyAO9#3OU)J=nTSkuSKK(QFC53tMvmjKK`Wj~&33j1o)eJmPG( zA|G%mqf-M=jM1zC^Nl##O<@$KBo1iDh%#O+qPJjD;@CFKD6x|pU=%jyg7gMEh@sms z!^CE8MI*2W;tFk;L1GOzq!-vu4A6!VVkB$82r>pNqJP2|#IdcIuf$w#NKbGyqr@V5 z6-FSg(2D6M#&ZLVz`9(p!?1Q2^f0X11?d4sGhQvAS70|{MfTtj#@PjhOk&g=HiLNE z73mHRV63ahJYX!U$8->D&!LxKyJARtun%K&J&=nrdjY)&D|A7+fpx_IEtnSKRx8{k zsEc;{6SnZ5@KG3t`Uw;TV`ONt-k3$g#Wr*SA<i0i0cxhL`~*0FItU<xF;cYAp8)&N zZA;ua$eSM*1eBz8`UKd6ng|pHVI*kL-k2%E&Njd<R967E2`Z=M`UKd4&Re2Kq0^SA zGf*+DzBguq5N(Ydg~|!w0x@HR9@eN+P%f>4H%5eZpc9=#h_c3=fHG;hyfH(B`qrou zP%3S7Cm@GV!5TFJ?X^UXK<^3Q0x*4qg4U>CpjcXsPQV(JL;w|l=^-SuMjeA9XaU|B z0or3P3_mT-3&Tf?*9pKu@Az^4m@Y!6Hoyw>f<Ji!WXA8Y0SclW=m0E3t1XL$pp}-m zL(pS>ls~4OP@@g71TD4%3_&;fk^UG?+UO2+8X?vScK~wx`@3u9cW~k5`R(CeSYiKZ zTlm(2%;8Gc!HnF&c-Q_vSJc;`Qh#r}m(Mps_8RVX--qSDKCSw@Y4G=KsdFbwZl@(s z?DM9&&DoET?Z~j)zaisCA!92cqw1%Qa;Kv*n>Jk=S=U1qw}V-?1DvPH@|#~nvcp6A z-9!3pLcZ#S^vZ{P5eVr)gmlACZI*}q1NlF1fDy-kmydp151;;7b`0bV-#C{$`n5b{ z8u%dm^6~QFp7qf8(Dc_Q-U63;a)$*ni=RI&K*Bh2(wJrZ{hWVt;7l9k4{E0z5CO_i z4isPu@Mm)XhY&YTlr&}@e?k}K2dby66v52mV|CGE5Nl3BM^G(gvIu4xzfKqB3wlQx zEdrFF)F{B_;TP%Rd_Zm-C@IV&ezI=SC}dU}H40JVL`nf4QsNZ=wjq<+IB!rP<#s+` z3nIo@BncFyln}v;;AiImHX;0+C`pVkWo7<95yZiXl*A0+C+7gxA${5kBaj|#oF^!e z(kUPC6GFpTB!TJ0N9y7{K(8pd@&T(57$;H!C`frF3>2W;76$TD&I)6&_yAp;J1C5D zI}e?Sf2@OY2bpuA?Lnp-MdFxFe4Gw;2=Y!FJp?)A5VQyRQC8-m)A1*CkVB9nZIm0x zi!wkM(~2*V16YJCbKow}EAXp-3<?;04;xIAMGKS!pUI#uf$gLNrvMq!flzD#d^Qkp z2zEoDexv8%6LP2vU_EK&DS8%;l|zq#tr3D-z*^GeQ}i^vP7ZYrd`B96Iy-~kC|Dyz zV`27BDXoK1Epa`NQhLB52p9t!B91qKm824(=sb9~T+tb@l+@`IAVz9-iv9+VmQxr7 zD<J?kXi?Iu5cC#U3W2>wkHVeg0HfeB8RRL@;ht^xLtDTmm>+?<MhlZxh5$Ce90=q! zdH|jr2v`UAxrn_geo#$Ftj+tra@%Rd`D+Y+_1og&f5Q<4sX{xoqY4xrePr2(7^IM= zW~V5r8;VFxu4yE&zNE+ZV*C1#)i}{kQzG@JMh@%O#B?c&f)ojLDG`d?6w&_ZL@GzN zA1Nm4Ud)<f8Z~xmFGMJIG|E_W5~u7-#aZ9pOH6lUTS~#ki%O^NYq+Vs6Pd(mc&JG+ zS9!3_r%cB8+LsQe$f>6??Rc`O$*|%l+DS}ir5wgL+Lz9!pwxGn2FEm7S?3bhB_|Iw zs#!M@RU{2_QYcu}V}&O(lAg)${?Qm$uSTpUu3x4cs@Efy!tAz6WDptGl@}#TDR*-9 zf1>?MKP7R=E1eqbse7rV3R5g;gtC53fJjU(YIv|3)5nkwX;{bwjgB(n4{Kl$OZ1vY ztn(@LA&pxll8BV+?Wr@?sU#zL;nQ!M>PZQRnCs|c*1<1HWb#_KP8TI#lj!8VZn;L( zBN2Z>MK@Uol33&)+z$A%wIwOZgZ^xvvW_Q7$*<jNoQ|mHAiiA>9F+_w@yfru<r-73 zVwI(j8Pf1))uA6eEWswVhn#J&3L>6{iQat6O_~o;xiQ#Lcat+b{g#)cB5&~r&yS50 z@q>QnpkyWKIAneJG6km|iU_=p9#($>kT<;5aATbkM?AgW9#r>7tcFsxr0lDCh{c{7 zWF{DjPcBvZmn<b!hp5=IiZQl@LF`#&8GnWO*q6vMR)vXPeoIf#74JDsSy1zmi>?1w zkaQw9xv+i7%E|b{P1LbuHo-+aXeoM3J(#iEZQY?{F~MO~tbJ+Vvg83{O_)&&`JTET z<EopTW65;VvRLtwh7apQ#*DcE?~<{E2^SxSl97ZFm#DUs4Ye%B=We3y<XdXb#Xw73 zJ|&Y0+Tu5h8eS!X2`u8pi+EnFLX56%Qw}9Uj5Tx7BWf9p8*|Y<#S1YLGE@O6`Ei?; zDvm6pF=Cu+`IV<EGjWHOXF&#gs@1fCZIwqXg0%S7J{kcAtE#Uk19RK(PK$?Q=3V~H zvOVF1;~6-}pfGM<yV0Te+hW|VWqQ!JeU&$qWjPu?#S`;!E&}bJ@cdajW2UryHjCTh z*2TQo=J|HL43<>={Amr^Du+}}_#-+rhE#)St=jO0Rn7V5JEBRCinV2EcdQ$OQwrnm z_)j{vhgH*Q{|8O?;m&p&_6;1dN1|p`kf8QxsoE<jx2hGYZo93~WyRh^B-MeMsTpcl zDQ#^f)s`SaX=y`4#VSGUQGIhi&-?rXzvIY}<UBvu^}EjRb<I;Y`b^HFa(DAqhk{3R zHR~>`t@4kLq}A^-(sRl`Kf=4GMvWCbf~ZR)&ipd37KaArv}Mg-NfW;qD5RZL_|QgP zX{IKm;`0*`ZKWawqIrh+^tGJN0fZ}_msblpBc*2^F4`>UWfeUkUyk{~87Lz!*c})a zITmzg<BFXeY$hO3MnSOl$di#^S@NX(zIkib?3Dy@30qeG@`;DD_hq%SsOG+MbzPX9 z^2?&H+XF@{Dg+&D7uvFNADOE=A{>@2as|gO;x(eju#d*ihEHRLuDD(1F5-MgxZ<Ry z)IG2xtu0#YDck9g_s~QhUo<dmjupju?)b?livM}QsxwA4O9K5-HCouu{AKne9mHol zp`De7=9bckTi(QVp${Z)f{)^B=(qv2fz)?8sSr)hvCW}E@#wDxmyYc7(MD3w;sWU9 zqmK-vn&Xz~I3ij{>U$Ap12^+<Ub2JEUx@y0AbXs-XjTBiMO%%UC)pAVUL4z(Jmi!b zPub7RN83md;;eR|v*>;Up+%hK7|x~jAw{mE&?=<7AAMh{Jbrl(H;MjNYMyStY<5eo zL*q<dKiW!4FP<}8+EEKMV&tTsB{^@778JAHxtx`+2l{Blddy$^kXs7BZT}qYDD{j^ zDtfplS00Pm#c6`Fq7{f{IgISt_~olBusb4mTlfo+iw5S@G98ZBl1{Oi>!uOFas=r& z?4D#w?7*N|2*@zniiT@f0F9}J`Qh4;JK+8wotfFF1z@_N)o$4&`h|*6N9J>sx#+q0 z0D@U0&z9O7f86X(^sGpFO9%gNSOd?X>p(b8k7rQrQYZg9tdYlA&B+fsgi;gLXt7^} zW%J-%tq@QmN>7x<V|hCQN=B_MsO9+MzM~>$MCfq?G@PC)51*QB8-D?c5&(K`XcYm) zqYgwgJO)N!IXpgUZ`w20VNoLEE%vjp2-6ynGgdIwAJ>W^1CI=Ah%hg2(U{OonrSrf zyN3b|@)Jp6ZI_hw$0_od>ehreI$|F2gY9g`QDGl+{*`21EK}$3)veipXmk9LcteG# zah#WwJ)4T!DkG{TxB%&){Bx!#4l!xTOPo=e^DGe{yuLyZa}Upf;qn9Kj!=#f307*E zf*mkLe_$OV&$G<e?ABX?tOAEc^5-J!mL<&hnJ%*2=1A2|!g3fG^=>gg0eQ#u&I5#` zG7p&gIlxA}o6IN>B(9eNV3lH8WcHGzP?<d>d#TKBlKcluy&NZoy+I~FnN$G>l1=+b zDxh9^k}`<Ihyej?N_tb7oh40tduISu2E8=qa8M?lse{8jnkk24*wBQ=Y$wUD-8;y9 z0Tg`5)W*?e*!$qc
hRf#*JR$VeHVt4kLZZ0GdZoC#B2rU~*zQCcOY5zQ#!XBz& zPd0fA=;o94g>*8-0|x(OAKR69%+4mp{XLWzcu>{>5R&W-D%1VSgn7d<!MkwG@xs4s z;&Kas8EB62gN!6!=9mZ9ARwd3MjQk%XIL32d4ktTmn5}da+^IJyc_}{B%kAW7N<da z@yo=WeN`hfKe>mO)eq8_%+A3Lt_g;GNZjO2)v=#9Ne8F``=fM7Ii1PPyym_TWq^-Z z4Z--qsgG>KpXyyHI0-&6k!a1_8g?jcbzoP9O;r1<TQPWO=U<dKZohTugkwue`)^wh z{wuco&u3uZn$LXcFXP+Gt5sbeXZBXho712x|6#y@(Zs|2W%Xs|o`&f^Teo^EGxqd< za1eeaU4kn@R!^q;=_P;JZ&?eEC-ek<Th+_d{$~swnaZa-ezFr;ww9rq&;BDu*3$_; z*~>BwVt2x1$Fe3K3+V|gTiv;G1Rg}ZATosV9sCbM_L5Aom?A<}Q^x0MnC>&GmG6~0 z@Wap-gIThc>EMUMR+%zS#Qqt!^0^WN9t?T$DeJ7|zu<pDUi4@2Tk3%agJ0mYI4#eC z{|T18AQLY(IArB<WnN=EFN?*J1-ud@`#$UF%D9HWPpeoNUoi$BT&|3ZD+bASWxagt z<SVNrGxOw<uWV=5>i@FC;Yx}I10A7^wyzi{D=*XcWasj;AC~W>4ihGh$4WAyjK{af zXqFvP)I-iu%XX=m!vUgY+kd1vc38K3BQ=sBd+bomKu^jaMk8*N8aW);mC==Yo6vtW zwrW`?_3@CCfeNYaL(bibFB#;x+yD9Dh1A<a%!uVZqjP_(c4V}qPzkI@4$m`;jOiye z+cLzA%f{m;oFkV0Mud}rVaoz3kA&NY4%iGO<MNXLy38PhGt%2<7A$WW32ZwQWJofw zQ?n)WDMN(e4TUY4{tTe8#!1bl3_gS1Sm1<n*wV|0PIt)9Xp4J8Wsru+_~@8Ho}P?1 zasB&avzD$#c=}jw#*Pu;m~%r$S<3kkLttR#+Tj4Lq9fx)ocX>(PDWYWrTr|jrJYgw z@xY*EuvB;a!n?7JD?Q@7fp7d}W}ocbf76*oyApjF-{L^K5_!3~<qYjgtN3)FQ|FoW zD=p%KflmK{#ap|)W$gDA#O2T#E8>*~@z;TGIv8I?$mNt4ht(@Vmtix@VKUm{*g&U_ zGb>jfT)x>d_Wa2eZH5m=uHeLz19SW;MxPjHuaYr{ijgOmv|%k{*e4p=8ZBoQ8HjNW zbn>epJW<eo{-0e2o($Y)Z67Om(tlsbkI}Wk+IPvAkcy8_gtV>6oFNq-p73fbkukv) zeNR}mSz5;OpLE@y@Rd;$e=w~OEYths^fLWNO^}SL_|}6rtqiX<F~}m*{e*rQ|6_n~ z#rbl1^VrN4_~qswHGzy4JN7`xS4Kg6<biDKSoV|o%c0F@$X9~I+dXz-vo<WcMc3Og z`_hJ@>z*%S8Q$4+&xsu?ezfME8auXb@lJG-5!5oaX3;D<*{-lBJ@sgr0jRN}NABv+ z5dY(-2G18U4n>bB|M95sk-fTJ_rQonm1wS~d5lBhqbc_{i{)egr-U-m%J$`L>Cs1e z>hoOz+tMSCNbU?f4L=%oPmLa<TI7l5w%5?536JE|pLHqFr8PuNJufXvUlc{PFK<bI zesoU#W>>(L^v6d6>aecmP3aGhxYeb*0yd@l9`(BKP^HyH!`m@K7H(?XU7VZc?;oA0 zt#(=sS;UF1d*o21RTvDj+9>aOw5iq{>X7@W#+^Z+oJVVFb^jqPOw^*ClVE`m9rV~) zC~tdI<jxv7_QN7j@Q>|+zQcxjtlWGtgASd7E4DfQ(guQ5+c1A=eZe`~F#W7`^S6Ry zwwLs?*384@(u+AGr1b>5Z7=yte|xxKGog3pw|Tu_t*wy1w2ok<?T(-H#6w&8P|d6r z^Gd-i+X+AE%YqHqfH3JX1|@~`&MceXkvl3HK$H_7>d1dDvO-8-5-i3lAfz<~eQd*Y z|JPzgn9%aUhqChNMVMjpOu;8ut6_5=xtOAXkn&Ft&&vN>^dDUw^2_TL4Fs3tA9Bi{ zE3yifz91Nn9T+nAkek;S%X`Qo&r-A;B>n#3k=(e(nV;sdg1%VJA#)eG@j`_l>8^(_ zZJd0il>}$5UGkOge7Gw2OykUqxr1Da#u(W=RL~Hs5GXA#*msQ~o*!oKL5D^Y$D|T; zl+^e(iDuRTqDFI$nze&wq6dg(ZJ-&W+sF3nW^Wi)l0CLBM&Fc5iN_Gl8bKq`1G`XN z&|9PaBhso_9q3~;C(*1H)E&*ai~E8m8{9s!Uom?DdK--yF}o*uF5YSfss%zBu^us8 zWh6z9tJ#JU(U+yh<2Xmm{3Qu-1H%mEc^KV3w8x^Aq{<mCgbt#mrKGp{7tC%+3T)dK zpe3b3<7&2`pU@&wj&TZG(0(+KLCu;?1}NF31mZY{&AcS(bcQS24Bk+2y=W$>qu7BB zTo3w<LH|B!*34BBPbcM~cO(h1oEuPOkaIMKK`zj>Xa<rx&@T+k_w94gWd@h_Gs$Ll zlIi~eE*R8pxbTj&0qfz}WylOVi`r3n)0s(wMT_8D>}jw_k>(bD8myIPTFvP{p0zNX z=H!o?LV1gpw=f)t5DE3LA~F>BTJ23o<|-^iB&EfE6&54{^H>gpYV%;#oI3bdU=KuY zwve8qu80bFSdGANJjrS~ez;MTf#|9`27wzvT@r=0kgzBXhWYpxVfj3+3<Kf_C<W2y z?pC3=0n~sBYdfg`)vqGthx?2Ii{5p|gy24+ghZ{~IYV$CP`si_?wDX)ABt6!rG=D_ z>Qb5Tg{tv9a8(F~_M%Qj=x#MZP*t8S)i<s7d8j6pFkfgliY|h88z8`(Mar8=GcdSF zvs+CdRFP*)Rmc~rz%!yM+e*qt&5MLK^OIpgJna%Yv6&mD-5l$>n0<&L$GYT;So;#> zCO9XSRE%5$r^b@jP2X`$N}9)#)=Zl@CUq6|AXCU?@J<Y=2<Z-bhOqx_`jVqT@<oh& z5t0JVi6Ipt?Lm5m10$wY9J!L_G4_SXDe#-cvN5DN$Pr<`Y+A-qsk^)l8Aa-W<_!Y2 zAtOi<IBc<u5ibc&jV4h|^Eh&KYv>RHQV#UYK!Fa?;4qcEv<SJ#fzn;xf_z4v1Kl(T z*n)gS3V>h+%bSo7NN$j{LBJ-Y57`Uep+eL-!gVo2rfxuP1J2E|_sA3As=n2bX&lG8 zL=F|A!r`H7wNciE+ypj<+UFu`7)T}MAlHC(`c?$fFb)e{PJ$_dV^CsefpKP{2+SHu z`e7Q#bKsG*!Pu&7@@MYiA+;pUuq~@WMp-shAd$?GBHsSYZ9HurN$V_kMNK-Brr8G6 z%7`pCMVUI8^mw8?dW+cF)J%vh|BCi@Bu%o_s6mJ<6ctD~bC5_<N75IzHrJ#T7Hd(H zj-<;x-YrR5JZC+UmRTlLAYsg9JXS49qij)XWeDaXo+<aFMHZ3@Wtc@n)Z34_fTztp ziONDyDGO!J;VE!WT40eDHSuH4<T=~IbdiU%CFwJpj#^m=^JAVH?n(130-{N6NgvqG zs+9#Zr}CV2Pol8&s!)blx>U-BSlU#)hgeudnc9-xvmL0G1u_3C(%YKU&34<BDUZ$F zHEEWGE|S#Bq|8&(%%sHA=GL3THl<n?$m}R$(wd~elhmBl&NiS*8DyCkq1<K;=81Ak z`oWw4qQoZ60@ieyHkfz7-un!f4<MKfI0g)Rivd%*Of=>|kauj-cK}J!dylD^qXv;Q z1?ZJbA~Jh|E-W#<;>biKeFZd0dhasTabOTkIviGpy@h}>Ny-jWAkaG|X&jI#SvJBv z1@<0cz5;^8Flljc8Zs?2kAo@OOeGx4224xLmqBIGOqV!x5J|&;0$s-HLJi~{out80 zW57gZmIFbelLi2px=ahqpTH)=%y=+mi|HvxfC1AlW)V<XR8l_xB1zd~O5;#4V47oQ z2SK8kE^r)#GO2Schcc;gOob-(0+=Kz8%zlt%lb)qfK3VS4JKD$5|zmXSQeSo1)xau z5}512Nd#sZ@B)=7f}=)1DF;B3fDo9=z}_^bP>!h3q&9$#E)$t~7D%~F+A!$>>;m8T zLuQkAc;Dz}(oCY+@tXFGBUbFqn*21AR=_mSNuPh+qy;btbn-8oO7`X`*ChQmL9mBP zSP@Mc0Iz{>^fFgXLKsI0>{m^K*kKaOVGwNq7U-nMzhd%${iY`AdGZww0ST)S6C5BJ znB!MAnry(a3dSJHMv^aaz%)tNWDUkbh=0)}AK(gf@+%`GD{wpqTZNVlBoFYi>XHhQ z`+0@@%04H9IqrfnA!Q$vg*dFioFQc&l6g6lz?k5&zGPMo7EMxqau@G}FGLOSKujSR z(wltBP8Y8Uf~W$v_}*yS=Os7shWSFelj-bu@d1K~GkduPX~qQ3-Yi}d2vG!#@d^1t z6aXW9vf8BV<ax%TjGt^01Ze-WeVnmj-2Hd$5WO#D_;>BJg0VJB+&sxX9xYB>J3$_g zt{cDmJ8^1uJi2Dw{CDC|eotyDartEXXtXHN{cqN`-EZTUe_x(f9N85mQckjuMhg?| z|LXqvJYrn+H|Nys$gVJP>ZE0{bS%;Qufw+8vT@np7l%vRQlp7_f9Fp8x1~lBNhgTK z(&5D66XfA2)j02O&S5oOijXMxH|s>6E~W9;<WzG}>f+zj!=){$&xz;$`keT0NqtNd z_-lHyv?=u=k^3+7#D7z&FR}Mzn<}OLH|!8SWbAgzb;7Y(`abdGbmiD`$T;rr+MjHy zl*(WCL(7fQuEfpL*L1tw#F`Vt{%B6(+G*{vCBZoCulXSd!5Hy(;Lr9#X<K5^31ENp zhjHNX3GG*>-G))@-rS7ks8Q$fGA-L*%HVi`hVYltKc>(Sof+#!Z;wed&CZN9qwu|l zGaM08ddFQfO@FCx@e6BTIzYdT>W^z^g8ouE$1iBxeo_<hw)-J187oGW$C<P*eo~i@ zUrzh)yecc_?lvkvu9=n(lOn~BuWh$yEEyFa2h&>GGZu{k_H<k97LEM&`p8S6QbX~C zHF!ISYLtC!MH6fXVdEkDVl5d3@%XjvgKtJpW7og5fqof1I#!@%w}Ix3(8p(KaGkG4 zsmHuDnKn>Ce9zi1-%_>X;A#IMqvT^I+IDNkPowzbfN6A4X?J|{8q&8^`FMFfyA?ER zbbYV38I&7O+r!S^YPFj&a@@nk-YHc$HktMhlxmMJSrcr{$d0G%MgRD`E~gniXl<+U zEaU#dyJ^qPfxCjy12CXQ=7$6oz`<uD+Qp2>m%qTj4nAFeb@9oUE3uz6o?d+H758ph zO|5Y4^+#amNAJXun~j~GuhZLYFQ&JC)w}o7?*6<$c2vJK@FK=|Z4QWt`f!eTAx-`M zTz$i<=c~1qj2M{e;=t!C-0z|#w<`PYy7fPul|yq--df{!Tx@wQ$&3bRc7n=uPP z!#5;T9-Us3zhqPu%J-(S&ArELqTkQ*s9jPQ^pWQmIwT$Gh_S#35-t0>Lb6GwlYHR& z=D{^{5CKzFe1?>q^o_RQa40NGLNAJ6S6Y_yda5G$?xnjV1(=L7%Nel6*OXL?3oNOh zL6UwDUI?}$-c(|o`;me>>zp*ZD7209!lQ#`PW4C2jB9`%O*LbBEtu5igU%#8T6Rm# zv)EL<8Fa=~B-!_jiwLe2(`7+X#S^6KR8k1iG?9zGXCfZexTWS;kW{4!(q$?)1J8s$ zifYERS?IX3lBH)w<{~gZ%?>2X_xWRieX*Q|=qbZg8k7!Z8HI*|LYDX=fXT6#A7)dM z<$L^Z&;^F6YtU7&^d5f`x(tE&W;U#w`Ww0cF5l&UiN0Z&x(b~Kvk;+<pshvz8nljK zYN1(yWcd#N3v>X2^D_Dd0yAcYk%WyvVIbxh%q8@JLFy7z57hUcdjlj{MxaD6!?1q9 z<Y>&0*$v6^VW<+wG#WE#W+f?2=f4ePj>cR>^CK`9&`}1dzo6$peNmW?W(tzhTl^Tb zjzQ`ilpACkh3PZnlw=u(_JHw2&<=3Q5cC~bdXqm1eW0KE6M6*1QTgN0Q~Kt4X5PB} zxn|1}(i{9y=%!GN61prD(`ja-n>qts1wyI(VQ5S!rvkb_Ka~tE0ZY^PZGp^@m{zkk zzWGT09^|q_e~IZP5H<>l;K1o)43NE=7_uo@EVbB_0-TTFe}}A*U|om!aX|GkdPs}} z>l$R4cRrjS!9J(Qsf!GdVEqlb&Vkdz=pd6cF%za;VyvqWTaGzD{wkz`1nUaqJ8#Me z<SXyZF#d9+y(Wfango;{ff#Yj>0*ec!N8jc{^v*&O^haT0Gx_7^#;y|^5-EHG%*BI zd*IDb{%1%Gm=$Yk0=zj4>F1RmhDdVE>0myYUI5+<;ZH|efm!DvKn@=r4BnI<cr%zk z1<9m|QAciSVAPNl4U8(16U<71oU+epV|q*j#8`ha4vJ>^^50+&@#T+UoZMucg}me~ zCqQa==L7lS>^|C<4pS>JR>qVnFAD*($PU%U$Rjc0soAEzeDe?Z0}~JaAUC99{x&Uv zx)Mp-=o#bXQ|PGF<_UBk6nW~s1Zqoc`-5DUy8GAU7(Hz~aEc>J-TcdR%%PVU_2)y8 zaoedWQR?5ny+`Ot<C;?_QHpW`-30}mCLN)_7`GiFSEQ`}njE1oCwgzAwGz+%K`u*8 zoIrO#Wr<eX=uzXSQ`|PFh|yq>i&CVMxnV}L@LmKJB(|L(sZxX!937OCSa5<|kdppu zvIxpdJiE<tF_Ci{{n=RO6t@L>oOt5|IWHyfH|YTV!T9VcZWEN6c=iNIk?K8}8<Ofe z!3{~Zo%jq%vHWE^K)*LW_=DR3{d?NGkM1_UeF(@ic0WYUO3_b~_BoUjYv>$GiEYOp za*U_`;AkMnQ<HtPLShmf-EKVaXKqkx{*<x+3QmkVM*fgY*r#-&XARe;0UMG#Yd(>n zj@W?~4ujZ%nGeN=Q_}#NWZ=GcC;Gb~iRKdlYL2aGVf>4GX~{%M&;1MjpjWY(E$FX? zO*EfyP+cshg+nLSYUV?sVHs^M3>3KM-GLrA%%tH)B&XJVMkKH7LpnILVmW63%aY@3 za|lpL>@pd!BzbwitexXhtWFDh*sx$4uqdgv@7<2ph^-+5sFHH~kaqNdVdgYoLGsg@ z>98bzZ7u}#G&X<?_$4W_U)F~1H-ymUf<bAq3S_{XB>O(3jpIV>K{JPX>~b@QTI^Ia zy4R42HWvg+h+Y1H%ro3v_Xz^I?j`wxT=vRZ(Org=^*(}R-C8n1lD2oj7Zed&^8=Y< zNLq&yB+J%(0zsj%QO)Q!L!D^=S#oxd5`q4ye=v*N2gcHRV>t{Xr@E0e2|Ag1R3daE zcnKImONvGR(4U&c?E&9J7IY)mBv#4LJz!I083O%Hf4Cj_TVjEX+XcRiywQzZm6#_p z6D1rsHWz_4kviSTLj8hS+z#+XWB`KWa^wvJdQ2ZPYdRtU+hB@8Uy3~FLM}<@ZS+Q? zNAv?`nMWjuWau`~kCqgT9@4)tiyM|u+AxVm59(XZLg~QUG^S|u#Ylbx`a)z>7xI_H zxsBc^^hbS#S?CrJ6RFdMoRi?*Fo{C<>2uC94@>lr`-UVs$jL(z@5s<iU{d5kC-SGn z5v`00jEkJ=G|SWXZvT+0zdQro07gYNg`$-r%R<qe`Zn#z8HrUIgbECc#DsDvL>6=+ z$r2@GC=F;!V~Rw#>bH%|MFM*e%f%l`bT^5nqu_|8GJUiGqE{15)+J++#kv&YTm<kP zqNW(I4)$Au=%e)zm}0;hczJj(9Eez?=yB*G0*V2@!Pl3{^w13aYoaG~yRd*&u<a7X z4_JjzC<d&6zYiymfWHp=gfZU#*lVImx=BRn2-s+eqKhW#1`~Y{z~=}PO|&Lrpa_Z8 z^(M}R0`m|GnrMQqJ<%r=_zZz50$_Dbh(5#M{$c1aSaOM?gZ`v@f#?$gOh;H10p`KL zC2t)xUYDQf6AVm2FlnOI5t|xlH3UTit%~3*0#LxGixh2ik8S`K@DseZnCT0=u^8+N zj6n<(0%pN4hj9dO&G1|x5WeWGjqcF3!UAT%<-^Pb@Zusw8!eB(6e6>Adq?IT00V;# z;*lHTF+ZD@__~5f+i5e}%RSIh@y#yiK40Xl_Yz-QP+L55UHtA(ljF2$?SUQ~QT*ml zrek)!ps4r{McQpWrbO|7fA$`wO={QlK#Ag%F6b^_&}`CC+86D%Xyl5x^-q(dw97%> z+i6-sXXBB};uBra9lo+4tL?N=?Wi8yHeXTDR2*_qoYXZpEUxj>dy%gos4Wgj6(@Az z=zKXr1#!p)ap|8Xi+q_uXSdle261kueb(0L!ENz94!RMCoEI1PnRJl$LHleEZj&!H z=xiL4BHr6IHzeNGg&PuY>+%^AXZgu=koI2tpc}Wr_wQ`)ep<Ko?Px%rwtF;kR-8VY zw9l>_R6}Q13Tlh}ki(cez|r^|XHE9g6oQiIY3<qr-E)KD^Rtu%zTluJ#tg!_gm0A2 zv{|h+SHQ-(otHk5d>!`&TG$Ql4S0Mg)|zq!(9Q*Z^X^Rhu0?v~6T#Pfucjq!N~`x( zGVz?}w+sG!ukK~Gq<z(DdgT+&S9cH7!me}A%Hu<!R@tk$FuuUA-W_S<TA8nKBj=`G z`iz{r@(t3#u62*o1F(E<{N)^iujJmcJ7DSD<!@!}?3eE8w4@Dd6}SQxU$Zco#= zSK|(#o|F3qX-^x_%5()Rocr|Bbod<p<y;8g(|ZB#fM4fCzLmA5^=m<1%?0zN-BWM} z%$;NZ25DozaPOd*UH#s2GrQWosphm^Ev8p<L3|1KmfetfTAK|%L42-XlYIGHzLvG7 zb!kx=`UvOhUM3UH(Y{{r<%_si<A%)9A~iq>=gMCC1oDO6i)v15)6#JTkk8G2r9`Ct z)I2D`?eoSGdt=!R{ih6(G%<P+^Qc(pXz&tm1TiT#?T6-632u+~jemh5a!qWt2)f7H z<X?tJ`=&Xpi~KFNP=wp%ed&M05V<NgU&Krla~$1V<gM}7F+>(>7L?$2cwhJjAlNVa z-$0~|X<|xDN5o*GOfhMf{0|I}OJaJXz0qkSngJ!uBVxoN=r*q(F)2E2Nb^PsZdgod z)Fe7>P}8aeO6R>zWQtC^=+BQxyWk&XfczzPZnQTl?W3kb33Q7W<F8|YoD<_7HHk{= z)8s5+9v15<>KhX4C`uj@dshVA<W2HF&`16hJ0g}*dE@-2^v&`#y>&n2GR6*|8@y5e zO`&N@{$-(Qotief$QiL!B818t=8p+wSMV>;XUrj#6hUdcwnV1Lv{ucwCv%a!J-3!6 zK9pSCd}=y+HsW)cewx9pUd=S}MY0&O_#)-$Tm<jCTQw4Z^|OATA^K^0w=fcbwX@5Q z=fZgrpD23ly0-!(0Kd;(|6Hb*rgJMvGi~Bxml$C6tnFutA8*wy1qr~)+3$~&N6vnI z>=VXYe#>4njdU^TDRktl(PxTo8u4QAQy&EH^IImGX_~hNz)0*x@27L2ym_}2G}8zd z?VtLD@;<wT0RylXO`iG;pY4AP9X>1hnWB^S>EeZ_J|Vp6x2(W``Ln>!-a2Xci~LW0 zf_YPJF=?i$-`dnjQ@cgcNK?JV2?kKko_?Zer}bP65Ci-?yZ0&6m-oh}U|-&tTLa>N z*|RSn;|OPK9?u2x!asRyr*&Mk5(CVfEq}~RIJ@`>qMasx3nPxqzS#R@?g4M0*TJ8Z z4bhnQO-npoUZic*jQVl{bX0UR4!X}1+2g&$)8^IoCuLpq?t7DC)U^6Q0*)wp^F7ls zo1RzHAAFH|TY@Q3^xyZrN2p2lngl3OloAKs<q7IZIzoL>Z*w(qVC~~cj0c?FUR))> zOI=i!6}$O;>!)}SUNt}Jc6l5{-t+HgLvmCP68hG8g1w?NyC}Eo2|QI^3V&EuL}>SO z6*=BzIYjW(xdlHk>tG|P_Ty$?#Z#)o)3D`VA}X!H53gj86ruEc6kJ-orl(o*`;@<2 ze(9AJXiD$_Q(RtoeGK&B$#K$iYdYqR;0bFMsS3y{M0B8f8fW{*y6;->b;G)pY`2sU za%0NF%9{2VuYhf~%U(COQDf?u1k({w*n6fU)FsU)33J;#C8ByC-6>s7hioJ2WmrYq zU-n^-9*3i2Q@q=pX3nR~<{acwCi5HFKh&sID{bfg_~8=l)_thBBd=wLJC!~960mkX zyyR@+(apu$FAess*74T6wUedniPD$i(!=Yoe;sIiwRSC`^5c+Gw%3D0?#=q1QjOAP zays#Pp__xz<iW(vIp61vn<d}Pk%wOvU1Gepu4T;{Iv?fET#t4t<!>O{qp;Sz3%S`} zN(B-fi^z4)2%CzdrCmCwI|ma>*E=KLb=-1}wP?*<cd-j@JvVdr$)a77-Sl|iq@VJ5 z?fknVa{8BT#i?oqi^eL0>AJAGfrjg@wN*CGOL~*;^G+Dgs`(#;;p_h1)T;8E!bg*2 zC)XYW3%`1D?M1uXx%gFw?b`l^m_#K+p{}rd?$5@B68aymp;?!3yDi(5h}X~GmUlmU zcHAml(s=U89ClgbUq$lWU}ZD&kBWNr7Ogg#xa(04zIAKvs7_}u=M7P1h0s=qe9M0% zE8Vn|^~By@ZFlmmS-@7zld{U)tP!=^S1Z-n#1A@z?$+m0oSVWH?)v~@?sDhGOyuG8 zGGLbsm1s5c2us~@K2)`JVXvY~pKje|-9!aD`mP0Pwc9#3J2<k&WlFEbY^)hb)ypZV zV6FFT3`OLwI9G?bQ+dNXYO#xTcBAzKr({p9D+1O;XFnns73nnV98mYw$@PlILl!De zxO_%og^1K2+SBIx=LUpzrO)a`)1i}+qJ8yUanKeXFPU{VO|Rar;6t_{9^W2&MN46A zP>Caatf`47$E)BZWx=k&_~RiL(XQ4w>(uG!%c@;nXu{2I{GB7gQt__Ry@c`deYjL{ z=~~G`L`O@ug!}Ah@xofapZvFP#WoI+)=yfpS8eO{U(<H{<h3jHqiGXW;nkPA-|M&Y z(S-b}H8b=b^e*2W{QZS|2O)2JfiX*u??e{<0Js%&3Sx^jg63xi8-W|w8Z_IZ5rPo# zWFd<`%=tsAe2_*KuXKT?Tj0@`3Ynsn*1)PMb@PPeVNuBY3yVBAd-xeijb6b;{|t+s zd*6G2`lzmu0Nvuj-2N0dN7_%BL*do=6MQy#QZ#oHn1^j*{qTG(T&}Ku!BwBf+|7uC z>T!oD`RZYZEcs15Zaw@5Y`y9y@qHWenqJGtDS4%m?|b*17T~2`Q^&A8b?-xbuKIF3 zbb}|#`1Psm63_LXm}6M3y8R)3#>M63_c$K{{EhLQ>AIZNV5NTbsdyYsxx4Y;UEN7a zPQ$<NP4<O;+ogG7=xhpJ1;;65(ULeQjmNf!X&=?9-u3|1f%;i(n<zj|*|`4NST!)W z9W|@EHqEke{jD3R1vR6(90(m1*}M;p<cWOey;Mt7r3B7J@Vs-YnPFKM@oR;2qV(J_ zGc0Q&%lGHPc@Xa?9c;R80W&PWMXtA&b)a<Il3Gv`s$J78t0K0o6hEFSH-#BSdHa4p zc|_#veV;I%ayR=H6iGEH5IQ1a)Jkbb5mkc&eGoj)-Ar0gnr;K+6s)Ru;9MwAo|{4o zilAyA=o8BG%nd_k!K#`B`V5Qo--iy1NVZbiP@hyU1p0*Vq`O&>87~Zgt=?@Yyefa7 zPcTo48&eBP-EFfOrRGLyMya}Sl36Gsr|&4Os2<gTX_lWNd+#!Rd2YN5_T`Cj8~DL8 zEAsL_jv!KVe=d**{?5A<)uC!N%`ziWexI2jviJ_tijsH3{7A`G?R_x!fG5!FPXhJW zdBY{PXa0}%s7t5UN<!|EvVj*hA$&<$-)k-*{FqUB-g=ECXdUOSxrFzm|KZ+K*7NF4 z&{|Ud*0>Nic_jSXrQWMHL3l}7$Ez}7cTstw(e{1lcJ7KxrB_zM<f8IruZF|BJIZ5? z6LG?N6EilcE@kh%Di2q;l}8)(-p`-h-BuoHB*lgM$$f0pK(7k=(qE?2bFuYhyr_NQ zMVr?)9WMDUd0x4Pb#!Gyqul#vCrWf>4b7|sw!_JS#{M|r#rn^UU=EqabJd~S!i)m% zr8?^rS$UAXe$BbZ`ebEKxxYvGp$qy}kH$&erZQe`xSsZk{k=dJ3qo+&#moGQ!Ijh) zDpiqCy=D-;R7-B`i2F!YoGI-$m|Uz^^<qn4J8&v#Jnf+$*KH`P+Fdg`e<=LZCDzOL zkbB6*rDr@=iLSJv+|~Fp&Y7yL<TZ1RZQp6d4huJoChXSgdR=R8E>xmb4^>B%HlNf5 zuF@69tA`Cxi;6!RUl^bcCN|)O@NPp?yS8(laz|r%92>R1y>X!@hMx1haUS+QlrBtm z34%K(P%k@UYt^dD=}ymU>uh{|mAY!_RpYVTVf8;7;;NthsN1idZrC%(J;;&6Hbk|K zw@lLDz9r{ca%tD26Pn4~qwowjs?o=`$>N4J8#X^>aw)-P(zmX+`bulZ3?ZWad#O@u z`OMut#mR=-rPlG>#OvYj(*HaRQJ$)Oao@tfuA{WqMvGc)qGlXFy&7Jx3zslDukSqX z6bT<OeA|)x`+DfRyYcCh>ETKj-5P9#b%m);x2m2Oafd2THq75=TdF2CIJU;L2(Q|u z*cG3?@T<E7kGFl>Ho4;T7_RkfnlNHlVf@et)seg6lwthZR(O#Sg`OK|(cvuqy_)+^ z$@lG?b-N@uH1MNey_VY(qqhe_G<dpQw~>Xv@>s*fec>aawd-YWmD8(V2;1_?aIwJ2 z!|cWDMaB;#jV6x-=j|T4JqWC97k=JAJb82Av~(TohQ+1_DXF{p*jD=1_0*m)mEI+< zhAIy=5bis-3sbLW!$YR6>GB^Nq+6w1gcq*gdMD80T+krd8cMDUQU25*(&|W73Q_KF z0Jdt7>w=Z>4eS|u2&YBIkdpM!P9d6OMBey}b+F=WHMt}v`=&c#2p(qnPnGGdD?7Da z%67idcR@pN`@FiAoHcmx)p%F#VaJrKd}<bLPIHcFS0&U?ZBFx!EirlNGagF&id`Mm zYbDR=6CSzMFIB?*l`pu(PZNf&d%T-(p3G}tX=Nd=Zqy7loV;88VLfy`&TaicuCKC+ zo5!?uP<>a!=DX%*=iG*x`{AvVISp&?>V8-gu7|l<Omh>iBisfb?B1?#Ybd(U);js) zdZ5c6Pin05hI8zz`EKh`=T4Uu&)g*?0~e}ixPR^E`ZblwgV-FZ<5QP8&+ypXb?3J( zV_%&|JkJ+W>-4U!sA_dgWD_cOz#n5LN-AP9=!UBiimjEiPrrNA4O1Npu3~jtgq~NL z>*lYz6EsI0%dVo-D%)}v9O^6^BtOPD)&F)tJdN?-rYTHS+{~y$<g7WgSdK|L$L261 z$nrv)oyZOaR|i$KT7_~d%T+8Qor)?Jz|Ju_D-MBA^$fXpYqTvZCE5HH75}4#f2~&i z)z@m1gjOMn^J|yB4mB<R+HaL_RD=&&h4L#W!dzFc6;@j1D}}dAtT@(ORrR#+SDdQ6 zq__e^HzR~{>pju1@KlZU2gs!wB}+ECWUdb5LkVR_Uos#J+Bdq4v=erfbY0$hevFx1 zb$D#4<yjfyT=b;^{}_sJT5t}2W!=3x;#`BV<O5Qb<ag`7;BGLql0$JonXR-F!W4+3 zll9h((%p3<&i7yai<n$?E_bPISK3h`;b0bx)`-a^`@mOpgVn&Av3fl;OLzKq-AMhV zMi^oeTd&cmfe>DF&UbNL^_kXB->B1YarU%`7McamRJ@$<)Or{@ace@lwsGD0nTv&| z#iG(c{U`VHi**+)?>r36`RSbDqAPixTBFxnvG(e2SNc}n$9kbg>n`rCx)1fdjY?hV zn{|Eltc@&)$^7~*_erXfn#+TBrA?*Y`qNkR&bkdHRhKP~x9F<vs`2{f`>+S?+(XVT zY0_QR8+Bdvn|}1Je@2|I*VXByiPddXUCi+fZ0<@Y+@73SSo5CD!Ny^2w%vUrooAdK zUzHcu?$;@}n2tGWX~ShFiszg|i(Cw`|7_K@DapI^dF)2y{&0E^KeV0HpDd}3dMi7- zLk(6kgs<CH>N}UzZrbGPPZrm%*`V|%*PY(MCv7eCC)b>s;geXU2&JjoWt&~S$)Z~K z>SvnHznxyf8*D3M1ZSN>5<0s7*^pBU2>jmlaJ$N2w3c#``h!)^dDSUs=gr{@Sog~C zHHU;FiS)p#pM|ScdfkKR>rR28LCwc^_N(*_BC0fs>%x@AYrork^sCc?Ke0Wpm;1aH zR?Wf&ka26W%Jr)otu?4#ElNk!jnrPMhG|Y>Yc;AhG=&$P^5L$w&VF@-T7~N8Mb@Ep z1GNJuvi?c~wV!Ox`_)~58`@HJoPRMYqpEGC4x`9o;1agJI?i)Wsc=5q^E$!>wLLae z-#RsT2=?xfQ!<>{c2_(1r&B!q4x^^kb=NlApnU6;;Vaj2wS{M$u2<D*2<O()628;n z6eoC9b75Vek|KQUny{~u0(|7!dF{#U+WD$b4PmlV5WL-R_c&(*-fg#jcxQuAy><-V z9_P^D(Ixn8CmKAmq<I^jR~=m1@rP?u@ki-t0{yslqxO4sK<V>%>%Ce%W9pw5`+~FZ z*LHnI7E86?suvBYM>$2M0;PBVtZXWNE#*yAI(fKR-B*3R)G=O(XqRG~e7G`X_t>s4 zT4}dd+xYe2oe_AAac%VKj-s|5*2wutXa)YD<mUFo^Xe<50)G^T;dc`p<CJz3N!8;9 zyN6$X**!JZI$fYDj#ld>{u`IRT{}{$QaT>TJp%VHA;b}e;RSXcM!ttm*lMNH^0>Qn z#ldRnM2&61MfmNKl#^OIqd9*vIKNn}VTX!d-BSEqeXjIo+}$n3kJSRDu(;Jt#Sf*I zN|jFTY%Aic*-HiDxQF3hC3Lz|es!C{TWW1@HB;$PEMcRzr}~Y-$Nh;}xN8ZXK9O6! zQ$mR4-cVGwbB<0Yz$5LhMH6VX9n~)kEcTsps>=+t_H)Q^yOQ)+!XP}@uG^6MZeqi+ z=jyK7TYtsb${m%rojEke=sbLj6U{L)uepWMQ(CW1t2uWHtvj|{9aMAnubry&&M$A7 z`0a?u3-z!jIyNw>-P?|wRmYILloqE|$Dllz$7-0O_EoH!bBEB1<Ac1LEfdcxujC7O zSdTd3t|qJH`qhqB8Ze4+I-+)@@=`vmWdd8Nk+0DrwCI?B)m6>eua;1$kpJA>I<$76 zazKTxeWIYUUq#rj_H!jT|E_y_NbSc;p?qt1?vUCKmAv^%?&-m`eU+^FEG-lHm0c>6 zzKUvBAGj(7EB02N=F#2if)rJ+ZmGU)b;_%3QVI7}?5?Ee;oS%XN9Vlq=7||ccwVzx zT_B@=kEsg#Dk@wZQ9a)}kzF~@sQp4@$Dph261%ZE8xGx;>$>Ut3Wk>Jl9jPeB^8_C z+}MfYiZw7Qc4FP(o#mvYMeM|yL$l?iuF@W(mn?&KV<w6!+%ujboPImJWHg(~7)I}) zfEkO=!V3EgJww8XLzQK&q(zKVVZ{{q?PASXg?WY}!fDx|%(7B<bz5PyLN8<9;O@4< zNCgQTzF0F{F$_jUPf#85EOT}1=n8}ixr}E9N^}JcOH)a$MTLu&DBaa9h0hh|GHx2& z-BS2iA&>zxSlv|kP{ExcZE$x}p|7GByh~M3w+z=!A98Se%5A{CS@XW)<msxu^^ilH z<+?;JRYAqlL)UtvrmJG}X>+JkZbc0^JaQtZV(n?2zBR!i%+f-ao8W-39F*8ysA;Px z0<%R<{BQ^~`vauvJ8js<rp-%OkJ@*dtpIcV6%5R%z;J&BeX}`WxPH#M{adp!pq75l zntga$x&(KGf}UA7P|IK88*YJjQcvi&eZ5&NP}pBV$E*^#>!&b*vqgt$=B(IPnq>he z{S+>nHHh5}Qy9Zd@Cxe*E!*EoI|36BHAI{a`a9Sfp>WBpSWJoWhQQ1R7_OT`wf9Y{ z1E+`94B}+b>EQHX`%JSZV%Ed<K4~#vLP*Ug+*$O$VCxXM5u5-Tb^=}vR``J94!R3Y z53cFMv7%WtC-QM!ypz5PYGx1G2e+y|<5<uvIpEceDvf5dL%vDv?DKw$*YevdUU!?_ z!72h*Y%0yR_}*$e<>8u!7xX7`aXV=Qaqb|wF)cG!>>YY=jgpx|2;%{?D$^Q8ZC^1$ zU`<D1Iq!L2g^t3ty|i=<p&Z;|+B5OhLHiIhT`_K&93D3t=vaJbSFW}2g-QF&&f%90 zyB_1+(>L<l^0TEo<b~)j3gX)7kF_73zB$gO*+nPdx8XFq$b{x?LE1Ivgbu@sX!w%d zJLAby^W!gTcFo3<hl+dhQ>DuW*S)t^cB^%b+Zl`H>aV3Y694`4&u+Djao?fhZnd^C z?lkNuyRg(IQR&aao$AZ~Qzri-^XZGlYEr35qV@KdCA$*i@Y8<AMw8uhW85L*noO)Q z_K<74dZ<(-QE3}awaYQSb}D-aU$DEKkaBWo*zRTm_xAs<pZy14=Izpr&!46qe3`RL zGZsEIKlnoVzv}Fnuu2!qFMW3+bRbBvOEf<GGqInYS9*}}{aA6x?nXifJ$u$J+Ia5I zZECfWvBTjCty<A|`j69oc24PXLONYAr*tvl+40JtU5K&nAs4N>wUiujG&2}kJzKoD z*58?3QXCOEKBG8l8x=vASs~g+MBryuBIJJ*-;FGvxf3BjUA(#`)G4@T8x|2WbBAdA zN`G*=HeCK|@%2c@8AYOPaD?^D%7|@^elcyqPyS1>HDmCscEt96#J??HmTk-RYo`^% z<VnTjYdh`POSZ-OA+$H`*^9OT5qd4~MO(iJJb5KleyEtR=G4wOUY)J~4^60@vDX5L zJlB$4P>f&OY0Lg)`$YdD?M)jaa_6Bwlor;OJ!gy3KSR6JmQ7)dBp)?r=NEUZ_4`(< z>a)>U+rIp?P0-(6f8i_NQ@j^JXco*Xezo?Z6+UYlrSCdjOR#l{==gDmU>m_ui>$AF zM{)TYt8aCC@j^sQGn{N|8<Fy3<$-)_VL}kSyLP|IP<w0k#ZuKwVOS6WAy`rv8q|Tv zE-nlX>gXQatNLCT5cItJpS>zQ?T@ppv4X{go<YwM*}t!a2Hx#{xLY+@=oFNW5G*Qm z42nSru3oFwZf_slsTwb|3c8CBEG%SP3K)~UeC=)^cQ@Bg)g|rt*#*Y$^jeYjgV`6+ zg3k+y<ecbiY~h8Vj;=v^m4>$Sta<d8g=^2WEoRLZ<pv5rk!2UFE@&IhE=0k9U3;vp zI{P9DK7S3PEirpL3O;u&Rhw^CmT?hPVGntMTBW8P(tc;?TCz6t>`r9%&uj78ciJCr zRCO0NlT)cx%GxV4Igx_1*RBWFg$m{t(gL4{X3ty;*Z!ydA+1V5+qC@-O|HGLge(-9 zon1%?jOiSFQ1ufV=f7HfXVj)ka|L@RQf>xIA5QhJB4cU8xBcbF*g*g0;@Swg?^r+o zXT`Ndo7b9sM05YDZ`j4*1-<Md?7#jui|>TXO=9PVS^cXfu(QLddS6y-0{t|KYs2Km zvEPUL{i?JypAcpBvY%sN{w&3cBQ~X)(ZmT|!6lnQ%{#<YzbZ{lU*c^)xnZoDe{)go zuuZO}1M!k>_JU28W)_w!wCbYfL#*PkO@^i}aY9G%m(3$hMPiPQ;Jgi5Q;g`OBRFS+ z)Z`+v`c<iGMjx{NTafptQSHsEi|Q{~EG$AgNSO4;gsxuFr>>^dbPT?rW4Pb9N>!7M z$g1<@r%i(9?#K&YxgPAEA3;Mf5BqBPg*JTFCQ8#4TT8HU^6MzPL$Ha^oE(w$mFvKk z53~AKwPP3jVl?1n8(Y7W!j%Vdt;#2!ef!+qE>j4$S>@$+JWV*PF>{GK>Q!beO5ddk z!A4dld-m;dzjZ0=#;*zAZnR(Ge(jRf%~Gt~<>^Bdc5j3%aW}YlcjH%uof`R<xPxD1 z#-OxaOuF$a!esZp9qvjONH?rdxv3rhT=}u5=??eZSNt(-B;^<F_$6V3Mp85zQTe{7 z={9%3tKda$tjh%i+pw~`XCIy0_mzD#8$sE|)0EDg<pM#l4JbcuPbpANZO8u-1~-zT z*gh$%c$#i;KXfta!p{lwHrhwA;gxwkO*gr{Uu{ykJztTi-0rWMsNBgeOkMb&!Y8jt zk!-3ilurDtaI-t}knk&a(+%!um)_2lTxF+rmRx1BhYx{~V`Lx6rsz`E3CmG7X~&a= z7hY{HaEG`AhqARPcX{+Z;C>I^EQW0e?b#$pa>rCP>9fr!E?`+o6c=pgh(c>N%#qx! z@TOwex==v%1%0+@#R)8IO~||2#GktnUZBbLO>qDV`z>^%x=D|1Qn4S)Ql$98c5Xz- zzB*WsO&flp7`7s0RZa5azF*bk$6W#EFHU)`m}<)$#(k%1Q<rU2G0Zj@!CeR^6|p>5 zEW*MTg@$dI5#0IkrXm<t(aAPBlsg-qSp-`U8n9sw<-Sz~@#FTX+SFnDta!;bIfVNW z+`b4lFT`IRti$#}QOGtqm>UV_FJdWBjKiknD~4fN@)hr6VH6>z>P>C7_li5$OoxOH zs+zP>D)3$nlro%D2%8n!tn&8dcCIq<<&K0GXs~rEPFyo32rXCf`*PbeDg#@)q5&30 z7Amq~4&)Ak2gl+!1mj9c$Ek*Pl=zeq`86ZceXi(|q$RF)yS8mseY@Ux{JP-%Qu|}p z@AAV&rh8oBCH%*%Q}U!}{BOZUgT7s^&=S%S>sR@gMy9)5Atg*pT(x#l+pH7vMMixi zf;Of0N37%WZ=zWW<zGhQmj%sB%NDtCc1-cG=kjqzecN2cc9b~$qTr>{q(v_OlFUO^ zO}pMWJXKJo)P9jG*RCuMzaS`E%74hJVV4w#FObJX!wTdLjQX~?p4fTE;pYW~N;3~w z`{lt#eVbe;J4hU!BFI|Gw8-UELZNbbl=M=$+)DTlSbOD94Er{?;_XOu)*ksaL+FrT zlffK;5%-k2&)Ow_BN~<~Zy$}H5v(%kqj81XWztzY<jtd5a^!~%8Tn6kCH(uW@^(qF z_#cAgyv<J5pXYbfd`1PL^2_|WI<JyEU?t}%t}vPaO{I@05S$;}$@=5`gj#Y0SIgB* z4_NW}el_L@uCTn#j#S;N!5%3^=ijI$hjZ0mwfBG(o$qyptqRPlFo$zhUkz?y{c=7> zEjf(qL0+#P*S)+89js&LYg}Q=0w(#Heq5zjH{D@N0y_Ck?W`l`%Uto;^S9Odh6OI< z2e-2hozHQFQ3Vw8FSN4`o;O!Z9u|P)U-09)naA(Pm2oxL9rjD$Y<^Q4>&Nr5YRn;A z=&KjpVRHhU`4`$)`_3o1;`7f(xx(_#2fO0)&a<j92XiG}-E@Qf6nLYO9K;oSb)cD5 z<!W0qYxnsDRpubB>v{IRTuynxt*MGvo7`YC0xwjO1GyaXn0&bqS2Nx4+2{LJ`vwIl zd7JN8TV+lp`}R4zEvFEyvog!Nc-on;jLap@sHd5+srr^p2v)KTS+Z}B^Q~o>A%5-5 z?F{=R&exVnhAhQ0U6MY;GwvCXCC&y*Z$td*8K(^XCC=cdnK7x_mL`Vyl`~{;-wtP` zCBzU`DAS~ie=hS_(sYOO?o<94R+7vMUHp<qfR~%QTfi;P8x|G-dKdo9C+R3j+%{*C z<&;6n;u#WnZupEwhW8?8fn}RP%B*}(TYCDdPlSGw8dx}-ax*$r!?MPJg?dIV0}`ET zrR?kJEhe3*%d&9h6WDb43?4kU#rf1Sz<}k~8Ig>#sMLNLh~(TRXPTvg0n6MO_6$fA z>xKO2<o`lU1(e3qH>sSSPf1ix_oq!%&SXm_1N=`$jut7BRn?NBkDoo$3}zlW^9pRb z!5MAYtDll9<D|=yD?^s>A&9=SeH{JYW2BV?kS5WroiY;=ri3%gPx+~w_R_-=rZi5w zH2dh(HW?jVM)cO~Q_AI3BJ>0Z4dN_{GP44wZ1BgRoBTPu%-X;yB~UA1atLRq8A$?G z0;Pz-X#6zZJ|cfGI#{3e2lRxm&u*9>+{xQVU|iss>C0JQR#S;`!%N_Q@RMm>ImZGe z`Si7(7fa`7^Y;#VcJx`5%rf2Twx6wCZF#Fc-6M27zJ9g*p6AW&@!wbL)nE5atR9UQ zT~@D+9^|{bay7_PBVJL9c(qO))pL9Cd|$q_me=>Vhuf9<qxs`qZ~hA=*6=Ei{U`m0 zb&vY=WOvx&`N7;6uX2^`XVk0t>OM+;UcFhW9L$G!NpFuYT@6vcBepG&+mp}kC9wVf zc>3<RB=`6IlgB!)I^i}g%YmARtH70&IWkwCN+tII>ZrK)sGJ!ZAvq97yUuYU86v1T z(3D{|R1$FlmblH4*5}9h{_%Ug`19e#^Wwhm=eh3teP7q5%M{E1#)x%*i-lrA;F}E( z5xP*rfre95`Nc~uhMb0Ys=VM5-mnTL&ANo}66*%X>z+0&XgJmO;Rv_ns`qvOukpH; zb%ql#gCt!WLq5zo>G_0Mj@a%$mEu&dW|I8!pRwzEk2e3H($3ER^dDGU>^i1PrJgi| z?Q|WrNX&fDCRP%TGgSI@Zq&rIxR959`cG8w0<)px*YS-wT`N5guj1W(^OuruM_hFC z@V2Y$xz}m|H2o;|;u$c9bK>2h$Lzx)`M+69T3tv!+Ff~~_0W0t;p_Qtt#Uq}cy;Im z`|y<9i3F*ryxUeeA5XNZWqmz-DgT^R4&*|RdCutzsz<Zt4}X$75ij-V@CUi+IH~Fj z^BNMu!;t((mXfC~SeWN9Pn4@k2o8hugDi8*F1*r^;2#F&AGgeTcjAGX#N6RNx%14! z-Ez}0Qui<1(2$rttepSIBB%Gn@k7(mQh68jH6*4FAILv$A!%}9+f35<!n~Oz_`;Z3 z&f62e9h#1k%DgbIo>h8c<zR4>6fEyHT*^D|5j2N(V(Oqck^YstGkAC@&jc=&c;S_L z*25F;51tr2{75bsB^7%i*(|5^gm_(?dzhCuwfSM$rNj7p$Ls&{YlHTBw|L1VC7^r# zuO*kHfaZ1iB^R<d$*%6oFS?M72Rg0_pO2LV1wQ#N+2R6XGYnGh-w~=(`dj1Vtoz@d z>0307z<8#~eF<eAyRfO6a37E+Hx~NdvTwmupwaVPHTM2+s@zCu-LVVbRb%e&6RQ5x zpByLmDYWuf56jdQ<C!Y=A@sH70YYe<W#7E1J?61M^>P1iXB;a+(~tG6t5)?-+4Koa zPhsu|RFU_wDRSohZ*BVcrXY-`K((a*kxk#6sSXB^B4^fr+orEP^sc32d8o~?o>kSN z{vew^vG*nhn=IEGs&TAmMK!noxJ}=bsU&8fKsE6GG+)*KeivWW_dXz5?rrGa(Vk`1 zjQ;nr;;kLgQEi54lg8|z>BfC*k{m76$I`JR^s;5&gy{>7o+Z_U{s*ygZK3v-2Ofqp zk6u_(^|%j6lGE$IZQVC+%FSD~mYWRTI21Z$lAQk-sY>m6f7G!$cuLK2$z(~chiwv_ zA7d>y9{lN0cA_e&=fP3Os^H#3zbC53=dD^5TJ}UA&8rN4eJDFYwYKN-QOC;Qw`z{x zOn7p?C#b&ci8hz}82s>1cD!n2UKc_&JkQihZaDarn&Z65xqK`_wWeo9!%=8rkx#Ug zV+B7_>%#|!9qM74nB>P;$}xf;syXsa^z%(E<pzT74`nk=j^~>qR73Ir2vtl^w1(rX ziE2L4LXIA+bLjUN)%+e44aaE{seDrlxvt>bYJFwF$!d;e!O?1crNNSievekY)w81R zIBD`)E;~v!t>>MY9H^(&Os+k6?%?lHs$O}XaMi1MG0;MTo+fq236p1X*(g=dynS%h zxSj{<eYoJ>gFOQ#Q+cZpIdb6MukJ0C_Rg_&Szh4$4t>cu{uWlKlAMX%MO$|^t;=!) zxxczMRa!b9{iKVG!)|#BRfwIpf9|ger2PtE8~fclAyldF4Ejm`W_<M)K&TR(iT#0w zcAoo5|7y(L>)ud#-g*3|10k?!hh7m__{(lX<?l?u583yD&vxiSW1CyUJF@J+h+lT= zDmOA?1S<GWlXY2Upx>|VH5GWK=Z@@PpzANYH5F{<@pajEfrUG{<$*an^jTw#Tf^J3 zeSx4~cB?7{o#*!G)5dbQJhx@L1Lc0%t*8WLuJTm^GKcvpewjqR%AL;N_UMzwdzr&q zvPPX#yL6s$^PU95xM9z3StX_O?QU*K;MJY|C4t<ZA%n)EOwTP@gU(004i5v*?a;Z# zbD67iDlwRhs&gM_x+T<4iiLYWJM?Lt^L!6mMs`N0F5S*3a&C`a6YojUes(XCCWq3` zF8qubLegr!?nG>vPYkW4=Lt#Np(VM^)4-WJW($%z?>8c&?yHAp$SyG1$kv*POvEm1 z5&61?l?mL1RSkul&Hh=)Mi5^UIH<f@DyN#Y5#c2SfsLC<qeFu97hiwiD~DXq#{Is- z`Gzd1d46IYwt&Rc<Z?vc%}0jJ&Z75R*UYb(*4*3yt&ogrVpiAG%7^66T64K|N#=nc zhQ6eiZsVtruqoRsdvY5jgR8c+Yxt6(72A)yA_mgOR`#bW3;Cp`DD#cL98yz~naDS{ z?H-aj>$_Jtyl74x;@QTn!791PxSG!yf>t#Vf=KvGY?mu#BPfJL)C*zr2rCmxCBgm{ zBCBgMGT)Fn1dEy&)SEW71;oFEE>6TfP1PRCXK3`fRlj$f2(hOXn`Pqb2F-3pe{A!g z|Hhd`klsTG#2srAr<A1(bs^y^{HIXBHqI+PA`Bu+)7Z0r-i#h+aqF5;c?gw;#wLO@ z$DxYDI+cX2ARv>>TP+BjMXf}Ve#|XuYqKoNJTWfzM&drJ3b?`{X3#WPZsD2VW*UBZ z8VpRrw#xQ9=u^)r{;tMpMbrE*dtG0eF<XgI@db|;aYdVE6O0u<U|K#KL2F5j)jJPm zkkqOferMJTkCb}y?D{NwbT=?emDZ~dA|EU1pDecV{ptFhWKq+#1Nu&a);!Kk{DCKk z!)5rhaDxP?A?`%5kWXt0GG7Qt?}yHvby?^9_ctYE_U&*4$cmcBJ0d0$UxVL~TPHDw zKxYltVSMDn8n;Z~4r~q?W}Cei!9<4G%C2)}k@ss(XZCG#W{~%4bTjj|In&6z8l_Cf zZO#-jtLDy*IG6#p3u|g+LvPZRx7DVQw`z7WMz-*!L)*6FyP*F<O<lu_CG~2iGZ1{z z$r{fc(Gtm^hLhp7g?~6SZ;M`2dpINzQ^`aF$e0?-9l0eEd58<yonR)Bc&yEcJ}SPN zl?eSf0UAOiLl_exHX;$yGa*VeA7}jyeL4}5XwG4Mi%_PDb0i*;HW9%_G!O<jbqVGp zEHCJl2@o3*4RM(eu@J8aRVV?%{3GiURC}V1g@}Ook~s4S@mU@R6mR~X^&w)Df)^sH z2;wxN;01^q5Ni@lfIvWcxT08d25T_Fi=xIy;0VqrWr`Y}bsVZr!k4qUBQ{&{vxp*s zDe6rteg;uMIDtxR#ZM!02>=wN6+eXtg6uZq%UEp@eQ=TyVL$3XD`ygsN%$W50&d>H z+JrEg)k;|n5iiIv9wM0l<J1izu0p8e5rc?C!skdJ+`NtTB;o*^L}ATA(wbphggYdA zToh?e_TO9TPEu*7j*(<}{_`CA5;#5yi&RNY#8T1L)FzTF*Ppx8ov6}6eKbKAfy0tK zkt#&0nEhPkPg@FMgZ+|DAXVzAK@;?E;Hyahq)K!mwhaxXo|~Y51#?B+@hZ=$$0r;J z{!JWug@56aUA)TQiGViQ_x{f~bRpO#X_z9*_K#Syi&MFg7=uv3Q%y*+On<+n?pPIg zq9;Xm(BE~*E>;CgJx-E+=U>RlE%(pi&}YFKNyDwOeg2>&yBL)M>Nzf5TrNqTt+L(z za!Yp6DnW^>aFu|>VYrH4A`z}~hx!|rJ_+7S945;eQK!b~JaDr}f&p$2*+r?OP~VQ{ zmiS-g>@V@>E`|((MTwqdSp({$afgTg=QwmOcrI}@OeKaI-7MyK(-ylIn<p9R#tZEc zLvUKzS4zZ|xcJum@`TM?#*!aGXvSrrj7jZvn`U1a2xDA(9UD$8>k4obHjgp{eu7kd zCF8nrXnVwWv(JnfzXQVNPYj-4UMlA+90fLSuiG&D!1&_VCulxhRulkC#aA%wjDK$j zvEVgjDFGuXYC<@n>}EiopxL|(9)J*-F&SWE60MF2FDdg3fTZB(;Mg)OMQ*Lxw5)&v zV!|<HmH{KlYO`>3nL$8lvf2zhzf3K_D_LzCo?Rv#a6r&(T$VzqW5E5u<FT+Q`0cWv zKTr43XBdoEuim+Ndkzm*42=0fplUb&QE!H{;THEz)Ln5@`D5+-*2Y1N{&$Wx`8j@j zGK-l5f)6Y1z|kM&mHnb0U`@kQa`x%2E+=cM_2Z&KIy*vRV$m!x!f7+U<v#L6*j(6i zT?C@s-R5kgSm;o~;ldkuLK(*&n8d*iyz>LX%^;EBI4W}h9#h8hcZ6~(&fvageZaP_ zTmMK^<A!yVohO>B-TeAh{S>b1W>}@GXYA@>Q)aoH2`+(S#!&%#1AChPpre}gaRY9U zq+Nzf?Y3&z4N+(l(oC%Hxl_In8fCTc0H3k9R{kxt7DKc}Y?W(tdG++aeVrmYjFtTw z`U(TE?Gq3!e2;znYyUElW_8AA^Vr9<!l<F~(0KID(wZ$0jv_)al!=(;vE@(?<%J9o z%GBHX<?Gm3m27{x)9h~{jV)C*kN#6E23{Pz`TQO-Sf8iqRbD<a#wjp;z0cu7YuF9o zLZuvOZC!k$JMNq6P}p)<1@2D3CWP~BBCHAb86T0@eBBC%#TUk#L2-}WAy%-ZuoNJg zWCdFYYoOvB+z}S|*{~3xOJ(6|bH_lp>zn=2X59mAt^&T95iXcgxZK>%Xm%~-n}N#w zDXz=SG{y>;6bmb1yz)y-;ymoP)4Z}?J|FrNQ=cN*B%Z;1w{_etUkr^q){`N(VQP(; zNC9mTzx2CmT4%_yLz^)y+r%wZeE*6~-~9I2Y-klG*miTr=ZGn$C`E3~)WHaI!tt29 zt>calKNNn9lrCy!gci4nS;jAfL>tU=TV+I&DUW_tGi|N>VZY#sjibsI0crYWg#Nc? znlJOUS)pFdWI({oBSFX&<y!UqvS8Cwa(;n+{tgcN`W|xpy^`<`8S`LttlC3{0F0xG z{>u<ciri8&nZX6_Rxy*Bc>|lSeb)Hufkg442xurQ8OW#-vBMI9JyoJav+;qy^`2Hm zB${yszPTz}tBJzmfoW9{?63yh0KP84Y-GSo?@ASj9Tp9AsS>fmUg4_T1qic`1DEu) ztLj)`5kOya&V1Mt+&_3wyxIGK53ZY*cwtx-F2?<hC0-y7sI@svARdYLRElEF7z2Z@ zUY2V7FdWX=UD;9%KX6=6-5g&oj??CUaVx@^y1%i&&x94=PPivp;HSfKaR7IS1%4_l z2)Jv8FB1o{58iBq+wXqBf-@PGiTm#M0&dnZunA<Csg({ixW0hG#ODn-7`|>W>?)8- zh!_k@#C>)XS5Vu)6W0UqX3D@EFwG3c4RZ%(6GV|_<o-;}^^CeLai~}S3(7=)ye4B^ zwYoo6le%7B)gP@%&0ubdBYs1Zkm0&Xw8GGB5A2G2t^iHKy0~s)kA-IxekXqJzp9B| zSFP;-OEYa<^;_sm3?+rRLHy8vQ8Rp9mC!$81Nk9NtG|!QiqnXA3X@?g*zpmDR$?M; zU+kz>^s{YBcgpeoCYscA<{Hrqb4{EwV{@U!7zbNNf$6*cJ{zEbXo5LoE7<m#4K2hN z*}mBJnF+m%Ickg8_L&aN!5p*&ZmX8}ci0H{L@*{MC4v!p2eZ$1bE|wZGy`)prEr<p z-rsDK$0r(MzMd)FQssqu9jjdvH;7Be2y5jNp$Qo0l)@#VKIVK%#F8nczuHE5s~p!q zbu2B78A+U^r>iXZM+}9~&|hH@Nv0F@HBcUs$fYlV5J*!l9i>9@uS+!jLPx0J{Oi~u zM06L}5lI}S3qXRl@=E%3l~DhP1k=y-8PEYF@e`c~%4-|@8iG<b_pggL{XqW$>O&Au zql>`6w(<(Poyzb2AXZ2XIt4sJQ5A*|&^N((2%<R}4n`nMnRKuU$*+zXQiApbLn!5Q zAy_mPCKpRIMHj$8%n%IP5<JqXIvavU8-Pn&RcAu-(Q06?R@Lc{Y_v4^0D@?YPJz`i zLj07+n|-E2Zlix13CKQ^A=i~>eIufXAapQHG#HYK<{Raa%S-70f*j#QD*XirLH3zY zZS81nlT-w7a`~5HW}mmykN#g(K7Aq|@|W^cU(jI4Wo3e|D~hOxrW*;uOk3$Z<v456 zmdRvK>Yw9rs>{KthsMviZZ+BDPg{bvnym9VmU3H7<2@07)}ATcY~u8U{aG95BMNTH zA6EN4PeEMl=N%kQ4tKQ5DgNAOd2~kfy~#44attU`Cg!&s>r0g#^?l9D`rh=p=V}x- z)u*y&N~1J&jNn_zn_(GU?z!A)!_#6K9f&&rDp}UpAJx@bo+R7e?t6o>Kd{7?>D*OI zrHa?3Uvag-q74&hKK__js_NI^$U~uVV--D)e=3}DWd%RZzjLfq&@|Lz^XGz1;d&FR z=hUAU;>PejW`8iu%a?-4+3m*=h$d)u)gfi1$<@&=!CN)g1d}TxUDy9mKU&BN3jZVr zOjQ*Gm*vBbc?p^Zd-VS#$l+{2YbIv-=Z`@ICZ_qhmZF%ZQ`x!41QtGm;G*mk$NDVF z`N8OXgJY%1sxv{4#570Iib+q8%%9pCpjeZ^o&$d>Xows5phLUz0)7)XKSqri)zsPZ zMngGSbt)+7*7sk}t;-cnPR3Jd9Vxf?hc*##RMW}iY)duKK#=FJAI~#>FZkg-7nG3y z^Qa)nXEONup;_yQ<t9*mu%&1)I5nStG%u;Vq~~7^M}8Bv=Y`ZUM3T=$@Lz|XT7w3I zFCQXUyDl~9<<pM}=1f|9c!%Ps^kt*;sNpp98S+$nZndw7ZAZ>sGFszG3>n2n#R!!X zQFqeN<Gy3OC!0;mugT@@xgw))T&+#zCi0^=^r$bBlDl9u$9?i$xsL49p8L&chAY7~ z`YUQxp!|w#(Vk22E$2PiP<}?f5hn{F`^2G#eed$@SVneH`%=-T$lJ7Bp^;ToR|=Zt zdxIyzGGcSJ)|C;cJ1J;}uMh7D)97T>`4sek??s;0nsOLwUkcikd^iqmLQbaT&Kezw z>Pkk_ef4=-tIBuD7PQ=HBbli4$>=U$DV_w=sDs<hFlyswF^t}DwN}LPH`~<QNuwXA z$9&~<@)*^j)HjGiFY%q9&{|ebCO5^RPm&+SqN%>F6mfoiL!IC&$CK~I%IcHLskvOE zYOYuZ!5y_P2~GBGJ-BIIz8ut^y{J~0WO62Z@z4uvpX#m^xl-$~sxFaSp7q#b(3|Yf zha9cNmQc;vpVdH#CSzUma+_9Tm0f;+;LLp%g6gvC552JRsqC7PE43OUbY1?#`Y4kX z^dh_DkfW6kp=(U;4Wend%l?lm=05Y{Y<jLHiZdDNvif6IBO=a()%9L35z)l#V#?)N zj`4#^vrE+K#7Wi#`~&x2wwYz0KLkOTm}cjyiDFFpyN>)3su2-mLhq9Q<AR1L+N7sT z<_~R+h-j0puD5cVa1-O~1hqm&knaIm4Y}y1&aS-!3+k?np!Dp;gQaj2P`1CCYg7}h zYvlmR%%`O5m0Tip>|vMaK&`s#U{HLvgPPo6P+a!F!OgIy)~-sq1JJSYAk?j&zxcb} z%YkXXW`4R11yXN){Z;bcREGa6L1=7!Yx-BhZrNgB%dO#Gr+3RlfeF9v{gmA_wz}2+ z>$K2#v~zCn^ADAUz}j2?{8ADcTikm7>$|}CQ>Xj?V%N*Q20p)q{q<R347pXm6TV?Q z-1%uw>4(aE;LTq@_89Ap>`sgSec5waH$HW%YR7Qh*!))TuY{d4ejxnUzkBGl#(_?q z|J~a|GXo#oD%x>j1_u91-D9ja_I0ZM@A{t0YGZHb;s06fF;*J8JEi`2WY1;A*yL8m z4ucWs|7&J#w6s&=e-e8O%f_9ZKYo2!Q<)4*y9M8oWdwTv`mk%bY)tE{+q=d$K6z{6 z=V`t%we#Dr;x(0tK+j)UYopx2*js0I43~`cZgu_qzF9U7%0y0Eqj@k9e*ZFPgBg;f z+?FJ*f28=Q_!v>83s!gN$GIOi9K8myyood}42x7wMqsVc)}$tLSuTvr?oL#0Aw8<1 zi$GyWPoy%Dbh~PQ6^zCXVT1gTCy<TwL%R#gS6U^|Be16X<-NKH;*WyUqSqt7Pc7(v zwaIjU(pWF(DiVNHjz(au&`{F3D*9Itm)RY!{G4>W%7FlD!qY2Yg>1Wc<-ZXCE7|w3 zXL!00WTQ!N5P~d_#G9vbrX&rw=WFg9YPy}R+$LLeZg_~7YpU$``@NAhGr*f`Z?r`A zc#f*fsb^Xe0m0Wp9r0m0C+H?%+vamu<vv#KuYgtJ9RwgIQzA~ejMP-=fQMaWXT>Vx zNDnF<=0NY560ypmh!Y6qV8p70Y(MN2J1a&RO}bp^FatV_jIogIfhn@HqLs5rhb#A& z!_x7&Wj#LI`;Lvh)WtN)R99&zwwW}Wn*E<?k;>1YVYsp%f(Tc>L;9_fJ_*`G3`1p& zNK*vyJHDAI!2mTd#Zqx8q_>3J64+Jz{t_6M6*34CAv~e72Bb#>hlj9pcsds}hgc0$ zjv+;x$+p61tnNjzhK^Q1XWGuvXX<Emd-B!?(&$n^TN<AuI}|`ko8U0m0j+5hOK__9 zqFB(HwWLe+u4)h2%9=p40~*s<97ck%Wm?M;y>0Ycz`tp9jx0OipR{(4Y(nG5cCHBC zCf;g)me#^SvjW05k4(748=p?gUE1H~UD0m4wZ^TDGiJ9#wmxuWSpmq+K`w(CP@d+$ z1gCi8+fQyi;fBW=543A-X^~Xs0zx<CN#5n{$F^d*wK2wh?aEt!aSdaPd)p6fnQ?2Q zjl0_qY{_$FnE`>DYt7ze?X98~c;nml-?n~?Gol(h+Fy(4<WXJ#Y_q$0w4{Awb8uWX z%GfaNn%eK9Dx;XM1;*gt9i*6jmsOQc_&42lR4xqxxwwR*&w1Wy(r(mG8$Lr;QPhi0 z`Yxa_u5BGU>H`~j+fLp7@u{#`+JRI66PU?`Jq>!SqVz#L&sjXp2V4A`#+k_Vy1H?p zzZPX|AeP!xf*b3nu@-g7qqz2&&DdrYZa`ETW#W5U*|L9o(jo=D1wNCs$a|jVT}@r# zmZXhVQAOO`w9!TXH%Xs)4r!wz|K_C66vIvM7<HceJ$1B_>bG1R=e^)xmsHPtp6Xpm zo#K|HjuNPsm#x|vEdLitB|L{zv6=N4w?)t}OtoMBE6#h~|54I&%ECH$h-$SwLknLA zv#9U634#VDmC4Ob8Rh$zCY4ZX*T92R;Bqm|a1Cshbe?xw05(m^r7Wz1`>98kLulcv zU^-QP*^aib0`8&8ENjuiSHNA=x7_c1uyIlX1<mmHU6P^6t~7K~_m;j<T^Ro9NsDI$ z-_3>gDkGOYJ-th*P22=NxShJT)J<g!1^~PN&zI}c`KyX2x}=*;iQ>8L{@?@m4#!`k zpa=bvlH4earG_@@Gp<9D_e1I<?&+j5uD{39Jt||sKRT&>g1>oh(tEq($(B-DK-aF! znccz^I(W`7J`gKZN)Ev8-m`9OT9@H^b33{>m0B7fWza>2VS%1PC1T_4jQv&Kw2lzA zp<mz$p;CQgPzL>*;nhHZP$@b9`{N$8@mvP|t09-xy`l8H@py&<!MiDiUg2HXVYi|5 zcL3mr%zN)=DfIt1UBf#vZ10E;yLF`-0Wkt4e51*_jB8g%=Q*&yhOVWlURScInD}G} z3iK2xl{7v|r_UMc1Oj$s%o=Z})62c@rZ|*)+jMlVDit*brPF5&6$7!`GQHj!9o;KR zxsAuu=~IT1f%^nXfdSKeCI5gfzLIYMU|Z&`_g;JVvQkFl`!$&kZ&AAz!?1}qGibOG zfZdXzdHbX|lz3lGp-&jTpo#a55*i<@$+UUfr|f^|&1|<@Qt}7@Y{}>~-cF;B8*;r? zsWOw-HX1^PP9}Rl7B=}SQET7(J5*nrf;lXmT&nA4pNv*X6DjAjx^Es-7ewcu*$Y0L zzul|l6+@L7zxJsiD^ZD5`@r9!>RNAuM50o>*J|56%i3uF+{$aO8?q9VYHKh1J5*kK z3v>8(l2<2@p!Bjfnk4h_+QWvdc%?|ME`(CJmuZ{K@U>SkhxwD|ys-$Sn%WgV2jNKz zZz4s8b?p(1j=vVx(9Jw)B1{#}r!8TYWlwbxzzP;++hV`Ecg%k*iH9%;{z-jrQ;N*M zHT#Av=E>vUrU<1FF91RbQycB)Fndzfo7gHtzoye55u=n}YvSiHeNxKXv{k0-+HDxU z>{>F+q3l{Tj9z+8vOywR=~nHEufycY*L7J@N@=z4nq@$>t<5s+*XHUaqLjS6JmE@L zy<*7s3~HNv9VSjbtII+ud3x=GE5+45@TKFf_11R}oSgDneIrArWD3`+YLgny#I3TQ z3&F2{`^PqW_wdq3^3cPcjKfN5N4EgKTaLJ7rTtXui_$dN{p}a4#ky?CFGbL8?!Dxf zBp$@6Ecub+IP7cIDvN%kxB>PxWWyLGNchBjbipr95L@NK_N$L0vRkagE9WtSEOYN~ zeo+Fus)cwkG!D<cW~K7gFH#U<K3YL>6v|h*u>2m!-C>s?8ipt~!f%!6xCR#Gl+ez6 z6i+b|nwhHz{L12B>}!aIL5jYxqS7U{;T`22bHC+iIi;7WglOoeXbAtVM8`DHDT=~N zm9jAnJrp_N$x3u|Ll;F-C}BQYMxil3!@=OVNW5V*_$}p^V2w~41vZLXWwk)PODRpv z1UR^zvL@&zFb4gu37(jZPWW9BG!tr3V1u|}mJ%GSAID<pLPv3w89}U>3fC_xj#4$V zrP%Ru{tUhP%1X7}kU^ry<FtD=FW<)9<6hxf=2l&qs-D?YeDm_vnf;3f2#*tK_pDyt ztr~DcjrR}O#_ZY{n2m?;wl1!}`IGB-AofA7O_)}#|0Df#Cq)MHb<U)_u44|5D5uO* z?wMCqO5MBLaN}`XN^P`}3Grog*aboTz?H(A>3$0<ioqjhezNkbpdQkjFxK$DPfC*R zjb33|ewwqPPa6Di--iHQs`CIFw9)w6UK7{bqs~<83PBuFEXmJf8ah%<k{DW3M{m`E zUcQf&c}sd!+5KHH)_pitX5>m;wcU5c825cb#eZHV$H{!UQd!;2GH`WzT#HmpOLtmL z6K}oIN~*II_X`Zx+|MV;7@{#D$F-eKq}<bg`p9NK&*0xj<>}h}pOyD7>Z>|Cc^e%y zAHJHHnYD)c_T>GA6Z=OK6=R|%3f4-i+YG5so9u*=O)CY<>cPv^PUr3)|5)*ar4>O& zT=VD>0K8{t^dBPxr*h7v4B2=<+_BZU`Vpsh?JZV9#c+4iRGHx`uTsL}0d459j2wZ1 zoyWe^d#7G*$3NFTxl=0A0vb;KNH;tv8mVvy6VqH2eXCwh+0X?Br#$Wm6p`-O6dCiE zZ*9b^P>_eGK(Rzj-Js9i(b76&1bz9yZz103Q_Ei-0E#l<$^*;&bJvOCxiDGg_3)9u z;_jJ7DOKAs4Z165Ru%8RykWC{)<D_g@%G3ZEWjO`EYo{M!?B@+tjxRa#V+<o4_=3j zeDOs`85zAa`Sfq>$P%m#$+twa4P=J8ZEDv+Y!YPXORV^J4p)B`fIg5e4PCO4U9IaO z$qjwbK(E!ekwv`JHRX~kat)u;WV%{1J@yF{1Kp?jMtx+B$y7gag7mZ;@)+hT`i<CW z$g+G&UXGc02@E8LDy;WU!C+#P=(S|<tM7*fdP_0$<-9dxS@AksMk9R4VB4LTbPx3M zZR|b6m+!3|cm^x(!F<JQ?&tZ6NiWM|WvEv^RoM+1%)0~likIC@lVm7YY%CqP29=c( zONvo1qt)rl`sq%?*7wdlojRIZ?J2r%m!z2Dcn7ISdD?0&WBv5)QTn2Ogp;SW%ok7Q zeY-@(1V@0i%$VnUHTr_Sps+hZG1hU|N@m2f?!H}uVvOTHq~bqMlg(v5c~;)<X6d^+ zd0NSQ@O-VdpWs=iMxWQWcY2IaeEjscqYf3G>G!+i6syEs54u4Al+zuABGM6SDPt}s zU(o-fS9l^6OP)T`pwH>+H~}nW%%0xXpqG2zRdXo!w7K6MqgeDbNP|A3ujquekm>c* zxZfSEnEUj&27O9j(rF(;G0<@uuITUB1y}TS1X#$t_1r7!j#A8c`rb^Y!&6kG#n5jm zoEg;LaKu7oXr4Z54kezK)#wxYFABR+iV062n8~zx+N<q<=*cXyLn(SV0-!Q_Pj9Q! z$Mw0q9~q3TdLDU`M&B*1CMQmQ$S@r8P38?|EU<kOdEFTcn?~d0zbBt$gl`&g$lq-2 zP=K~5x~*L-AcdEefoA(QMzK<QOUQnc#p_-RzI6!R^`dl_@AVeqDS=@Epf#!;*1P2U z3f+{kuwewn+>S`^efGT4i3#id>iZ(9q{HEd7lAxRYZ25BlkF$}TKAgQp5aMMTv{Iy zYMs<0+ZarKOGn2k2L=QLIyhX&SB0D&j;Mm=80X325pPVK-bVU^pKN928Zqk4d`o;E zqQ};y#IXNzbgq$p>~9uto`23QrSo%NJbKqPIWp&49OcmAATWAI?xX1n>P@1~bcmly zv%ZB<Mjg+$#b)PsqmFjKx4ou)bD|D*=x!^QlRId9emyuUCMBHVdq-@-@qMdw(l;aO zW(s<_zMb4m%jMS_MtyBB*;3~Ddhz}h*9LisSFu();hPZUoPu7e*B4Jj!k3IF<Z7DI zRw<4=#Y;_NMAlEXq>p~{4<EWt3;zlWPcoWlS*y!M)^l5y>flHtZVPI(*}pc?=t~P? zwAjCvEw1^l1_xyQXp5kZ-&R`La(y(!KRm(cbIVNKeq{Zp7G7O$+sN1Js1Y;&+IXW6 zEnn*Bi2BpvMGd-br4=o9qZ0mxtm`%5DGi?~%EIe}@S6>}h<fvIcmo_^#B2eNHv82w zua|^-Hk_uE&RxfbV_~wf^`_wkFhk~bOt@vk=T_y}>*#QUhLTq0nd|xCY7N&~m8Y*~ zhf6o?N7NgKr@(3%*ZoGmG<!{5za9Rwo=^6gyncOT#y32w9uyu7TNu2a8qTlJC6|`8 z{9ES$ucx-WsDqQmuY|uwp7<ILUcWq2;p>8`*9)iD^TUi<TX-X})(cypNmA<2mpJ8R zSStI=8JDd(8|1X5;Z~hBl4B{mRX0wG82a}Ndb5s03LE-2&PxPqLJnIle6O=aQpE3> zx)GAk(DgGe-|H-pU6zL5>!8TT)d{Jk1d{8}$usDUItY?z8P0+|MHW;)7u3Bcog2Co zHzI^pBNM9WDI)?{1@f`w0uzQu;w@#@>lh@^(8)M2KI|dVty(w5YYrC1&aw$-!a~?G zaU-*^`^eMP^yHBl*gd3fb#C&=G%OFPRPB&FG6l;*-mzR@zyjFcV#O`<n@Xi*<tf-L z<WAM+q|#E-Hv5aUAp_>aZi_AD!BUXZRd9aYNu;Oc!cv_9l2dgpsq`Ufo_#M?`5{Tb zF1B76fW;s!EoGPL$RuvxkE4vFIv#PekZxUCO-$_naMW<fC%JF%=mOg(v9J5+LLz9K z_;>%4qv44l4)I%|lC`qPC%!NBXgJ%aA#^~kHUTt3^y>fXs3F@Yy6@7_1(wgN(5m}< z1n492Qoq*GT9!{l-*xkmd7met|EL+pgWeNA6n?iX75Y?##@uhQEEV|N=(95S68Iqc zx-}MJK@8$x;WbNTz7H<c`M#2+GM;$6|A={MIkCI&yG7}&Pf@7p{T7ST8J~jC6ZaD= zN~e8tLjm_sTa-@u1oizeD=j0o71H5#Mxp!f@3$D4^vMkUUi2Ie>L70R4Vo#J5*rGi zL%n!D$)PZ{+CiVIeQoODgFcC&pNn+ipf=)@!u{|%3URJ4)y#|Q<KCC0z7Po_d+cR) zZz;6bjIB%aJmz<Lm-OP#VucFHw%FYQ>zbx@X|4x1vwKsarRLGkUXfndSx=z?vF7$q z$tn+8W(Zr)@9YVoLVZop&)#o(SI+{33emRM9|h2wb3c2(>T%P%Hx!=N9RF!g@MzlU zt?($!wA)bl+ZOOc`n|`qonE1y&Dr7q<Xj>$?ba1;*v1GH@HHmu(o7G(%<eS>xUJ`o z^q_}prrnwXw&wV{^gEBjot$!yoSoiTJ&m)&+tPg=piH|}g@T%Md%e?oa%VlarMo@k zGVN9rf^1j$3IVpmd<8#SB46Q7&2M|XlX`o$!&}luHB-C2JiX?035H%ny4|uuO3mBd zoDz?#JCY?H+>DSx@lL$wmb5|5qh0%l9_My?xq5T9t8)r5HPLI*tsb<D?ns4B!pemG zGH^2@D@h?0LZnJhxXp1StKH^MGi;zJLLy0lOlX?0Uj*KOno^}XZl5^zB47~oJW`>N zP)?E_b$iFLUjX_*iEYxK-Fi8am2S^aGc4d`XiS?llwdMp{}p%<I*d?=fDjQ1PYH)7 zaw^<%P!jP9HzBJO=^?jxRMvm&q4!+L3b#s*m<hy;5UXmG5t_L6c(<#ltXKsc;Q`lv z4)`uYY+V=%Ie}0JhOD+q_q&}!WyL6<375I{Gr+^pm{#c?H$_xdv_dxFFjunNEuE87 z<`&P9EOU$C*iQj}gRYXLJKZ)S?HIsq2$5U>BD`%bFeJPmx90&@Ai;2jYmoDBg(O0G zvozK1Q>5J>a2^7HD_n+{lBFqbHXM5{urfjdr4U7kruHuDrW+5Z6`U!XYR{>55e3+7 z$)|wt2<0hdt#Q)UWpCSi7j+|yJ=3JWxG)3kHsuq*fHdhbm-m$31zmw(_jmbN@Nla1 zh)Z37-FNvI@IImZKV`{r(w|%^1G-tduEw6J(jQ!2QzQv4b(G$DU3=rl0{O>fzqQ*} zxTK4>Nad@_rf9ta-BZSQ1oB8QHbvUJ>@BUAuM0Bv6v&s9J)-r_>FO8*Ql!nwZqs_p zUG7rs%Ux^&x>x0k%7SRUGrEe#*ktKm7ma}K75Ut<<Fwu>T}k780{KAjG+*8y+{Kso z1p|_$-@5GicQ4Cll)aCY?r;(LYcX`2{ALEl`~hr|G|k0_VqfBNnbJF<`@*k#Nj{<M zL9BF}i#<j1p$pSrOepXG1Cpfm%5GD8$91{DRcq-<=MDFeA)REs$4Gf9?tPVgweu9- zeo1G^wVSOItruf0J?{L;Ju6Y3gnLkBU*+8EE|Dl74_vh>u*5}I<y1PqcF#(Xuf<)i zvafW0i?{!#!*i8LkbjAbHkbbB{LnosUOp1og^&*inp#N@JHNu)&+DAi!y@Esa4VJe zLLCb|qNOy;`4PSs?;Pgd&D1f`i?NhuI6uVO^L6y~Of97cobBDSm^#PxOcC-SKmbA> zgNv@TpVd*-BU(t)opszLV&wC2CYAQnI#PP37SdhLxADDY&dGTDGUsS~Z>h7SyF|47 zE!+yhep2VPYgUwe8t$E$GziyfCf)8l=Oz&)?*;UP%U=b?Kno0TO$7T1ooB9DD0xrd zKDc}w?g60}=iKYoJ)koMT!l!Jo%U?Iw*c)JG3?<v&8PG(X~%0~g@EK^*xkF<n5K0p zt`pa`dlS%td6dyB(hk$~6at8t+ZmEoPBhyPwzi+<2_c{!6O_^WP5Y`QKnRFFhW&9D ziaD3j`&FB3)4c(BjyaxTPjG5V>8)@ow6)s+{Cy1YL+ZWLvy@(;wvFcSj@W1^!q#pb zaN}5v0D#Astc#tG{A|0|0Ptg;J5qyAuC{h-04(PCy3{+T!jznHr<|1DS#1r?;cclt zCy=e(Dxd&!F1>eJTTat+TdLbh&em=P5Oi#n4+uCm%m?@#Bk}=vFu$euPKs$3!&_2D zn5nd0o_4d11Vg*Q#%>vqf_a;kQ{r?rMY6<+dnRO1TXf8GOUeNAD9!$%)47yhuJ)X` zT?52mqSvHaooHveBLSW06@U9>txZr?5+GHXNR^s!n1e}HJIsM+*jgfxL=u3EZt}NZ z)ViT!N|oX`e1h4Fw1QO5BLR)*a+1`j!#kM$f|id8u}$i;LoZCS(%~6+hNX2`C8kXZ ziZ=1L|EhISWf%d7P$nV(Ptk|{b1EEiz!LF*o64&csUe4Wa8?|EAT|h+tZ=A=*$cFo zAc;6Y8M?{O9`A4!oD~bep&#tY)VrYf%PJT&y?@(x`M8qhdj)_&maFQKa-Y)MPo%Q6 zj;oj=03pf%1OS7M_OqYWQdJ?gO3@v3z!EWle6)$&MM`Mqt$nILoSz?+kqDaq!yu_J z?Aztbmxte%<CVYMYf^w;aBwi}c7M2EU*?GV$&+U47w$kF&6{XK?QA<cjgs&9yxwkw zuf~uR7AE>5mj-+^{1qmFooKo;PbcwBDcdc2d1^RU_p*JjlNVC_B~9{qO{n-|0^3gK z?rnY%%^r9Kb^KBW-RkW>2zD18tj^$apS(Z46Zu4#XzI>w+UH=OVT;PKMfpqCZny5g z@31tRC=|}VfC4F)@oJ2EW%<7kGq}&jPOr8PRMY>ayT-u3=O`)d-`<GP=JrRv?{`}v ze#+p!waIJ4jF)9_X`<N?xF!^Ai}Kx!k>&OujMgM~W{7VkW?{VFjbV#Q7mqv^XG_J` zfOcE`IsI-?nq*oAcV#n%)Qs^h$>6>c%_fpD<BFP4Y6kb)W(;nvoNJ4U$IusNmBruE z-)&_r&H~9}%eE+wbneV%Ok6WYU3^89Eehq988tKhYzvrHvBI<Wz)cY5c{)RGD_or| zU=k`s2yBH?+6xxl{*JOs!*K}jc+c2wAyE=(3Rb}q_wM%1=Y55wv=3A^iO(gRB%xWY zfB`})&z|jeJ*vA6s|STm+?{m%0eQj){tGQw2hcG(JW00OUr}oU;47$QdjWw^&U>~2 ze5M{ub0!d)C<7HmuTWv%flr};_JWG>6{d0$?mg!u@@ZvcEM$Oio9&g1J4HIk1|}1P zkyYdv-kTKlxyV)$fH$`etkz6f!?tq%LZ-#x*c>*q6iUDoqS*(m)vGuGNJ1Qr#c5!^ zfcgsDPDN!2fJjtvih?<`i#EV_14U8Wo$-XLyqq;44vM9TpK9;8l54<Fl$HP(j9N=p z=qH@w<*WkHP*0lkjN9R;*kpwsf+8<x1(*#5(4@-=>6C&pLOeyfj1WO_o^tvP8jI9! zRKL?MJ>>*Go3#Ttr8&I!PT=+nHM527D(dAuTLxZ7btmE431JlJQi30)fakV`5<?b4 z=s&RvC!rMTz(c~=#4{9Rm~fFI#xPH~l7nsnlvWZ>4_ZJiz!Ba~%q;>@<~vmd%dV-& zW+aeiK5UMgz|XUFhFn*eI!VAJL<kbtYEG#tSakgxX=jb&;NP*Iv0X!u64nY<<|$PJ zmH1Y+J=^s<vfE0$N%o4Z!*-ow>LdW85Ls5ZkN8%6!B^KyNINSW#5~v>ciLR7s$kyr z6H_N1_{iMZ95;kdW<QGqR+@7v#fGNunR856Gh_$?SWb?l7_C6wj{ic$S}HK`5An`? zSAC?JrNRK-o}I&VJ&rU(07DS+2q1>c;d!O0FGfbAT-p~|k*~;Aygt5oH}xB0JWhQg zG6W?XH$EGApM07JfdlWG->j6LbyY?_vB34>kF)2ZfqCWzmD1C$2mUkjGBMvVQ!qCF zYNh}-=a}K%;(ue$MFBI-zY+>c@hhybC?E`x1qXT~o<MOl{1mHq(Dh$t&Y<g(7u5`B zU``}BPq;o~=AeL{2njea&Kye^z~OsYy#uaOh&6};`Ll%gc=M66&uw+3@RpI!b9I6r z#gk>*9nZFO(*nA8<<A&%G76Sl)@YJLF0p~JLfu5Ko;7)H8MmW%Q@5p7x&hL9Wcu&i zTD1ls<;d*cUIBKyN2*4H0?`@u?C@q4V*9&AhiKyvp;i*OI|bYNS>X3<L+eFjaEcKl zJgsrH{RLku4g4VuFp1vl5F`RVd=Bs?_!Y&qus_>)@20!0x3ESn0vFQjnc?}3_>R); zikWb9<8X)9ZpC6axpA6(07}4(`UDzg9E^Fx=6qltu=4#H@xmCNQ6O^pM$_5UZ8Cnm zUOZaHOet7!nWH`Xu3Kje%P9EfGDDMOyZjZnCeVGQ4oe^S>cXY<Zs<NYK9S)}C~Ha? zs3<G!u;0-AJ3#)2{QI(JDFZ?mo4^k{^6Vm7WN)N)9{Fa<fFLr%csO0UA~0;gg%MY= z<ie)utm`5IvUZ9Yfuf_otHh|~yMWR-v}a70lYw?S#RFv*J9O4`!vZ9BicO6H>%}I< zDV2`1E*c)=RgMooPF21ToErY<=n;CR{`)D*my~J<@<^fa&2;Hm7v;bw+r_<Q$2;a$ zbn}c2(xs<e4g_j#%bOVQtjQZ2e_fLY8*|o*-<JK>F}JLnY5X;<ptNkIJ#1MQ7Ldi) z^>z%^s9#k}x>g;`S4$q6Uz0N)+HNkKfPaD=ST+@bo+hbvFt#)Dgyyz2Sb^H3nCizr z3QdL%r}s^nZ5HNPe&IjCn?G$a_^ECL7&JYC>3X#-_YVFRoXs{1FsM22xTc1C$*;~6 zu8P+ro6h9Tn%=;e%3jz~jq87vQNVLq3&`4%H#GidP5z`YC2ing*;xCtCEdTon7mlu z_|94}rR-h%+<?nWz|5R(v~fyW!T6<2?-^<_uS5isUcR*P?mooVx?=Gr?xkn7SITw# zN07%y>&3xKi5`sDFT9&MFO{kh$zP^#-tAEZZTJ=}-SqJ)M(QSe6;q3?4T&UqZV9)c zH&M66@Uj1Z=u(*XUr1e|;T?bJsuEg582gf+w-!>j-Z0pI;M=9E-ttJ@Xs_b7VyNMH z|ADWUxOKhpy3aeekLIa!O)BROUWn3tR^QNwGrUQXhZw?0#lt0c56uo<`c$VAulvZ* znN&PflHBktPPfvK<5wWK^uBJ6dCAP_f`0+NB&cC7R=3p9fK)tKa=9TaR=3!&z)u=q za;_nad8xNfhk5Ck_e^VXUx`UWSd8vHLuWtfnM;SfLt2ZwOAa@LMeAl6?jsc&8?H7N zgAJ#fi;WDW{iLTZ{qmY27k8B0fJskY+Vm=h>-u=b!gW&(2YjV@m+I=C4PJUxH;2-_ z;uS(JZo9eX_Ivb^J0Hi)4uZu(6q|`hxHsM1(dvue<BweN(t;nkZ}`~P`C-X9*nnU8 zO;No)O4q|no-D6tc!!Yp@a8AC9+YmBVT|>_vU9q}hqU4|dedhLs&S%f`z@W61G`6D z4v&hNI9adWz0-TZu=U?uoq2k<1*1J>n{j3Hj*WCRZmxQc?JTO4+|nWI5zja;I^Xax zOOxl|K3O`8oP#{<ggT9S_&E7d+&fF>1!o_R=2ZF5xL!-?O5C&RIhONfkJwassGjK= z=daEeJw6C@BHWt=I#2ZgX9_BCIn|OIIyc?dQsjql@zpu&It0C88|eyMrKPjLnOP~h zu2ZH*v~kAcu2$!)>EQIRHqLX-?<ytNbVA*=1UkX)YsvEcxKq_Rt2$^sPaEeM=ffVc z$?`oo#p;|DooqdTjdVFK-Ljwz7jG$DhKsOto^t-pV=YO(6Sq-i&v4#$Z%!%(>2<{x z8|n>PJM)}Z+(Y;}*WB&+I!Su?SaC!3smgxPdEQ-~uXEYmEJ>b%v$1sMI#*UoF6l(+ z#i$P~UrcxUU|oDhd-`ZWHCA-rK1nCVF$<|f(WaQoTWfb69ay{=;q;fa{1+_qzI~!j zf}^~({22DV+Q7m^_vYfV<N7j>PKGpg3c9~F<#||~ffQS6#~dxF#J;|tlb}<p?RnI> z68l!o`P)Tap=5&2OYInQ`H$F#_jBTPA|1OCI^m9HR`SExS8C4l7tc8rBXnxCS2di4 z7cHEcE#+C*M`{CjY}ox?=0y{ySW9^Z_Mw_H|DwK=nWg*y*8YAD^Wt$QGlWivqdY<f zqaCB+JbO{qso6rFj@7v@8KaZ0ZK~lseNoEE%tF2kds}Uw44bUxT!xKS8z{v}-j|Hl zxuw0T?mT(%bzx4FPMY?BnLJ3FVkX~?ohy=z((!Wq3$An3F&0{EpiNYFp1Am|FbAdM z=_mo$iPOfa58$x9MZE(TrySQH@?^|jX784EyC!EHz{AXo9bKK`&lU@{lWmK4vDTWz zbpRK`&FtOOZqa=F^PR{k?Cf7cZKCF#pHfvAT4osA$?vR|P`h3;_~*NCPFK&$3$>$d zi+^CDn&*GM`|89^@7>UT{%85yz@XnX$L9B&TS&X1Wo6~gd)^+@ex`YI9RSgUtz(BV zcQfr-PIhM{eqc{&?(E$aI$53V-odgkH!>wzPV97@b!~)g)()0|@yUF~bUJy~ZU;Mn zxtOW5rX6N0v4b_$1gv9CG*kBO&N>}A+r5pYWArn1R<-YHTJGJQc9J=3w~g(>NM%Yg zojTHc8BT5KISi*a={hUgw>5Wm?@l`XuzkYUPS@n@UM$4~?Yt|&%xCB<YbR?G*RUrw zAFpAl7}uS<6Hec3wfN$;wO|dPuZiEi%XO+w*ICkbx0Tq!k}<83b4l7A>R%_`RTr(G z?1vl^p--q-8}%*{mRrQ-+^s5_Ld_*=zfu2ZLTb?w0o9^nt<(!9q(qMM5pxOJagdod zfTjA)38@7~FX)pttcCg=682LOjU)BV(H%O2(0-wAFd_BT@k>Mw%W)(kEMEJGx+e+y zzUVeehvjGsouOdaMbW6RIPD7c^CYaf`T+MXz9<Ma7pq;WZa~5g7F|Y##cCI;7jUKU zMdwgqOvl~`9j4<k=u9iNugC-y7NdPn-I*&j<9G-f(u(aaI*ba7*3MGjN5UGbuQp@B z>eJ0wBXw!6)Rf~d$P5|VQFMbNHR-qsDTZtNKw{zAsp<pcQas1Hh-ZV2uOjA9+E*YU zWNcf}MUK>j<C6%<K}P{Zi;UG%FBrdzD|#C_7paXR|KthMe3v8BP_ylBLy=VSS6-<Q zVngQh5`_?J@-#0ojj$NmLLTNp(!^`(2`F^?!A*!2xt#|QLPp2uxFf0Jv1u*&AD*%h zVj))7+!R1Qjk`z1#ff!Xo|Cb>5dj23uBSw9K!(RZag|fm=Ob^Tc4@5jH|%kXsBxOx zI^-0&iej`5F((J}5>p8LNI0sNR<!nJU|c5(O)FwXJ|GuS+?bKUs5BaD^-bTnYLqX{ zZS_s>_~9sP8f)cE_qbG)I?ZhbVnWWKuo#j4sM*-@(s7BX-)W1>kk0WRsE@JglaXm; zIOQNC(i`=WYP1ZYjn{F#_>hz2i3!O6Azu_OR*ZZ-QQ5KM+{jq+8H&*oM33Axv6)C1 zkLV=rut%)Nt2xQYkLKe`5p5(s{@_pqg*1U@u_IbZ6KsUF?_$Jj5{nJA_O0TCAhWBA z*b$8+7M_&=u_U#y`>e*lMf^*m;}5bU{voyF4<@|%$l)>(|AE10q!xS;D<T}BUgZ`K zIZeuCi!ova#}>I>Ssw>sb0El%l?Pc7NCcyj#f&H?`Lhw0zWD#&qH(m4+UDwW5upeL zbKi2#F=Sk2eGH_Jql~;#X%qwL<s3qqSJp>Ex;Y1s3Y7<$5rK$xGv6{!EAtKf&0EfI z$Xx;}>P-jdHM0*o&WnH{dd$X4I2#BC;b0WRkaP`SG#HUYa>KL4G)&GjrX$q%A?Fbj z0wr)GJP>FCYak+;)XoxYepwFhX#C#sMtGVxx<PxhTd@?L640}LeJMOCfV6&ONsNX$ zVpx1+F`U#m(BUO)855)Mr|Vx9!s7zsGThkV^^L@iH$N)Ag~tSBuV4Qb9u;sQV{zj& zR1B-VejNE49vKk2{-t8nF;F4HjTQd5@lHpnpk-*(MvT6T{)6GC0xzt8!H=2+ny()b zgqJnKI=loegQNO^gmkyHmUpA?Xa{z_l#lk(lm#vQqZ)zX=|!t8^ijn?m-K_HEj^=h zfrjZtD=l54l7YXke<>TK(MI^E!HtnAMk}Y^j{XW*Ppe-(Z6t09-fUej9c`lhKepa8 zs)_H5`vrppl`1L%p#}k!8UiE`dQ|}xm7>582py@RcSJ}KQb0kvK#&e1AVrEa0qMQ> z(0lLY$^WkBu61ABS!?oYX3ah`XD0jXy}$e8u^?G9ylc|v+u3IS#)P;#HfjILq{O#i zQ}W@D-kN(0l7c@vYxs}GJ`c~Cpmy0N?45p88qXi`*0QYBw5CLCo2YiHd5EU_Mj=@0 zQBe~S6P1|ch#8alL*6o$oEn-9H7ikG-zXWD@EV$RwPmCE174KKoDUP6#kPiKO-)ZU z-6smh5{!x(h^VflSyj^!om=G1WO-Oav!XU;^s!TI$jD-!H=X4rDyk<U$b_#`O<r`) zJE|+f*~DUxH-SY76(zy)uNo5{VQMnJ%Nxt`5Ea!H@xsJnmp7UvyqYFHLea#cL#@e( zuS1Pfbj~ZPIYP|DVu$w=%adxFIW>AwbFZj|2u2f&ZQc(ol&C0CmL1n95tdokC}EZx z)ig6|mm+hXQMC~^l{C|8ha%Anyapn!3%ouo|Eg%F)bfoo+SIa*<~Mm?iI{tm^@*C5 z<mg(u5mlSoq6n{NlmJ;li1{2*XFR{b>zH>@xLEae%g$GiWUSq7SCzL?7;7zw$Xh7% zu$Gj~n=SOHO4zXbmDgJ+Rh6)A=dKrFOy?mflUGwHWi2^OUNa8;W?Qq%%gZUeXDtcK z`(AixB{?vtqmL>{Sh4$_7gac9B`K9xVCdi`**iFpfA2TjvYoBonNjEemU%G!aU*S% zBs4GCP#7gCk!M!uQJJt{XQ`KGgmwM(Z;($v!U)@8N65n(YIoR~>G>LUy8LP$ys!Vx zNZaLC(;%b1tWl@)uZBSyeRd;lXGyU<f5XmpJ7Yak@mMT*bqg@saFYBpc&gW5%r<T3 zn`dcA*KVh;*Z)q~NwRt{KVQ#M@=@Moft00W)!?e$=VCH^;iX<k@z{i&Yo45;u%o0v zUVXt~S;Dw#fWVw=)KvI-Jk6HszLte2?{DUR5=;o@f61-M;Un=ho2rfi=CV;^;XOJQ z9=yfOITBGL;dMG6yHrgCAlAHv%;ff9L*Yd_7Vf;c%;6GDFy<%8t%>2OI`eM4j{N2_ zQGMYAos3S^5iN^ORdoSg874{Q8_6`ws(o7XDBcw2l_Z)aRVjgVI1`i^E)mrc9v4ru zs46004v&H`=OocAsPYT&!lPQl3Gp=Zs?A!e9jXml^DewNX4@nhlIlHybXZhVIJeHc zGjAC4!z7wnRcZlV7?T+D34}?Mc?H5G!aNFzstc#knRnt1U|vbY#D;HcTR8C=@_(@8 z)#pzqhmpc(w42&gbG1IUsc!N^EO|Yca}qIM!h5v&+Ei1uEF5`VnY|%V72&XWnhA2w zX6}$MjXsvoIO6f;Y&c_-V;J?vY^v-csJc~Lxm=fcJUBm`5ysIY@)?IbrDP-~W?f|! z!FR}0#E`Z}7)Ez^W7bsW5g7+O`3#2sm{pZI1XY)cF4yiNPc{SGACriVlh4@a$zZVE zW0Ybr+#~d&G4iUND#~2fP6<$k6F<z7iVRo73852hBTv<-(uLsL<FVrWa6)KD8^~vL zs666QJ|X-=Ys&NO@>p<QJ0XZOFzpe<7`**3Bo#KUhGW8Cw4glS4iAO_?uVIGxyhw` zOsGfG$WwKw)FPVNRemErwyTsO__le17*2f2FvnBQ^aUP2hEZS5ShT5kYYci>j&F;{ zi{a-k;SodHE};so<&BwCS>@zi;BjLJ-(?hJi1)=zsH7nHHhFY7uN@J}(G|k;o;<a; zR*YLyLbpX!yOljy(yIuFTlFP`iO>l{OmgUq$h-$n*{vL7nhj+u7Tzj?%&l-^nsw!6 zp?P;6)V;aijPP5w#x!fndMxR`39wtiC4_;{YD1b;WgV6|E1t|-4~=P7l*fcVb}A1E zS-A0}-+Ea>=m`xH;p<eEXPK)cbcH&LSfF?kZYh-zByRmP!o-J~ip;z6#FC4-32mV- zL@Zo+qHl#8(Zq);idb|gHwp1|D08yRRS=p(#Y8Mzcs|{FVnj2iOwVFoL1+kN6tQsT z`EZM}gdlorr<fpeYqppmeCvi0&5ZKpy}5EiZK#bQ&9w62y=Y4wgL|%)JU+Mnk>x1L z`9c|O%GpBmjy$jKnU@oOhiV$qOe&`fQMD;A-s3GN2;2&Phxr^@Cp_=K;|M&F!)z(} zFcdFwR|9)dgvpR)PQGrXZ4loPw<o>%5*d0|DTmomvSU#8C5(o&a%OZXnKNATWt0JW z%aK`U6`ZPFN^cn&d<cUf*_?b`N;4q718yh!4?cwckP0|vMd>MnvJXKDXoez40$JrS z%Sr<vzJ2ag;1d*~JH(4KV~;xtIEuh5D)oToJCq;{=8N2Mz)A#WL8%RtvCI7h7>~fr zEAcWYFLD!rtSClt;0+X^B?QKqvBMn+G(=$Llx{P8@FFyZ+~>^L=Kcs|MPO!>>Ok}D zO4Xo@cBKlCMZ3~9hHGAgKOx5)8C%?M=^H!=H6a#on%EE{IA%&|m;Qq%<6~fsE8`<z zrEKe$kP(iIO>SL!Wlw@2FxZt)8Pduz|4(U-er}%I8R#vG8CMJd&dCy{g4bDTwiNei zEj+n@1O7=cA^`t5T9boESZOvD9f9Vugt6crdJ7NkVnB`rVI;VY{$rP-2@qn<T?mMh zAPfZ;(ObB4=K{hd7-4`X9Ic7Lsr2)1+>X@dGK9Wh0)0lO;s~upr=mKLSB6m%aD#(p zS+S3H9>twP2GY?iDM|s;;fzoKT!PRM9LGwts3-z7hZ7)x91zWdB0rE9PG}7#u+q#c zHq)whC^pc}yKv(Gwjdgk;yqwGjL;O!O+W9<9R_#^qM22s2J*rf#Q-M|Mp3{Dgi!=A z3L(@5Q_#;laR&fa*fFue+jJIA+=kR2EV=cm)1?X3!83GCZHl?HAKMf+sUeo!9)KKn z%$MLEI=(i=R9Xv1ZdZUegisL-W2Ko;oT8rdp!frv@ujE%7A;YDF(`IZu5$)$QqIFE z5I}-2g(rPo7v&6R-3H|dr{V@>4`<yvWh<v*G5{k-F#<?MQTzasLElCUid~eYoD^%6 z`J6$klud9l>6_p~;Y3eyK%v7>*GU=2sklN(;8aWmn4&1`=}q@3R2dXIDL-<OS>GA( zpl-l6BB%>6g9z#b^dQKvZ|6k{2t!>5r736K0;K_`Vmu%lLD2??Ls0w!U=S1#AcZ#t zl3uZc@*_N`1>lSbY6h4hg8l+tAjrILMMO{|KnxMo0N_Rh)dLt2WZ?Jq0!1~5%)*{= zrFciry-h*EKqh{l)2D7xl!7RxDc3m^V*z!pWaPKv7R4?-#TG?ANHGSG>Pq1WBs0I) z=~Fi;GC&lQl&WwFL7<o?8T!3FPccVd_m8rUqi&qC;(~O<ReQC3(L#n;U#fH~cwD8O z;SpDLd-#dKi4$~k;LIiIkgMz}`vSP3V0FcFhL^d*TmaV<md{BCT&T<0QwI2z%>{5x zLGLQ<6c4)!+QSb7R-XV@6?Cp<7r8R81TTOq3S;LXoeD$e$bGK#s~3Ctp1`0>aHoR& z)$9qrE7188xyO}orMQQexN1E|#|N5Tl6JXbuLSq-ZGkT?k-J>cSD15Pe4yebvO}Ti z9NeM6c{O{CZw?f@MDB2Xy3#xc&MDAenH}RB0vRun+gu;6DE9E8SKGUIk*k?qyzmvx zIdDed@^bbFUmIw10-RPjyo_4lGPrbEAj4Q&&wx`3`RBwoh3s?ECfBP=vm^ZPK+O~2 zq(b^RpiN=%lII97aD_QTe-5m>AZ>6to*hr3x8!|}ep+)?pY@dBC;gW<!QJxP>tIhV z&qFh7u8OmYN%V%i-H}oie$>Bplh`G1eneTtAamw5*^=a6v8mD}|MsZ<H-6ARdlTFx zKeG;Y=W;p>{*CYVuNXzI$Ui+&`i+-5GcCbOp532BFUt?ClOqGEXPPDWZhx;$B8n^N zY-9qxDBrVA>X3&VnOSkgomEVr7v$U4iLP8<&f+G}^YXk$N>*Hiv->3s;%77^_!fWI zCeei}^2}fYJtu$rD7XUO=zo8c=*;!;?EVCLM!s&H)GlAWPHdO2SVy*#;|`P+_&@%~ z8$>6rw}<uR_!@tUQDCgU(I|RKe)llAoZ<0Vb}_@Fvx@PSFa9GNL`N>&L#1-O;8{>H zzS6&SgY-{+?r_$E%lXV}96c@<ur=$8pYmO=1a8UgPauyte;>7?7!XITH7&`$BbC5S zImazCU;LPF&lK{Iv-l_*g&*;)n+oZYGuaYf;w(HOpzuS!MN`NF&fFsmiUD?{S<{l} zn>t0>=XBgO^TGG|5~hfqaw8MSPC4~09v=otax+5UvRvN;X^%7IXt^4=Bqz0%=FI>- zf}!vozHybnMLCfzGjBZPD7zZCAjiMO<Bf0iB~$|E<(el{I^-HANIRUkBb#a<N$%cO znisywmwSq|%^7wiSPh(&qyC>?A$D}^${>2Q?8+c=G~!Cm!Bb3;w#dxd<tlWn@Af2e zi_>s3c!5)YGtCoU?K?Bs*d~`d5z;2NxhcND>2Z`@h5q8(GYM{!OPxS&a=IRQx#BB) zVU@rMxv9-rDg3neaTn2((|566mO*ZBBpJPl*zEvxBV3nUtT{avgJtpK-XmQ^56)7u zj2^v?SnU9Na2D;QO5lgRJL1u6i1`kpJ7@l$K{9$3G1md;Lg+5-SaD|W!III5-f>+- zH}b!xjRb?#o`D3u*BjHN(uq)BqLjfy_l}d$O9+{zdN{t*+olW9iRkJ8qd2V=gW>pg zZ-Xvk2jbC^5*+`}TeA!7%4xAk3CD}?F-nk2AH0&#Bm~=1Jq-WXTd)i4!im{~C81{# zH<y%P_<C=eE<gvOwxh8f@w+3W9Z}W+cIFJ)J5EGTBTg67EIIx5MiMn*y-nj=V!W3- z!A_iBdqoiZqrFrJzRFuG9zBUzUF5Okblbx~7zFp?644Wgln$^Xr_Lg!G``%sqK0(D zQM<M5-;(0FU8~Y9>oJ{nhC^)C?cpXoC%n<go-?(iLyoeoY=7W}tkpEn8BS&k;}2Yy zU9Kh_aG<7UPwC-XHvYghS-t7BQygq7Xb(5wS?vv6mDQP^UF68z67&bI$c|Nqbjl7@ zBlkJdw_fa#dpiczf;(m9r)N)aU7pUh$UTmPEyX>Y#8#^xI^NT?mbA+eyCt}XYx8_j zi`?ai-op3+<2@B?ksY#4)!+_U>1>T(hTGEpmtB6FCS?b_?jI&5m&mWY#uvo8!Y4 z#U4&{YkL<bvNf}d6W*fn1J1}^PR$<SYCUbdfzz^wQ&9^X22(Bz96npEzQ8Hj{AyyG zY<4wiljGHt*%9uyr=~Y>QZ~IB&?dV$#dCxc*uwatKYP~IkTy6R*N%<RTQWYwKdm{c z*Lq5DlkUrf;BJ}ie6S~n=a88-N5xu&F?vJBZdj=bH|pM6NbHg^AEvCLms#^NZb@>l zC{*c^c{^PH8#m~lT?p=ynaKycb2tqJ|Hk#ZR~VvKWS$Ny{l-bHnU>%r*X|pmmt_X> z!EPL>YnmmvZg;OjB8nqvZNvz@DASWq>X3m9n^|$htyLJI7i8M<iLM-9*5ZuN^D?}{ zN>&_%wfiOX;%hV|xE6O<A<=~+a?QX9JtuQ}IJg4W=zhPD=*;nP?Y<FuMy4*G)Gkw< zPi&W|$VaxzTpOmW!2NMQE+9H_ydA19$JMx77y@J6jSSIKGP^^;<@Ar&vWw{-tyR2h z`QkoOKy>8L9a1XC39bbd<0{=-3rPQD=7wf1IGoqK-l50g0o}8{xGB^+9JmGFPeLAn zelNA6=n+e;axKZI5jb!Y?$~YSiyK4rq#zGL#Y@>J+z6^JC8P^((k;FODqJF<a6_n~ z6yyOYcL{@{hb?K!wIrfaQ%L(D$1XD;Tpx;%LhOW(Bq2ND>fJm(^pZ<7a=>MHUlM5# zl(Mvp04~9$y3@Srp-V6nt^*YZ2QI=zy3M?Ckfm${Z~@NW&Et)0MG@e@d3bXYnOWbE zMA`x2mTV9}68v6wnisB#>;{syL19aR2r^rpx|_#~UTo>um0omd*_B>oX~Y#*hoVR! zZGi%omSxegsO@Cr7RazGcmbr}mF9`7M$IHQw!w3gLfYV)UE&L5ZgRFP`U|Qj8Qca> zO+s#hT$j9DaTO>S95?}=>YA0pO}iZ9h@K$d=6YFrInoFRdK0!A3FwBowzyb>Jeq@L zapNu{IHCurloZE-UWctlf;~V*q*MvquuBIkdJQ%oNpuJ0lMFb}tFXC9Ko?B6WycDX zO@eWt6J6qPL^n_d$wq=+iew;x>vh54R61eGEtE1iDCro4UV_QA)WdO|E;cwoC#)+H zi~?CT2g7mgE(SPa2kcRc5*+u>MH2^h1z9vx!g1mxMhTo4$qR%g!Pr{rVYt68f;e(& z1w(>?(6g|cElMz4J-L-8paWJL+1L*I9U0OND~kj>gMvuM?C5FOX>*z-$d5F_t`X~E z%Gwg+vWx{gfxJjX5ZohDDg;;MqQ#1ygsnF7Sc2S07zn)}DUKaI0ZWMlJA!nYDW!4c zE*1WyBlg<q<%{MNr|kfhZfTD{X=hl(blo0y!fE0pEZJ!$fON=SHl2Mzy&-M&hvy6{ zGmW{RUYB0>BOS1#YG+UB;L|o2)N9guf6`8|u<4*Z?0{4C3H7S9&Y#&u_RML)3+ff= zF~5*b=^;PlK70D~i#=?QQ&0f7Q(FGd><PBZ$vFVI$DS~)xQCUPZaoi+cQOqi?Xt&C z3+`dtoL&SVciE$-G3V6rPKp7@4(TR8aECPKpV?z<vy)f=a)<rXwB|YWoHYF(vtzP$ zgE0WP&HiDUVh<}iy}gSSnV#9j3QyCVQ_o0W*3KSbYn^OPsHde5Yoiv}4QgE$$c*XM zGwLbnd_Q8FbhaO9ll@h#*%9`)ljaHaq;$F;piO$Qmgfj7FpW72`|MQbPugI296z26 z+miAr|7p!$J>FA-ope}s1b0hqzXyA=dzP75vsa8)OonYp*_A6*VMiTW9f@7!yaHtv zoy@q`WOI^3g`-NB)Z6m<-`GKiY)5dH)XaOZJG)a^@NaCtL&Ye$TIp%I(r>KPxM>Mi za{T^e*s|2Xd$1dO>bPbJw%ftWk%(eX8XuVmTa@Z~PwJ3@l$%+x$BkD^ge^$5y(hY| ze;JRP2%9ITE|jd;3FG%m=)}iqO0X>sFh`;bd*rymMA#fTl@?rqZFIQrNOWfZIDUU3 zY(}c?J*i!)`aQ8-s^UGeUFupnWd-(+!?6R=iT!O^eL1$q!D5s;*1>2rY)WdkEV!KR z@pyJI-J|h}@#ZfMBMwAIcHJ_ia;)HZP%*aBq1A!(Pin4g)`H!6+-p2+Tr%LttS@%T ze!Y@<OLE@`dBpa6xD`c*7;dd;PPQMZq~4Tt{9)#c9kcHt>$2I3hqF=G5&JrmkS<A+ zAL2`Fg~J3CcF4ZS1bM)gJB&fm!G<+!niK6)O-TD}j)i7E*gkuL39(ah#0c3bss4k< zhfZ>sriOZ1vd@UL$Cfg@Tur?sDfJ`Gn+`e*Lt#7Y<0`2a$<b;vZ!BauyPA4IlK%&f zH@4NDP)R*6*=(fJA=zL=+F`>D+f-ANB=7x5^TIaSbDNO1*}{eetEp!tsekZz(TNQo zyV8jcFT2u-43D^C>+C5^NLyqS_i|NOto^nza*NHdFnEDYzc9@cTWvpM+}I|WYZTHZ zxmhT_z~(WWT^07lzQ-8cCYfr4++=ed_HxBm*uyHRCnTo|XQi;yw#Pa|Pd4AodRaQT z{*mOcP3W!`pd0F%<zmg|kr^zD9k(6PA$qWt_QxfMtwUF}z#eQx{izbzVcU-Qur=ts z7SWw8zuzD^Y!y1E1?Yn6X6;z9W%t99!xC-dbck+j8T~dAbW;5W64+i_jE+htR5^=M z1`F*!P6}Is%4F5Uv7NRyI)F}SmlhbsW|bKX$F|!V=ny-gkFu2D*nhT~I$&2ei%d#5 zR=l540xQ<<l@vyTvSro7uzzg@b-*rcn0{DN*evvBmJ$qGZ%d;C=z!L0HMT>4YlXB! z%e27GY(f3UiDA>w)66tWHoyLnMD<u()A;5X+huLA6Ps6m5d{0FKNW(lvek+Yn}n`r z@>sID^<yA(g8gxcVH404EwCe-P9~)^w%oQN`Or6E%eE$K1CBj{%4Kb&Wct2M>EBL@ z^&Ly@Ur!429ow)i%Nk5k_Z?feEzTN*3m-y9`j?Xqea4ddjh=r-y<M}-&nigC^m&^^ zF5rpv8B6Sc@myA}v(q*+D<(zV=WSyDNK)BiX>Y&k^H-?1%eJXm-{Bj3(C&Vj=Ol!~ z9<;N+C&^>6w4=WxDa?Cp!8SH4241iWZR_WM{uv>>3x#AUrARG8#j`@;8#~aJezxaG zgu@Q>Z~yJ*Du|73Xk-7)=U{}xHnhIKF6nRqDw^f~znlI}=N5u)yYx^0xz3ubcDt=# z)<$yd0#qo=7_PllTHU{`Q{wtIrawE$!*lFQ|2i2|tKDYnmZb)#YqLdV{YyTaFRkcL zN}~208@F{NUf>pG-)`CXs*^ahyKSn7E4Wx|D1x|v^RR}>5@&H9<mw!oU&LOVlx)Jf zjk|gT2b~90hFF7>vW5=#&PNW(u&vqT5p!_&tf4UCcif>Bbf8y910|8LV)LCCg&VSh zN)ZcK9o(S3y#tZ=WZ0H%Y}L;|ov6~TUYN!>NE-!(5|delQBVn@8O{TquwY}Uo(ICZ zmj3JI(})0JJ8TF<EUR{hjhVVHsMDpix%a-tJCL?ZX;UwwhAgPlxwN5|MuQ!s?F<zo z`m=Vn+Zd~pAY-w;*E9g24JYWI-c$8{2-~!cFVT{fuH8moy`Np!30mEoAE{>veMFqZ zN?AgydRNsyL)a#5UaE&c#wKiBiE^yMj!*$&J@!y4VO%2M+w587l=Zqd^_Ijw0(r#x zySsId7SY}6-<)hc;!V9N;rPw$EON}cM;>{|THKwz7dc{GCm+%!Ve(CUiM6nsuopRG zT_le@V9o8u?9sxyHT|0ttyASm`>c*BW~Y&T)&zNCr^E;X*(ss^jpvkBvYW=AdRd|m zLE2+Y>0b7uULtpfO*^55cEk1}JFMfpsTU<gzL}jwLb|j4s23#ozww+zwptUsspln{ z5h@)L4G7W>E3VtdkD4TL?_1h&WRo?wJZYOXtXt5JdRBt^8_zMVSoiV&>cz-KV<O!n zyODL)6!N4k)`0G1->_KgZ8_u?t6@s;0;_&X+EHY+^^9C&n?x=mq)lQoMSOwPqdVI- z?2C1e9Joy)6@lDjb?x@rjjXVSc~ehFOr^}0MNV5Di;(Njd}HgYXyw{RjKek|yF!3& zi0fAuYgUig;Ht=R%MlTx2Wx42oN?GXWK{_4!CKUwS`s;I*<l#A2ALNky0hlD8yJVJ zLgs`3T@c-`J65dO?J(o8M9Vl4q8n>QyG;qLRJ%b*WUnPgM5Pm={FU-|B((jQ+`|$g z^R>P*veVK=1kefT5(1-Gtzv^KBik(vM2H=bM_-jHBmY@yihx~NEn+DvBgNYpOCrVE zy^O+05Vo)N6=ac=pa|H771Itg3Y&%8{HjzDS#L=r0_cF$3N^MvehY=PL&}7}&a6T0 z$M3?XA*ZovmaKm5Bk$B>ElmxZV=R}2!A`7R?M20rkJ?j<BdaX648tZNtFb(mtZwa? zVp_rWxOZU_kQ5=XBdbm<WqD+|Wd#T6=zeX>vRrcta+_17TigSm<{OE|W)pP}1w~Z7 zFq+DDcs?;&gjv0hc2l{nDaLr8It=}Wf5{cdtf=%ZL;MNjUqQx@FXC<YRU&zK|L+za z4cNQ?TH7}f&LZ3$u$AooW@P``?W4M$5h-gH(R<&DgnLa%BVz<Xojy}jLH?m3`26J0 zu|k#18-GhLd1#-`W*Hl~JjN=HK`QZOfqz5}=iEiYJ|cr3C~cR7rXgy6HI<HAL`Hro zNvo+neQ>h#sUoQ$^fSYp;lxJqX5R$Z`=;Zscd)Yd(O9-N-)Kxh^h<D(c51|e)fpJB zDU=|UI78Ky##%PSZ>1vYRREzeYagoI(};CoTHspHE4u>7T35Yy4SZPp^Bt3JjlMAF z?}5}lEY3lFyT&9<Od(hc{fRCavsuD|5b+eLGVDG#X(}ud3Gak6u-lf?^7BIifq9{l zZ%Stx^)Aah%$Tp%@7}*x)@E+)5up0!^k(`kEAYtuM*}jd!1p(R=j%H+62ZuSwTDcj zs*=l6A33xb0|haGQNzQr&vL2!=&<x$kAKAQijWGzCw5&fR3e6+W~&+A$7W!1;nu$* zV97&n7O^u$w%QLq4mPBv{(2v}VD5$;&a0q<ks1pgf6ucFr^Yim_YTi|rvTFBl~*4f zV1{{jkzx{<H~ySPXS<?tr<7*%J{~ROS-YXq$976I#!pP6%*ax~Lc>ZQ9@=MhQ2VAT zBYeGfchONn(2a88WE(=ga%tP`$xg+P{gPAD%`=FWgl59v-FiK^;IrUQ?`^(Y*t{eb z-dH@Vt&l7lIW-ZMlEXzzidX&J4BdZCG4p03Kx?<utBb@$iRcMz{b@VZ=KlFWRc`th zqxMP6ZOcjAt2Wn#^pk{ts)2n^-SZ|u6m)lKX5F^68IO^j`~?qa{&SmcKGIjW(0=i3 z;Q>SCJMCXFFPT%LEJbn|rI8lbi_?FJy@%2{-&$0E5o-TC`eDCFTthTM$A3ZZ2H2?f zWfAMdO%l}x^J6>c+fTaTA2S|KSH6q(8e`OZcHOw}KP_uK(kN_Mx9Lkpnpb4qTcwom zzxWeMXW2fS&AfZql{?lZekX7~ypK1=q4ngpZZXYcO{uY)XJHPhe7@J7J_T7~K5!2! zsrXeC%=}l7D*k52OB=SzoqIOJ0l_UK486GV?wnp|+pMZUrXp2e)8NIU!eQ3@r#-HI z<87lg8aZvn$L~C4R&rwWpOw8$`!WQ4d>j7SBvk6`dc9sDWfK5CTDsjlDPxs&jVo7A zZNThF<9pYS8j(#`0mwD(iQrLpFP6;KVy=DHfli8<;EBf556^L6Y6Y*-(blLjf(krA zt{E9GQylc;@iz;n<s9&Hp_UV`jIf#F`Bdr!womAfBzpMUH9<a)k3cqfW@xz#MsjTZ znEDTH=%Rt$C>spVz9S&dpPgRnK6CxrL6p@9h3A(_o))n+#^y$iP;YpJLxIZlOiW`m zU8Wtst?W=&T!E`~^H7+jR_t&Zu-f{A*=@v&8j{}MIRiH3rv}f=UU5shzIkxR%N*o9 z!BSfM5E>V4wzPXKERVuAdhFY@5XG$^ie>%1)$j94e|t#E^+yEbSci<Q90L&{?Vs;M z-3xgHV?m=D-L*%Lj|_`toCg`qcD9lWX5+SR)qcP6IJJa*>g_qzRRFI9n)QK%g7TX$ z$Qy4s?ml<T`D>R)`561yI1%Mqt$rq)b9ye6JE9}K9{c^HvV%%)ijQd59ANS$`~G;{ z=<tt$ml@_vRkimzV*c!w^jSnzE+fSp1Hn~#&pPUOBW@0lYS1AUN+}E%ECB%nCYGbh zNIs@()y&@+dXt6Ijupp31WuX874NK%sgy@1`3YTcXyAjN6e{{}vDQ}|7`s2bTy$lQ zM&0<nb*(8Zs99ArZSdQTR^CV|yYyS1f@cP}m+m|)hWM$zXSgbDIB-C6Wi`gYYUfh- zwJ>4X=R$&RnKogqe`f<jdM428{IR&+CZ-|qO}6SLOpvTpM2=|mdX<W0kS_BBXp+>= z$bg@)?T2A*XvC{+rV%Pm+Zu3NYVl0Y$7iKg0L+Qx5K<<#U$%IIg63i(-0jk;DNS)e z@Y;tsnNu62TuJA*TF=-g6Bl8hC?51EJeilJ^z%*&z006Yse8ioA6DMy&e9siq8|rP z=0A<+mU6<UiZW*m*Q?g7uXeRUIzC~h`PbKI*EX8h1H(!@UthtECcTQe5p;J7*N=?& zZ*8qNOH7O_Pg&EW9HVLt8+Z<JtD+1}o^w3{*GXxCj|$-;KSmG(f=pvo{5T;nw`|&M zHvaT#ZuX~$dT;W3iH&@B2gUlJF{6<o4<5c3%mVUa4ny8Oue4Vi42g`~auhnRU!)<s zPFX*OzOs{kX}{+1!u#ere^)}qt*m?pyJ3^ARL8_<{luJtjW+MGt$`68t>uB3k%Yb~ zft}B-kDg0QzU1kN8oP^sWWSGh7B8l6;;#&=aDiDDCqBEboMhJ#>X)t+{=`q@ecOh~ zG4gHr7syqt5~%t*ZBap*SZPx#ecA&JX}a)dg`^m%n=UKG*}KnKK%XKbAGA?<ajOv! z4`}PM4xE3!fQjFPxpLE2ui!k14?Wi$%ic+^yRTVQd#_<!%5hkawSjA|s@(hUn!W>C zb{0fY2K{uuYnS7{gnCi>Du@ap&BOK-GnOu_7Bd&_*F+sm!OSJ$8*j(Es+#!ph50s6 zEg}0M+y=ah=DXLycWFVxxRbS(c8$&dH9ya5OQsCS(XY~&x^K=2^9I^?)!NvfN2>#m zMBW!HO3VsfgI|5(kbckUgro((F}?j07(w~0hn9`5=|SJiYv0&7dG%zk?U=;?4`xPa zg@E~Hacj?ZQ4x>@qZ&<a2KpkPF3_*hA~r!OEk0dKQ4Oo~>UNWunLcSMOSx@`CZ9qj zNO+gg1lIH%ls@9I@z6O%++))E<L7%SQ8s!y`=^b!W>o1QFG~lNU+zyvq*H{a=Z7$> zy|bAV%xx3&(f=d(RI@=4e!cBkU)f_;J(QrP39c;JYFP?woa5!AH!kSlu6jO4Gxs&) zP5IA}?k9vlpaPkv#Bo|T<DJ!T9k#jQ8RLZ);!hqM2YV1uz&ohY!3R^n5`Nl05kHFJ z6|RykAJ=5y5wab$9LuGaeY^H3ad6$HrEnEi9P#$1LBqB0g+6pm>tb0;6{|Gg<)5W; zQol65d;Pn-`O6>9IUx;G(t^-m5Bj&h;QQOvid*g!`Yh?<*<~yL)gmWh>uN{=wY7-S z&pCyU^*MFEN|YCFQLPky2U3Zw7U*6D^xyh4EWI)si#xDBHD9S?%Nqz^Q-M9d>%X9` zk?8hqXJl&Ung1MR)iz;DTZT*3Up9mkllL8cUpD{rd}Z|m_x-B{1aLK*GxwQ)ahbK8 z+(2PD>w}@{7d7g6*;9S&wmC#r=s&;8k5x_1);DJ_DpU&~VXOON<W^T*y4^Q2mBm%y z!M}PRM8&jgS4%3n4{7E|59fY%>yu3PfgV4#kjb^bM-wZ<@JOLRlE#uN=r<MIvo}bQ z{>5r=k?8dgNuR&`r=8m+`GMQ$<^bfn^ojw%e}7JUeirhOTl$dsf~og{6w-}uI->O* z(7AT}d`>Iqvfwd;*9bLEI%JD#>GekbQ}Z8HKc<wjwsbJ&qE0cNZ{qsF3R#bPb?`Fk z?~_j2M%QY5{HJY>?*LSTJ0hNW^)0y^4=`)xy00fu^wTqEF8cRZ8@GQIkTVZR1l2a% zmPBvG0j`Kk9*eDQj30kHu5^}~s3oIv^ws2G6oGHEo`2&{mf9TBP;z%v&b|3kntgCZ zS9HteAB20==-6>**$dV5o;LR?{Hw;m%72#12R927zZ~^T8otE)^SpPKq;&Ba?=FAD z8@n>7AQ#LQUBZ?H+(Z42My<K9OZYGTdLjxlUSbY--+j`bC8vKE596e-T}ofR(bxFL zR&)EQv+EsWdTm|)zO1o_Z+azylF1IoFWH6YvhO8l+}_AS<0s}^H#9@f6dL8ycR{EG z)4dyu71{5c-lOHtyoN|8E0?GP*UrZMA?ujm#a3*&|5*(p10t9e{NW=Ji~I9hT;<;! z=l0pM>Gy{;LJD<7>z-!`x-;dryvh13|2<naDp^;w*&EiiFD@kP?se`~kbVATMPK}T z3Qw}zAUsz>{3VCUgG%K|Izp@u&+Di+Ti$?N@NM_Bjn7r?W@gW7@@gET?S6nn+@~7d z<m{f+7Iv>}l#9e?w|tSYRP{IS)<KuHuV}d7w-2iNmJbtwgI&Pa)3Mj{rtk;h&o-qI ztitY>tiCnkOP@_%uKsnGJ04BkPuvqa5MI4j5}3=$Xh11R8SI%8!uN8zU?5oT^{P!> zhH}m=p4FA3G@GVZx3_w(^g``XGf>l<BmPytm{Zz03Q7#~u*~;M{L;b`*-r-#sR^Ui zOs#AC3jTE~PbLpnAYCiWJy|!V-VpR`_9wo{pTmtylhIFW1b4kFV*s#7uS7?J;-~K^ zmC3D;=ObM_(ToZ6Og0?99Qp}jgD)}-f{F~`W?pJ6n5Mx;-p4;32K2$7q@~`@tCugK zerPi;{WFtKM<(~G6kNZH@1^}Jhp`)uzU^vvA*?yp6soLP_Z{m{^?~U6niLy`m?l-; z2NiqF(4K6eB6DO7YSjGbt3VM+zl6iTwC{|a-weDys*^nTcWd>1E%R>NvmdEhW`Hjx z;crjU6<a?M#BT{E#$0>VBKSy6N<PqeVsYQvinAH%+x~tjU-^53HqW5|CgS}<lPvGy zJD8DPWYH)5UYq@3F5`;bNKhH?ntgn54#$zg5<(KjEJXOZ^?TI%;B2T=;?XIUAei%u zREANC5T%wmdWX*17rr2$q+RyW?%nG)BMC|SNGFq@sjA_O-kgm|e;eCpXzD1HAJ;6b z!=F6z9bgvSSb9{|0D4~hjZiFi74&+vyIY}bP`B-6r3T(CBkrHqFFw$0L7Ttr5W$bD z-DFAX3+;HAnTuyVS{k`|)PqSh>wyl*1dufKDHbKPSW{ent~71+HR%e0<POK*#_8k@ zJ?;DZ*?qVFHN478G8w_f*2MkVVx!F*9Lz&!(GfcKy4Iy(LukOvH!$(Gu%t~aK;VN+ zxAj+5{iruGE~nvkjj5(z<C<BWdbmvMS?ipaKJ*%p%ceO+J}NGXZHX3Nw-Hsp*7{68 zgC;$EJ(>1aZdskU5og=(cnm&fUV<s4$N;O?_~`nJF>{;8o(9RwuZhAXPybp4icJq5 z+_6vTa(*(QXUfzO+x6E?MfCD}d`uDR^8BSZO#ES5^3Q2AnWtyXUpi^?u>mJ6nY*cL zF9o0HrEsnx6;EpwScFDH2cz{b9U})6dEN!C)8EmWv9mZZSjlht!JLunW61Tn6F=m4 zm*b}N&A=zmmG8+5sU;XRtNQ+D&}=mSxAwI`R%tNeUt0lIhRwI%Ejiy7A)}$q@X|m{ zr)fK*Vd94O-I5hB=;iJ&J66O)DK|@<2O0c#!UYlypX0Csg;YKmDY2?yr{Jf*CK!#{ zb>;*g&bAH9OL7&E+uH0q%|+LWW#qj^(poR&ezv%MO9de)JrVTF>h{Wg7OiQuUorp2 zI)7$6+Uh|spqkziOyA0;SzgQhQgh?VANFVYPO9U_t(4{qGCw(Cau~R0Z=R4N2#NjM zU+D3&UpGxK%`RTfmai%E`Q4q_kdF#dC3;D3yuLg0WZqwlpnQE6Mrai?SMDiw<om)T z&lY!!Kl`y%gB>MYSojlLLH-Y~akK7aXY+Y()SFN8?n)^a%%vFlerKV+kGH>mNmxD$ z)Qks4y@ysT%XE;r=)?GQl+L9<JSDOaZ7}z9M)se@?cDUEzSzCn@A?!HptorSh~t{D zQgy>R2A)?hCGR??BZHOG9L&T--rDjo@j>VFQfwbci!8k3&C;_0j~;nLdS=%bB0phA z;v(w-;`gf@{8zZ+TS_U^8Ni<!Ca>Rq5gF;&MlnCCO0VI0Q<>vdys9L707L)ggR~~8 ziP-ovX#-bNQhnoliN4#nY%(IB-%%opv3tBT;eE;4loHlaWEvhO|E(;7g8kUy+0)h{ z^p$DpIrKVq%R=w1rRMGvt<{f=R<)4gbPZSloB4xixiE0$Fw?eKGUQ&GnB$8mmHKun zDowA4Bi9~%e$(L4$MiR~Zv#R3SJYBTBfmdmAl9B2^UknA2DhpB{RJ)9oXS$Q;4M?n z+^2_xraRXKv5d8;bOzkWv<Gh~-D_8(gr7;7MrO-@BxtYcpY#1^ry+UWeH+yohJ%)` zzbdm4dB7X0&%yECINR!X0<G8saa%Ff|Ar?1uIR2lzmJ0a5ZxANc7Em(L*bP_kY*6= z6>@{U3UX|fO>v_IqiJ<#l=eTSO*xvEk<WEK;^IT5?j$TBUJ1St_ZbOKb#ou&{hR)> zu7fXEOu#KFU;ZuCi)R3;I~4!hC_nlc-rMFyMqi_#_}_ky;s%9<CCb*?@jvnZJYCJ4 zoh@BO939^~qTal|P661B)U+1-C3<1{1fX_@BGV#BjpCo3jNY3!?nh56WC_o%uC7)@ z{(EzMXZGXyBb|hDj8T_Qj#5a!%BG3qL+JuZ+05V;;rKWy(hp3O0}92)?xJFLyIk0d z!iB<yVjn!^R8~^TO(!QB6`C|ce^DEeR33}lU7ff8tEf>adoB74Q#1fV2usA%2Zkrb zHN6nJsi{f(cb>@I2_LHrD2Z9zNCB<7yy<5xJ>y;+D}4GZ=XF0-4r|F?MqAQ%L;h^= z`t`i>>%SoU*R%jklxb+Q#*eI*G@wknFX~iU=C7lE#N0O2?C2}>esnu||2S!#J9&Qj zGkex&wz($;tMdl7!yi-c47|M5@YTLgt-t88%;NLpA#5ihA|!VAZ<6=2;a1O&gWlTw zkGDT?Y#2Uv_-fTsQYyNrl`(k0f+|Z(0@AQ9K{g|)gsfipx4bwQD0(gyad!{|4E;Sv z)CG7oWs5pqOPu3I87LL_w4R@?eEBwTU&9?(K_#JIx!5I+E=(iTH(X!rl-&ev)+H~p zZ&uh>tR+k(_6DV0>)#gjwHM-VU+;Ur(HsP&l2hmSZlrzi_th_PlLv3>^$S1iE%{Y5 z+kO4~_NqwalTGaK%W2OXTZM23m#8`HYHXI4Tvh9NPHN!=YW35%*Typi&lxI~jIVNZ z^yOSwf>q)gOyuT&tudrHCjYUTWd8N{S!P$o)AiF=*)LUtEs`RsnE3@Ybq$uei4WTV zjHb~ncjno)!_|d^Cm?>tZEws6mAYr`R0nvvnZE@|y?%7ToN#{6NhZ@ytSt1E@7=rS zIj<TC7eDXgf5!bah~xlQdf|8Ptp;h>f=|sfUCt)NK8gDB;lGr7if*w+{+j7`HZ6LI z(;fJ(hb8R*|KI6M^Z!g|s4|w}T#b?f?nwRrKb<WsJ^nw_IkHBd0Q&bU)B8zT{=M^H z^^!!3JYQ>1t4@#i!p5qqi{RWBllcx5p(1xiIt4~H-;dLGR+Jz;3$Yv3O7;c6hTi_) zAITxPl1CD9yT{=WF#E#Q5xRxklm$<Uif8JSw;ixKjBj4~@A4A==j!X#R+ZJC%cDP6 zS^xdXJ65>t54=232+-fTx*WdR`4M>a=W6edzrZWyz~Ozat7DOO=T6fXYd@|wh669Q z{#^cdH9q|3A}jDT3*R>N<Gkg^Rrimph3V~wZSszK2Ny?g9P-|l*&2`1as}uep5^XJ zO-tw5O_o-BTaI(Rm1^`AFL1X+`JKCr0^bN@N6LyH1nhYm5_4mJ#YhT&lJ@<h`inha z*KD-v&8V@z>1A%$<-tqCQQtuaJ8g&KjWf$X_JgIYQzxbQ9q0A~`J{e^wkg}eOm9^6 zc=kH;JInFaKYBw0uO;)EwzMSSui{5D3(X2HFaLXS=s4=6>(x#5c3Szoc_4+ZGxd?* z_E|Ch(^%+qt^VOV<Y~`(Lpb{%iNtu#ZAX9S#p$txNBy3bT&0(1e=gphDO|4oIL0~{ zxL4{PV{^()98cE%q&;z=`D3peHpl5?|M)Un=Y66>!f55jpl7jC0O;24q(ZDer>vsG zRbOeQ;mto6>FXsUW^H<x;o&8hFDyg*sB4dwibbE&n;QT6^HVmGtNFd$AL)a01H;kW z;!Jsq@gIZ7GoOnYN`O*prvspviTcia?Hxb6I1K8J-%939m;Ds}l_TnJWtXeQOti#1 zc=W4hK2Es0xXM31;JRu(Ja+1QV#Xdh9jB06VvRo`9&TM7-@SURci7b(cs2Z=c)_Go zt)RV;Nq?!@cxGq9VBEdYz=SO4uLIXNuNK>jyPqE1G3im9c|gav*{e7!Ne7)GC_O=N zyN9AP3U@FA00jR5<8w{9hF7}JNvt{6+ev-n8QZY~*JUnamoZYAC9?gBsmyeIW4(%L z06OT{RqV~D>C!om_j%p1DH)upz#R0l&MMRIC?7WCCvqVoIFm~h_M#<e^hZ)x;qY~O zn6<IV^I0l7DxE&XxjS@sbov!Zw5(s6lyvoBs;g{EPvq*%bQxSe4uC-q4xqRwAL^Ee zlS!l2PmE_kgG`4VwK{-cb||6Z2_W<xw5LLw`oYg{19wFTznj%`8JxohRE+o!X!JGZ zuz49N^}nW5*NOQim*sl71x*9^h>txqw-hdyihmR<tMw1vU0l^OPAnjbEeJhxrinZ~ z{;#ce5%iGkFVlduiZwyn#ezwi#WqRWHU!n_=U!;#Z&YaYEh>#5lN&fjBr`8ojHf=T zIfF`r`m-FaVPt|Z!#J|Ka+tm&Bm0k6OyD1{xb(ktG0}hNKe^MCZdcs~-P~gau|+V0 zC^H|xl(n8atHwpmXd<mXfI|$$H5}X@FY!Gwd-*}d)GdGf8R%i;vtpXa=f#>@TE&7| zPl|2UpV<(aqN&ggeN^b?U9uT>$!0V%gP7F$VSV?bW?D@nTVBRpKNNszna`8WFr4v= zR+~|dRx?LMtC_utRzqqlhw#xqfZd@NfI)G5uxp@a&L2k-XE4qX@;Fw=<5-fvEL(r> zjW!gN$<n+jI=xU)SK|5S@ma~Yte!QwA@1#@A4+rAonCnTWrv!SV8tS0k6@04=R(8F z?Yk5g2At^%?O<ASZ#IBoN<Bq1i~0$Bao|m4HHSBCgu0jKl&M}ywNknq`TS>`^XXBO z!44Tsugaosa*S?B%9J1!Sz^)4ZLTFBEWO#}3{#ZwN2~qkpB5D|$1;lqz8zU#51uSc zE$Y7u^|*7ZVc_TFU8=Im4-NP9dkjuoh{br6a)IH?#HUh!?*wDT)y(|0dJUEYrQ&{x ztC_lMy@WBpg6b>)&TDO-nZ+uA<saU@2HroOUn>?xDGweE@){$2bmI=wzTHHe%p?{o zoZ8%cC2vtw_nQ7$<ZMxcGd~1X8#t47Q}llBPIMZji8!>$K1_YNuIc?f5n9QJd&RPL zd5^Ai4;uf|y<cw@9Cb&e+et1)hHx+<^eS6#kgoR5qWEi5c|&&&NmdB@I={1lxdQqw zy;#%}A=B&z!7#fHOPe4bS~~GBX-r|_P;4))<iic%e=+{EJ&VG*?}qitY~Gwn@1M?e zTLub-PLFr1`vh!f6?aF($9HwaTVF<isUWhYHb=k%X|vTACApcMxw<g*)oTI@*?-pN zmmj)a5U8$A+Hkvs7CxPQL<cSG<*svwybVvzC@cyY=xjp|0P@K%zLTb$au&lwIc!%( ziqcoznhDNO_U{K@$BE8AO2PRl4f%atWs0UEbbO1wie~b3Q0iW8MTX+Lw~zkm36j4d zSab&G3{ws|PIFVb!A<u$k~+s)Y_CxvR?_7_Z*jZyY1%h5&Ax!9w?`r+C$CtM#nqs8 z;+sU_sVuh={1J&GW$=~3Q$X+oD8WDr5UK|4G0+U5xYcU&;56#D@_)L+%POmsKA(Ls zW*MAj*K@LdCJjg!=;e~l6Z<xBoj=Co<KQc=3(gx<Q$31v!gP1Wde3^iw49hyAF~#) zqPAZCZjjTJe)Tv9xU4$Fl@89)@KPW8+{~=oJ+tDw^HSGAflG>%HGT$VTGk=#u1m=w zR8yDE0tM|~Yq_!v+}a5!cyC)6A0zy8hIrewFpgU2ba@YQCuIHak$E-iV#B-1OiPEG zUlFP^`@TQ(T;-n34xMrGIZI46$?&-}mI#C<a;Ceqt6ZBnBrrP3F{zG_cx?C2g7{e{ za9sEMFC--^b^?Uxa&ykp(u-{m|12BclZ`KSh5N+()qRp@U6T5~u)j*kLkm>_@_$-p zZ*2cm8+DcH^v}bv26U0V@hGs>QU3&R`fB{m<qI68<xkY|Qd{QY{DarQN*^DI$Gx~4 z)^xV8)VBNW@vHk!SFbf{8k@s%9!HWN+9CO&d7mqP9aO32KA;gLebxF*9Kxm_$G_2_ z5@|+1C66SA;YRiTfPhQA%AGD>Of#I}hVzh$a?%cgMjye4mFA4DUpp3rpki3nM5jdM zmEOb|+YJb7Y<ONzx@$>$tNOC&GK?<t)v|80OK_pk*X3r{&`qJ?`>neaCCRg_q}xsv zLyxN$iqvHbcPY9n3RK)?%5XhVjka!c&eX}Q6a1lYkksSrnfe<AeU?Hj23@Y<cZKu~ z{<&h`7#&;5HJX*=&K5OaqX!za&N4>8KxX&Q{RR!Qw6VGsn`ObQ?Vn*nVxLwA5jtj& z_UoBNM&^&&?`HlqLdvuQI%X0C#1k5CB4PRBv5l0?F0dvqdX2ccSt!3NEF_9n3hB*{ zzP|ZV!izJjD170XuYt(VuM0QN3<`gKOL(wW*<?lk_&xdJdi21N=2^yZILHi$?jQL# zOY7lpkTm($$nCS!)!AR^lU=c@t`QdNL*kdr&PRD~rTzvp_~(OwB-wl<*$GNCcS1Z9 z{NQkX^SrCd0q${DE=<;+Ul=1YPZOg%U9$P6e1@nW-_T2`L5_lM)#TINgCAwm+UuFT zv6o1@?BbBPwVo_3;Upq5%6p3{7WU7EGNWing=)wf)8xbOa%6^wja`nf&QZoD{5$l8 zfjR)Y0HrDVK+SWnhr8hsTgJ}>YSw(7;P~OfUd0)iQ2`GT-x;23405V-QD{NLAtP3R z^Y+X`j#9(;{yL!!ThpNhs93uz+s6u(5E@TgLh~Co3+F#~zF4=kv9i&C9&UUV(wxml z(<JRNhVOBvJJ+i~MSL`ap1Jkh*04==j-+O5v1Od0qEk-FQ2O`^s!4*<K@8R>w6lR$ z`9}QZV#tM?WE=AL&oTVhLgZA<F=#>B14gVF=WWvpRG{#4zSADXG!{CjPd~Tfe{3I@ zH9}}E$W~CWS%_^D=X_}m2^SW1k+Dqjd}4he9lh(zD%V8YpT6{V{O^ZfYyO;EYk)&D znrbRG$>FfDa`Y8G`j+iI8aGeJox6%RH^}dA4oZcn$X6y)&ULHF!k;t!=cvlH_pXk6 zi{Yx$za?)P+otx0Qd7$GbE^ul#l4ZI>swX~p&k2N2l|J#0Cc)cC?19<17dwKbr1&e zl~A;x&<-Q^FWGn|j?yQwHmOB8YBqaYMpHUEt$Qkf&wqQku`$qAQ?-YJ-ME-gD5HV# zn1CUn!Hj_kHqUc=9HBZJgpP~(il!RT01CT&qP{6$n<_qa0(;ERaS%Pl+vLKg3R4e( z;`+Elz<gpzOHsNGyRYlavU<&4m^vDUsQa5dHyaVE$RadVoF-8CXCn2@Dx1`wpQ$N5 zdg}5+Z5d~|>H6ZxLui)a^08Dpd?hFGHuHo~=f(ihK!q{f=-_)nzI7#jnx;5lynp8b zPq6pa-+SL<?%pj(7<xT;DI9uY!Y?-1&X?&G-(VryA2)AF8Rlb%i88roHsJf0OUxj) zMqgYepkc^AU<|Y|m-8QoZOGyGjER8KF%SnLgU$r@&672{h8?n5Gt!C9jlJ@<RCBmU z_|vb!=V*+UZ8?g)>hSQZesj|O-nykbsKL67nDjjH6XD5`pQgDg0?-ziB-^cWhu;U& zOvP|Rtf@bPk%Smmy}!m|8@WTERhQ*bwG7`_o84jwT<UBK#iJ65?HU1N)W)Pr&&~d+ z#bxynspZR;>t)0}xHF3Ez_3R?f=jq^-H~q5Vz3P{<QlwkBc|L544arZ4;g(>C0tfo zpA_AO`X(XWLQ6it`*rdOJ~a{fe|UNdu&AG46%pa+6b=NBl#o11N<Abb1tg?Xx*I8p z0|{x4R2nJCqmej38U*QX;XnyNK;$j|_r2%$9q0ajGqbb1v$MMsd(s1QCa{-o8>Chr z+@$h}3wtkG17v@{TgobPFtm$8AB?Zk(`lRDnbU&eD@Wc@zoS9B2g3oY%&NtkCy6W+ zi9as6m2-o8WTCiVG|1L$$V?fCztJjzfx%26@!l-8{2+b#(53T?RvKCIs?a%c;&yCe z6Gi~+oS*MN<?&n3FMhh9whwniHseTRw!*~k^M~S5gxGxKkHCZ8%HoS#4@xU|WyK<) zrR|$O0WWpT>U;uZjLev4Dv=6(9@kF8lrW8*NyY>Km9Yhb`T>=}NJ>yOi(tkc#=+5k z3V3t<&;9;xr8hAy$=aW|#q1r#Fq%zP$SzqbLcmxoSCA^sA7J~-#H27h;!>D0@ZotR z#fotxjr@f{eDhw0(arNk8QKR{*xM$|%_Z?TM<onZ$0kral2t1Pf7YyS7k-}7@VpO_ zx<-jOxsYK$RDzQ=DBOyyI*?!%|LB~)_;ihk7$>vfNrW3b!Z{naFqIdaWgx_?jNcxw zkQ2ih$M3gmLPNdfLhwh{UhDqz({WpiG`jv;6?a(i(@FGK_}EISDEaPn@!4mKp%dI3 z{s9Es3MeN!Mhdegq%3L^W~2N&j;IhLv@)+#&Df@Mbx~15;}B5wh1W0fs#`~ueEK}H zRKY%y6Ov*6uBT9Khp$V#K*b>=J*DuU-<Lf1;ER0TgP;j&#)PJxyd`1Y3)atsbNTd4 zy{y48A26(u7fju(LbhwXF?Y_Ci&aaUrq-6?A1@OSvu1-P&=JEOn;mLoR+~4`L;v3W z{6t@O8MBC}cQ{G)S6k&B_i&t(ZFAt8584TCSAr_E<OX-zL9JU{=GccEKQ}ofS|Q&r z6c@~V&ug$4j%l#i<fwNLTte47KK}jq<AMZhoVD7mFcSFa_MceF_kzu>>u8m<d(zH% z=#g~sZAMW`Pgj}!Z{DkDm5sT{KH_3V{7f%;LsC|f@y9Fp#PHarUX_f6MOSdFB>CC+ z!p`r!*<sv@!wa<Kwd0(7n?vt4UwZOj^MwlzcHbVaCC#DRvFI9lGm|gz6sfG)%?^Zv zszw;Lm{iBPw{PZDn{R%<6)Xgg6H~es<^~@XTSq^vEsC0p8)Xy~wY_M_n{rWH@qB|2 z%3MH)ouLh#Z<X9(|CAeyVS}PDF?dj&dGxcBOm{@|Ac<2CTV%cC9CJ+~y-TWG^ZTqz zIe_4CjQ}eM#&|$c3xJ=(Idtue!yQpf?aa#W28+UnURs4isuewk(0lXff+L6K4LmJU z-0bnkX3-KC6Su;u;G?u#!-;e?bDoY7bTwVvE{eH%bLeO(@m1a!RmVBOGjxm>r~`-6 z`o2Is9wgEFqrh3#Dp8+N6O%+*N~^0^EGLDb*}JDxs9cx6zFLUR9_LT>rgkljRFvEf zBu~-MJlw4|AGks#dY{ZH@8-HOfC4XuE$qmp4?d9g!~!;+{=?mc5q~f@SaAl-WF#Kc zZ2>*g^}Ev{L&|7tzIgAZ#Qxy@Z;VwnE~z~P^$ua?A|u4b&ZC>45jTmcXudr*kDn9C zu#s|`vl1#7a-0wufAj-AWcBJ|mDg>|eNOvp?RoP}YQb_|c-;2$TVaksI`*&MtIeZl zl<iXJ>xODofk>uJrZwcdOgiYp#&=fmw7%B*etVpImzc_T{Bhp<|0?jhuE6cT3Yb!< zteT`ugvwKgu@4B-w2ehSJ}vzI9*-gmG$9BmBhUop-M81Y3!7fdDes!i7x0S%(c49r z$Jr3O-r}-&6=HK=AQTUR0BhZ#L&i{TY$E`)%e}_o?KOl@f)P0RAa?Q4;#Jo|5F~99 zAF2?YAKdu>YOVYqC*0SZyxNp=5GpTqN$sp{7~sjAM}vlDtA2~WgX46hHti9Fp@*WV zIf>y$my?mbR<EY!iyaE<CSzu;UX`vTboMvok9A+S*!RbEi;YIxc|^hTeQHR7vP`~i zv*CX=iC@>$MDE4O6VnXmZC(RSkn&&wjHy|hq@2Rlx3SqcValZgKi>(l`uQ}kt}CDH zcpkCn#5YiD4wkSNqPU9^ZKx~k4YT}Sgc0hCYNP@S3ZzxRFb|n{#GPTY;1U~Boi>TH zCH4RmVw$NodkvveS$PGJG;N8ZsH1Cpj54$8^~~lUPrc@g*sa30-|Cgy0%(qh(7uK) zgO?4Di(DG$*5>)^&ccH#lobVcK7v}8dCXa<bw@c)oER`rrLyAnu!RXb&S8Ie&q+A~ zDU6|4UkNo(xfS^m&QA%pT$|UTpbchchRs8-Jan4&mj)$M&v{D<@zVy-w;PU+!<6ml zh*?v<JvJpEhSU59&UXzy1k_BL_6Pv?oi7+0x$f3i>(|{%^R3<D3hYXs+=>ZtoU<?d zzHr_DYYW9S?>(ZL2P2uhY>vII<&>o1T23$Fantg*++OAd_b}Ewh>Sybu7~*Lf1^#F zI(W#*YeVF64et4$SjPZxU@N)@AD#YBto{EJ>+EYW3da~ic7gKBahwBPB62OGb;)=X z`e2CD@Sqw00S5giqYXgxO1*%La_$R8W=g2h2$tLY*HR*4R=M$Zi?2XW{5PpWu6tZ~ z___gVYrJ80;FrH2&aVMx<o@G*1s+E$cdMp4Cs@vjW4t;wNJ6#H^79RU^LMgWsN7%u zeYM!*FI_-S)LfZxAh?wOg(xf-C@3!Q$bySujfcm+iHy(waBo7VO;T`_gTgDCR4VOW zwwUJOv|=#LWPmWVyE74A?~hG^!rpAWp0`q44C7$ZW3Rgj0Tk+EgKrH#ujkp2$MrmO z1F8$`2y1}q8o!=rj1AXF0oOye7p1g$NRbL>Y;xeXcqR0o<hX-rRuJ5&1*VzHoE370 zrQX34+_9C1zU3fqpfSyu3U7KO6u}!^*9SVNLnIQ9f)dR6U_9vB^_;hBkXW=Yted&+ zpypNHFcp{7v^NXav$A)%M38i_b5u`YD;OgQMct+b($lhwF&8QqxE|Zt?Q8ytUHS~F z))IPjfud8k%b`~XLL_@DvK!7%CrAuWX}V_p!L?Mp+Pwz%a8We%dV`1=`)`B={~O^< zJodW0;7$V|`mWa+%hWLfP^U~~JprOm?4oG?WeyDlVV1Z!&Zayk81o9OHJNzO-KB?Z z-)2`trq4EG?>XpamR8-f*EfIHiALoLd~GzlD>obN1q_v^`Mgz;6Do%aJ5c>hnwcje zqc-vP%$qZ<_sHh%X;PhFsA6uaMnTr>aw!!}y@}a85_RI6I-ND9Xjf{#l9b<4jlzC? zv?^<NXL1acTPakCs{1gW+gS|#A*(^Fm8Y;^(UD)lA3iapwE@735dhr#)rzbga(o3P zzoMW#Cbm;s%%9RD9#0ki%^R#Tk9XM9fMr$@0KP3KqRcS-T;~4DB9(gpuwFH-ZFO>1 z?EOBhuHS+qp;N;W@<~aV%_Gbvzd~TaCf=~n-Oa3~G)Yt7nc1gt=4QDWnj>o-&sSQy zIfKs3oIL7Q2~8Lt4IPxq4%BV2{0gt(d(BA8C%=G~M^xJ9Iqi*JyMZ^gAes%s>cR9x z$zlWQVfsYh8-_-2c>N_#5c`RQ-2JP*mdk|Ku#SZBUYLe*2cUXh%77#JU9{p#1rucc z=;XuYi#^Y92-c(+qZ-bIH7o9M4E#!Qda1q=%#=<Y#f0Y#a2WwE2f%$IGiajES>}h6 zOc&$#SKVa(=ks$uQcW@K*=8Ru=gb@m4%!mugdAuQEp)l|%M+`iA4tf>rTSVB6W;U= z5=L@n8rlWOkOLNq@1~D=_mJN9^}ZO1?aBN3=To~t_;ZHW#eGM#B`$~eoW8PuIYor| z2UxoX$PhWVlOh<7yYP{J`C|Zuj(D$~KyV3<u%3ZTU;2^PdJ*nt8SIHE-G|Am(T_gA zNncW*B!4Xj7xT?o-VIQq3_fCHb{Phy6>xw_TbjJ`%tKjx`58EiRK{CFP!ug5+!vz! zgZ?J=gvHNo4{n&#+UMDW9GuxL9d!?P;*N2HSD$uxj#JNGn9BPrtVWP>W}faTt?{=e zw_199MdEIr#bIvy8lPxSK3USXO3#ls+}}Ti1^Y`|$hDECones5`?4&}a;<9!954T` z`M0F=e~lvHjH6$9d47UppaWAvg8~y(^JdG&-19Z|7_99ta40l5g+<y)=R1E#!Ycse z3h&rnwO!+YG^@*uPEIG@k~TDTyByoJivs7zLs%r+aT_+l{+ipE^}n{SKW{KHR}Lar zX}lvk8A!)aN_eT4A_vw?6sVsFE5+xYvM2gIg#P+AJh}0Ek=@d)m4HcF>HNy**wK?7 zSLlq-o7;vtS-m>vaTqQp!Cfn+Z@LH;iT9tu+0;XtwQ3PLc>e$vL;X|zb<AG0%KDkb zg;@<!!Tx|OtQ;KYV_4BlXuk+OZr=z!4)9?C{cf8ut)yp6N=~~0qhOJeH4Q~t6LCbg zz9T*&3(jF=7Qi5=@POs(e!?{2^kV?F45PS|k_Glm>tk4Ct7pssS#T8q1Ebgjz;F|$ z2PS1n$x+|{p9rYELs~1dMYfu$0BS!NnX3{Q=1yFN$7^DGvX&+iwIBXVzpQW4`)<5f zER*(y)IeF;_n+crV)|jz%;|yJ>hIbLdn3IU+?8BR$x5u+ei5Be(lOl(W4rXLx!r&< zF7B|dvwEQvjo^Z_yW|w`uoq|YzLdHj`H*bq&bm3GlxcnNLnk7h0!S2{EpH7Gab;W% ztdJkxX%Svn^*)C#n!TCjig&<>fo5BAr89${!&%ov^81tr_a0S`z{DWG#dnVh<q`09 z*fjEJf<X5@wa5#pS4g%+L9HCAajqCoBH~}DPvRKfa5=H=Q8=CeJVfzK)O2z@7rB1g z_l00Qc&4}W_wbkf>evk?p7$?txkjWV7>kaa?v^2DdQFR*<0o$OZhVkj-QI*K-U_~6 zB%j<0iwA4!<B%Jaebz(ikrP9Q@e@NBwVj*co5l-TWk{6VIg2vKZ@5*QFP@OnZ&yF6 z*o3C`o^P?0zK~rT6IUy+!6^uCoF9F>#sdzFu)Jj5Cp!>su;G^YbZ1&_2Zm1Y4SkrO z-D9OOVuJV=Ipov2uYwwolr`ad(qA)&Js=aJPQ@-sYlw!uKC36B*~RfXRJ(9Jrt%zp zY$8F-iU#&?LSncGIBz}&W^|7HieC{E!i)*NL3i>QSEWD6H^}eUFtGn7@=V#;IC)^t z>Jdy_aL@ENRqXiVWqe{*PvCnaBZiZFyWf5j;Clcwe9FYo^UVJ>!)JhH{~Y+Rz!l0J zk$)3I4}BLh_%BkTKb(u2X7|YmeR>Rd%seF%3O`hHEoW&Y+*$Zb>9@PlBx<@pC?m{8 z+F#?;IUgU$Zg=mi(Hka=S7u<z&P!7AhJ?Tp+vxmXok-klza62w5~MH0wqRDgr*Su? zq*!IQ{=f!g9@T{)^AGwp_3`-D?y#S3Pw<}Ip_?3d=F}-k{^7CP`^Vh}-dsN<SE!I{ zcPpBDQD&Zfp5;+Xq2i?$&1FWhu!F%#pTP%sTgER|mR$$W_&rH1!OINw+xr3PCC}&C zwcIk-E))DG^dNT?442tIDKRj6goS_=2Q_iaJ-SFmPYNUSjcLW_&DX6DUp?UgRvX}p z=gPj0R&gaA=$+pa998`Ys!1@*w1F9V3h@*eD8~sI6M8I3`<8wGRoVHN$&dZ`>sMk6 z`7k{BP(Gum@{cASq4GeWn9>RrdyRn!WPq)(+Q5`*K)BFtMJal-vXhp?E_%Z`$c2GK zAiIm4-B~Oql0igC7H{r#mz%B$`*`UIH#v(g?`Y%(MV4O0XxIkSu<;k0m5Z*a2vT^{ zS*+cF1c~Zh!9Nnu1a|1xm2sUO^|uFg_j*i&_q9H6x<D@Vc=>}iC~oOh@JDQHQgnpz zjfHeUq{Pd{qPlMSx{1j$k;rd<U4fqit8)ObI(y(FZ-)!E+V<}3s)_Ob3g4h`0`<l& ze3A3xeG_TQpiMh$8biWB@(W9ehx8s^!RHgp>`@|l{c9)jT;IEq@1}lZK!B>L;Xlte zoYcBks{Y?`h3$Mv2(jyxdU~$9rlLq;Rlv4>p&>;ST}fqp4m5)nY9fR{*qd61mJ_L% z(<6kY_lvBy(F1{1l}V7=z^S=p&*ip*)7pFBLfCRPUl*WRkizHu!AkBX#ZTqKZQaeR zgNBKS$HH>Rn*`x8jzmKrC7$eZY*U>JYJFrHOZG|c^jPKJtZFAFQJP<`?0iUKH^1o| zB!TJEf6sO<RIW|it{xLiM9d$N1H%)7civ*(gjWc{L+k=<zf_K>2mAf2s15loj=XK; zth>sFq&9NVT@$8k!1#xA8JHILAj0vyG3qtmme!#hL@H~X2qEv2VK2)--p^+Td=7!d zmjw<3JL$7uCbR;K$Nu(e&24^{(U~+EDl$T3XqQKuMvmOyvDMubUANUew>i;7KMHBS zpk^I#s82BYEn)bqdfu}%E9jL@ePq2)+EQGpY1WAPmy3-6L`C@T4^~bO=nyXlX3-Bb zq7M6+G{jD9PDtLBq8%J|Fd}BRg4Zy3%=I0fX15|KF?fRY9VA{M=>`hOd16;v$B<SG z9!^mt@y^p(g#CS&chetv^9?b;$Qwzl<rtDRj8~o!)t@q?F}-T9UbHD9_T2t{W!m(| z3S#*PVpb6f`iZ&~{;J?kcC(Ns5e9eB;=Zuj?+f<<<g}Xo{i@(=a<?#e?0Re>#e_CX zMNBEJn!q2<Sys_^$;My4&lh>-5y)9qcA;eMvtWF4a^jNO%wfTpd~)iI#!2v5sl)hY z9jEdq-XvT(s$^C<nq(?D>SVaw-DI3-POdOoeXV!=6;Mm284Vwgj1=l*&N7D$e4J8) zCuWV@4+dRt$HY{SnbdQKPGceDB6YSJCl_x=(FK<$%VQs&%Z4Ee%p%GQ%tF7*_-mbH zQ>(bv)xNx3!bv;|R8k(iD(C0Cs_#kqyL7W~^NK{^;gwhDkMe)!Gl9i_{vpxS$q((g z?FQTI=4Q=addwA4Qzw_}*I(|E%4liTTU)Y=&tDw}@?P*-IgU3KF3yzMIB2>B2hQF| zZLh&=V9ge7FZk7PlQ%~H!#_34r9<9GzdrV`=05fqiN3-cqzNi8M)nM5$>$;kE)p|I z9h81WAEzgpa&45x(786;iKbes1}tuS54(qKxdLS0_W(!ji+)=!eVxhN*XGrW=^}*_ zO*F0041yA>`U?BlMfbXL;2idUcs@G!J>B`-SG|Vo_$bGA{^TP~`Pi4LKJ@b!8QO(g zTmnJ19|ye*PJ3i~`wV)Cb6-8!edNe*=;Yr^oVS`h6YDn<Q6@6wlC`~O-|KO5f81r^ zO)=D8CNKU+Lxomv-+AorQ(^TDi3*nQE?iSC_ljdRJ?>3qSJbzy&Aw210q~VQGD~(F zL}R`J0<G5sJ_7<QpJFw^yRnFEv6o9OBlP6N6KAo0a>OsQb{mSc2t5pYrE+%5e>T)> zX}Y7=<X-e5raa~qO1eBi!8`6p!-}+4jHy=d5Bpx?f?+YkB^QKQk(S~6nZSe5-t?;o zotUcv?5^2~|3N`@=POT<f2WpL*!nkDAz7B1(_>^A?Xx{sIap%~2hK9PIJIT1kT(>) z^y`{pqxV1bRN<ZBFgV2VVdC-5m>C@7_+k*mhnAJCFr<o^KB6R%6I?c{W?}_UgrMu1 zs6~KMi+85%J9FPSVvP9k8EXE%F*FgnK44;oAj#;Q4A&~sKmo$-@4~U#=1K_rn<AnZ z8Z%9VJ-LVoCZ(rXj=0jYvaKpZuL)g}^0IE@rEa!;iCq1Nm}XRAx!s;rX3tdxmj279 zrZm0V!-~iFJc`E(JXTWWv+&Xfz08xE<JhfqS04MnBbLTKQYWsHziU9_mwfI$Bdod| zbEQ66JZ<eHRZv+<0K4OTK?g%x%Qv#r7Ole{sk`Fc%Xp)IQpDV-_w1!enDtys(`s+O z6)lyL(BXXBh|lC}f$3_SIqmPlbu&tPv+Ru1WhHEr!Y-fpZ(BFNZhSwfCE^hNTV;No zUCm9gKb(C(r|fT=%5FcFi}ND&j3w-QBxCSPmH8vouY7*C8H>sDKK95eAoMOk=mmh# zQv#u92SOjMCQ=|E1cbf}lgC^oSjFu2GCRwj0+nc=fXcAfK&9G$Lw&G^RNkMVGBd5_ z?98>$ghnN*(D5irazVH$xCQSn6!ftNTSoeg>n3g!@N<q)n#tvIbRNs9LB}bi=#(8+ zc_rIE+sx)xJ6Jw@Huo<5U4MG|4s_6Fy#{r)#ZyDyco(Iiv|ExQ1OJREo5ra$`P=p> z?aInk*Tj_NG<SKGN~Frbgk^wDq|(4tYCw3hO0xY`3R1W05WiF}y9YPrHGlN3QKaGg zrqwyL-!&M<lS(`28Ws#Z&g~#frE!xJtb#oxz+*cMJhs4H%ndxYz+J2@>?+iP<9J!0 zvhlKx(_O_G|5(LY;aJi6!Lh2d^|9@`s(5Uko{9%yqRgrCG5)dj`fy(;N0=gLY$WK* zl}MPPyV~&scco+NW2IwURQ5K3z{4?0Q`=mQ7|zISB6d;Nse*#+FCq>`--*^*Qz2^? zjZGkgY%PHY$2^gT!aRWol9$K>jY{CTDaWe!G}`{Sx0?M~O3Ayza*dgS9_+LO=FzvN zejcp!MK!jDLM!>{Ybd_^dGu_|rTCAd9yq!8CX7N&N_Hg@X)SpYcjLVzE5?pAl7C`6 z8y@bhfEV&(IDT8f$&B|^2-xU;L@E&dA`AP+Xck_F#NA$n!Op!ELKhhm<oHPYK5{IG zL+)*>DDTX5A#j_<lWl5?o&<Tq@ej}f1k5ctPoR7@aUFydT1CI`S;-nmOTtd><lOyg zY8OcEJBDE8^@->+{CYJ1@4<2P>x>&Zzy~Bu8tJ@%_|~ujqsw%X^^C<(Hn|NJH$Ky` zk{fa$jc-_kLA<17*RqkgVmmO{iLXMaKiJa+fIZy}z{>j{!vcAm_YKqNgpoh`;Z39W z+f|^UD5VcO<eOvnW6ps8R1F(a#PD@N65LvKgW2yOUCE$Dc7Ij)J?&1XQ427Ko7`-q z_d9^@!*Ez6WzCY14>CEzbJ(DHQggVpYcN<3sL=(~=uO&Z!n`0(LHI*u5d44)3<sRw z%-$g%C<70)4WpUh3sM4sE(Ehd9_d@UaL}+2ZcHCHw%d-qm0pO5Z#+58)H||5*<8RM zABQLBNkjoWqB0uKhNtKW9;Jc_uhHvB@yF9qQ*>q^Dl7~EPQkqhRz{zQP6X)~aF5;G z_fv@AW~4CX^uYj{Uyv4+?<G?<S3S}fW9Wqae)JC(&+Ne{nk8jTLy*>)V2odbF;)a! z+llxlwhf~jER>L6p;{2Pnuspq7Rs|?Fih)FJpW7N44nP3<M%rSfU`dXkB%YIe~R^v z>)p?82NC+URiDX8D@CLyc<_nDo&=8utx-h~iP3xr<WZ;>Ui(pre87;)RYN)?@jT>) zd~uVYC*K7)(lWhF^XFfD0>zz{o3J#d;i;NKP<fIkTP{(yq~hH?QTHHdPTd2}|DcjV zX&es@VjS$podi!lQQ*#g1W+rt9zs#a(IrYVtp+D#VToFnCv%Nc)*oGx@orYAON@D* zZUIOh09m;83~Fug@k!7T$W?2;5N6D+Ob0a4M{sbk%Y6b(EzSz{JS_!XavMNfZP5Uh zo`=A-H6$Xj`%~bs{HR3mqJ3BeL_Eaf+1P*dG%LIt+@@m9JT`(DJfA?o@!&9=%Ea$h zT!IpM9tHIZ=KOVu(Zpxe-%;4VC*KfMM&1!UZs6q+aV~R>kZ~Y1{~&!j(!aE*-JDb) zG6{*2eTUC;Q8Mxxj{hqO&t|^RliA+H3%Ebq!BixuqnG$1{V}-jr*&M9lr6_Myvand zzbOjGVCwB-g8SMmYDkH3jtieaTZ^+E(CgkMjo-$?AX&u=(|t|!#5fF~g-+5J71)ty zAOAc-&**5C;o6bu;+}@2HaszZ31SC#PkJo_kQg&*NElno6LU@{{K{z2P~BmA=CWm? zlji3aJ|XQs6-Cvzu(odzYjOZ%z`$vaqw~Ys8hpxS@uN$)C~iYL{ZoT=Vsc&=yo>eU z_gbEqa7<~==&d=wMXV~kMXXo@Ox?F#Jj4_XbOtN2pZp8|^0tXmc^=`#NVUM)jTXtw zn4BV<gM_AE&ya2s<0u7=9BX-YW@RnMp^}%?QEAJgAl4C|U#cU%!)G5gyEOCgOv+AO zcaR=Va}a)m&<7E5y9L(yX4qtjgg;djAG_+?PphGu4I(PzmiZ&y-gdE$5|G5y5yalG zyJlfEw#i?pQ?>02mFF)!5Hj7t(*sD90}?cV#8>{eqWH%vzFd{^tx9hZ%iTpSh+NI5 z_aOH`{N+6ghMTh-VuB6*_dG5{-Yvcf<UC#_hD!M$f*4z1vR;d1IrOh9ojSa`g~!{7 z*4Vr-PyHt3UHs{0^P~kkU}K^kIsB3xIRZQ#<R;djGu_^Jp@eTN<v=KXLV9o)$xI}j z@C9N;WoZZKztPTw3S02M6`J-+C0h10H8%bBVR!dywrExZ16TEyN-r)_7Kw}08)V1i zjPd5g-f*Ja`MS*RwK#o>K3=;}GG%cZ-R`h_b+V}r*vh4MiWp56%^wRBwcyW`aKh5R zHgX=S<;(UN{_QcFE!x$%mfX4}%+moAfCC;`J4_3I0^*u%wQM0Z^FHQF=%eVLd|^c` zPx7R^2C?^=1X^Gi>qRnCDkuIUVyCYr53Vc5HQY$IF}~{_w!0fG<>bgA27c*CjCG$~ zjB1}v48G4Hh9jxqY~dUZ>X%61J-RG#juf>9qI0w2Ae?N<2N6o&0*lFLfrXe3`Xu_3 zFCz-WvAZ{z1pFO{Il*;4_*hkE1qL-|5LxSNovG}ME0(<cbs3nCEsTTzpa6U3K!izw z2&amMPWRF?W4DNH7?z3Y7}kkqM1LLP1q&G%6Z$P4n^%xdn!4uWA~8WABDNhw#C`-3 zvE&4?M2^l|F|7!n6hO<Z<;fZt4d*D)(Cuym%luZvOa|ybq^QMcs?fAwCST+IJ*i=x zc^{TNAE8GbFn;z9*g?8pogT6?15lg;6g>%CES!TuV+}{A-UOzJ`Gm;5{uhT!J7mZL z9tHp9WhPoBwjtUirXyM*I+3>3S-fBQcGu2wB;ykSNw5t8Nu)RdNthy#T^}I31J|;< zK1}ad<iWON3@P;v>9GY8gAY1hqCDddl-Yih*hU!;Q$B0G;6|H;7^>d0YQ(@&xRr5x zh1shlF;pq?x<k$xlOHS^F+?fc(Uy%pS}Au>gNJ1DsDCwdSoXi4Ew9=l>WghBCux)z zRZZoV(((M*Xhfz<E72WBF&@Mxc&J4arwA9~6FJ%?6DOz}J$A^%2+{g2-;4MJV((O` zv#J%+3hAZCeixfCaS93{J_(<mHE{}cAU;VC(+_E-o3}02DR6G>FbcMF{=lWT^UxR7 zm#j-;Iy9X4n77M66DhpjW@P&#ZDbxP^U5|*!ni&UOPAhB!`h51BHGSYRdtg$jF{Nj zrVM#eqtnS&b$=$GUuGs>fMbTr#Iu?cQ)ZzVn$}kcFJ#LQM3G%2tCi9OmY484&B4QJ zs`#EyQ3hwgKiNm>SMjk<#oLxeOSM%nPT$owPi9KbWIrkEn5<K=vz@E0E^3uh>J{<) zZ1t=mY{T5_%VnQi7q73brH7sJK_%0xspatZ-_bo;(_IleGw+iFORbG&Y6}^>%*)HY zYHeQ~uvA=_sBEa|j!)kk{XNQ{brITPEBOoCGHlY>{PT0Jp2tAH?fj9BDRJRD!kC4# z67-|Fxq_o)x>Jb^_yl)VUWZZG9`T8-y<Mef`y`$p!rrEG%3_H4q_FC9$0Cs`e8TQ9 zWDRsVgB|E{YtZHLP|)S`CZGFAp;%&<j~$En7xY*ab4}3YScl@N^*er0EcNs~{UyE6 zZhcF%es5ESsd&%w(i=j)Y}>ww&v4ularGi=&d%q5FN#0-UJAkDs9^dG#FJaTMVPq( zEpCd%Go8;lxZgp(tooJ(vw$lf>PHX@2a{aT8#-`oMv}PwYr)hC`TDQwVCFALFd3LT za()SR<Q#AT6c2!s0Z{4z$}HQlF5cdmkfAA_aTwh!(5X20&a$lCH;Hf39EFo9c_Ts# zfF7^}dSIjK^(cm@@pX~A?eRr*^}EfDp^W;6^*@!TFMM3|mYXzF8a9)tD;`<KaI1>9 zTNW{_CTPYw=c$Vb8A3#}s@uCqUYxuzY@BRM{DJ1ipXanc@E=dH`Xym0G(ku|{j;aY zd5IdA@y#Om6<TIk7>mHOWozYlF&fRmN0Nos*eK4Msy#_$MP|=K#|7A8gam*tbYx*= zY@!ir%#7m1*)o5J2}9*Na~E14pNEv<ZqsQ#J&xccZ|Y5gH+3fwHT~<b3GHO@+On$j zbP*K{sc}<|-=@-}delP|Ln6S|zBb+VwD!FZb8Qr-O-qbJatHmXf_0|z!$)CLfRmTX z;P=ursf>WvZwmm|peR;tltCyIF@Jl24u4-7x2)JU#D>8&jELl}T-uqjW-T|Zy`D(~ zw*ryp?XV{mAIGMsafm#^$hxGR3{DiE@W+45+dU)5GFZ7w<n{ESylAgFFMlUBt6bCD z&IdZ9w0n@7cuh|us#J?NL?#$3wm6R6!;JZd3F>fK?;*n4y>;SH%Mb{q;S=)&Zd?== z0xN8I%2r4-4CB_S!@zZ_l-?BiQ=|R)(#1eD-btBaV)c@Q#$1Z`1ZHMQZF?W@7vZ_Y z<tUL;*28pyV>h%lyFfcN6;0FI9$Ov!${y;cWgh7hgCd_=0gv|pk7aJ5lft@JjsCUH zs$ZAZ)mCgzBD)0imY*l`^+Qv?S{<@`1QX^vRt)4<I44X9S0wrdWdQ3r4X~c8?AXl1 zeiQ9$EZlcVtIn{SmeRP}pteIns<2QdQM|xqkZTbWmBg?t$%YhD=_6NtQQerNS>2c| zdRB|=dNiY4q?SR27nG$UN=1w>k>=>$56Q@^?y)LjFp1J6sj9P<+}a%58fFaaG%xx~ zi1s(4G7-<FG8aNR@+}6ZJ@pc^49im0Gf&kdshs0PPHQrlc%ER_!~!~%INlmpmWZ`O zj=9hoX>Q?~DX1Sw)K=n_F*87V8HyOpDl{uFExA7TB`k-%aD~iyA2@1wc4BuPY|1`P z9g*R_sMQr1--uZaPoFtV*8F#SJc@piC@bogkmd$+5l_`LCpV8vKU68pHONv`9CNt( zu_kdnV>M`y;cS-k>MeD*FpAFA(!cSU<Ivbf)M|CRbrFw1KPPvzdq31X(>16HAc++* zm_E(PG;~w;>fh8l%iaH4SFG<g=y5w(JnZ2hlqKvyRnII}Q=4`rNtj(?<A!FYYs4p2 z@y^<(H$*O<n-+5851!}l7f%py9>;KQ=F(}hHuX@2-4u9cZlX-U|DZ6GmO+!5D3a5L zD29`c$c-L0wp52Y3-nedM^S0AqPwZW$^opBGC`$yVdylKCbNAsr;U9CCtaBrea`4m z9ZGnx3B_C~Qm9Y{qUcIN6kQ35!o*XVph~b%R+n7!n=YfKpzfx~)4XOo0XtLyNZ?RZ z7SL2uexXaH38(2vx<P36JmO4%ty5C8{f3kQOjb=NVswD|+x8xW?ijRJV?K9VBeQc| zhOAor;GcTxD=}AyCJ-F1|BZPkV)2N{$!9dd|CCXsutSaqQk8CjRHd6*qNq<X)fw@# zAerDip-GlWLuXrlZ?dfYfu1=I+Z|f9zRjnP`^bAVT%qO<#6!jci<{@6GZwaXS8*Ro z+|trDQ^dBDs7b^uV=jR#NCR1Lx_lU6Ka*LH+5w`Y3?%J@>W*&gO!u^fZF5#NhPTLG zP`Ie9s*Cf^^nMb;o|}X}<I_V=1{u?MAQ}4aZk4tFHM1A2`whmcR8xBzn<OkEzHx&- z%QfPzY9oc$?$1Y-1MHg3TlnCQ@qE60AsX{yFfzy{uy|l%hdS|7jQhzk<)+w%@vn~! zD?|LBX)~1f;xtpd0h^3KWP1UdltAIyxQxyyJLIhWAt1~=<nz`x5J{UodfNVQuu-}` zNPpVxG8W(fF*gD@Qvin^;FN1tJZ<ju`1ovp{=+_K9Z!+{<gPK*ZG%{k?&-{ndk~+O zgns*8VB%$ER?EFEVpx8wX&k|#+6a*+lv-pKrI{3q{ZM%=)8^e$2ElaQ)6rpTs(P!T znj!;!MP)AioOi_bk`S3L{mEjxNu|ye{8aXhY{L?7>FAMB8K`^or3~G%G09<7@tT9v z+k4m_9A(b^UzW{W<l$Y1X7)WjY(+dSGo0K8U^eN1*<=SMhDVV>7=3rl*Vtss*fkCw z4*+lFG83Jw-@WmH+vS23*v1nLjg@PECJa~buun{rzRkFrMz;)|%2+Jv=#QgUd(l>2 z$wL|qm+~^y+vgk?$qb|_IZVjg=We=YH;~kLuDW@I$Xi64&Ji$%SpK9g8=^I5ysoSD zpPG@5gFWm;bl0gt3?c*CB;3I3q&ML@UFITgFL;kco_1sfo_!hLK{szQtQoeiykI5Z zp7?35EZR}P!|vE5)+#6)xK^Ta$bE(2G19=b^78{$sPt&!FNfb;yL9^bzf_QF@>}V` zzfA+!VvT2=nz|#uYX2D>z-5e=phWlQ99wm2pG^<oW{<vvXl{`C3fYvt*|EeK+2$(v z<jUWgR{c`?R519idvd=P_-PvDIWA-0w07>M=ME(d3QjcBTxvdP?Ey{w?ONhNa_F90 z(yY#Lk|EftA8L+CQg9@Q{pHvDAjRL>7gOE45kX48z_wc>U%=G(;^ZU45iF4?{`U;x zTk8A+KUvj3aqWuL(teWXar9y6Hh(}Vy^Ih3`}cj(clQ3T0VN(iV~d^LXO2ne-&sen z;3Erv8%>Zjo)=yI^DS@yvhEI`&V2*Y3?EIA3u(@Nt}1XA_Uh_RzFpxT-%3rEs41qf zW0fVlLxEH@T*3Wh#{m0%Ltaq|QzxV88w#=ld#FLe&*6ti$G8U7y-5pLYO$^Ixl8;6 z8%QGTbkUd+177Cgc;ASce8v@`Pem^26GCFoJVH8EOX!tXofArWEQmOYZQ-6Twq6A- zBr%wgvdK@&_t{{J)``%82R?L%)vdkOObpIj?+Z%W4WA}A$QMISuXI}^O07ODodqS_ zX4I(0usqlHHsG2wE|<x%2rf#pA2t9FjKBktFCQ&}Q<HcO-X%~QrS_jpeRg`FxBQSz zsrG^r#$C&oyf==0q+b14{&~u_Y#$^`$?{^b_DK>?Wl93u<csRgbB!74G`m}Dg!cIs zkt#`Lm5o|xHK*C9eK`7@dS>)&-1dNknueEpb-U{G6kS9gq#2NK8<PSgQWMxt0EvGx z-SVaP9^JwJzHS@J<r1MzO_GXLojnK$O;AaB)<@C%K+ja?lYL0Gd>>BY13fcAHg09k z<UQ{X&--x3LHQ9I_uzx;vSJ@j4JeC%@_+9&1#J{07IoCCF|N<Gb+x(X4%(6uZl{2< z87OOAm*Wy{(}41u=h`S8P?k<j81gQ%a3j&E?i|yYi9KaWve#xyvJWR=<7VIib;vyK zvr?4nvue?-?p#bLt&wU~BQI&6Pl$I=+b0Mu;s3J!SJSiQCud}58~v?j&;xhbGVDvV z&};s8Ko=ER2sr`7{)Ch{SmVe17*APVR;|cqp(T|KvUOc91UV2MHmyiYP;QZ}L%7_| zfs}#wFG0Bpymw~Kfn;+35R$-Gqb%u6qxeqJu@-7uZSpi(OtBd9T1iXd?=WRa`)wcs z3dNAr=UNiSyr4`C%Fl}-Q<;8m!$!<N5Rj?sGNBALa9&1A5WU>~Q}BKkcHG}weot{P z&4KL^zgA9E>g<`-XRj}wi{d@%k)lK|y>|;d4eF2NEPGFttn?F!gyzySr<L{^e)g*e zI}#-~{D|ovzC+qNF-%xb%jKMrL*YeN;yj|X*Kz<-`bBBE4p2D|wU&utZZ$ftU(q=f ze6+Tc!N$||bW`K$&yUph|1}zl6k+$=vHyhZK9xI$B?eYIMW5)(R;1?zZc3rEDea}0 z^+!r^-<KD$H1nmEzjk`tJLwj_klH`#CfZ@X+t};tj?TVkFZoD+L>!%cUw>o`z-|%j z64d-=Fj)hCGhgpUR{xe%`ZevFU7ZbpyX+-@*h}4=_7$A*Wn}ZVmm<BwWF9t-|GMc^ zRcEku!s?c{N;}1sMo-;4<LkOIuL>|7tFz~e#X5Tu>8bC6hk3d8^wk`-+|8vLU${KD zW&?BmDr@@s)vevWn0FxrjQS&%A^^)fak=Vuixq&Z`Vhk*%2iSMdtI(O>Y7V&ul_C7 z4_Whd%w8u3YbW`xd1ew*T*>s*I@fiq%7LbirxA*K34U3JW=o|0bWWN7)DkuKVqaOh z`+I^!{L&$fzLKA#eB-z`6W~2_(=$mTve~(AeR!oJlg$hAbLAmArN)4#k@Al;ct7vj z#;Klo*&D!>Vypimty7po-{X_sOrT95N_DJ+>6P{rYR`*J4A(QjhRG5k{wm|;7pC;O ztJH4e!3U4y3H|Ajx6uJV+*JfBNCT7-o|)7X1<H#2RUx9*-6mAGSTn|$26wkD%S!)a zQ=|zlXu}VS38X{ZPPxEIn)#yxB7F+@#M0geg7jdMI=-hiYsOvb!F6pnUW)wlV4%@m zCRE*6TZ*$FpWcpApZOypeoAh@H9B@?ra}H46rHEbPOr8JRJYcgQZ2~6ccYBw{w(op zeQf4yzfXT<LPAJ%bo=i58dJIjIqmB1N_$UW_uW8~wJ+GbnO}SYTFXtZjd+L_>4Bvr zBfC#P!rkdCr`<u#zA@MjnS<jc<w704*NTgLye0Fk!}89awc7fOz+AUmiz@=njkw)( z86R89Z({7n%ojbynEkb;tFDL%a(swMp)YHt;~MRy^f4_yx%T25;ildzzPwa73F1J{ z^WXcb9{eP`0TOZ?Tr@&x?w-FD`juo%Am9Q2q#HYO=4&rV)NSFY;Ac7u#YMKusyXMx zyh&h!D4$?xELlEust3QwZpeMJJ`T>j<5C^ZL=Ncy>NutTBl<I*aLC{ZCV5z1ngdvq zrYH?&<)lYQZ*TgU4Op;z0F#qEyFu{mD-u}MCjH(HOn*HEVUpRp_Us*3Z7mKCmb<Sm ze7aJm@gEklhr}3K-LrM&Cm~c`sl?cma1X9l_9&CwL3PwBnNcFBMFh3XZy|1#y|#|< zAd0C>$+0OjwZ<!Lod{?W$^!Rxv>2xOoA%O@WcWmPQLu=W@h5aa^TZfT;N!fJpNL+f z2GwH}L99h)4vZZ{f_aA*3dDj)u**Du5y#*F<9{KS7M-jd#&M6A|K06gvV9nI9h}%s zM;b4-2ZIzz%C6;ubhIZR9W4_Nq64h<X?Qnz$L?dEL1h~rhrox&?}xYzZ#}E~UOsE} zUZ3#7?;X|t)r{Kh{maHbxbI&i)&_K&Bp$fx<_aOHAGzq}u^?}`FFQw^FvO0=cG<LS zG_i$<Dt~xBZz+;uRR*N2O$;oET@XI;vV*v;n?}xJ7&j7m?;fyc0`W2&${)DqO+~ah zB{wVESqdFv=7@-$qjF%5g78k_@Is*T=-e+#_ErBF+m-~PMU~y)yXDriO}QnO5biH3 zhxmh6lhO?dzw;|OXILu*W>~9Wz#t_J3{nq(LCP5zq~d@<Y6Tdi<XAHVY16#5SSMMv zEv()N^s(2(DvKyaD~WI_D~qIISOL5%fKLMOpZ?E)1>mXB?AjKa>3RVEo6>wnPlc>n zP*!9%nFNItd%fCmeihqTV#lV_uA{!M<abW@E3OXaQ5jRmQW4E>%d+p=<7D4sMrGf3 zR)Ro-UjVfYp!!otk^6G^e%nso?)&)qTTa||s#Z)I(wEg27mwAKwUEu1s*v3mUdZY@ zNR<TqkiZFRY6kp}1i%m3!*LH1R;BnI)%RL9SKh?nTLrv_Rc~-sq>sW(D}k-^E2|Rp zRw54_(Rs=K>qK#-jGtE;FJ>nHe)fSleL1-wtYbWN`KAFkP4k?diPC$oRAaS(l(PlI zTdFR3s|O8BQ{_gHKHJ_w*tj3%5H<O!t3X=cD159hDza7Pt2h!2{@upK=6fF3$qu46 zR}eR~&($MdN>iEEkrq_-AO&?VR2D8nc?4g*9C+n7nXdXboxPAW%PlV=|5v+sT~u6q z*XQkX)^qQZ{Lv`{{M<(&=pQ4+>L-r3_+C=1F@7Kw+5a8NQVsGRBytLTmrBY1b)D<! zyOZd>3bP9P0fUZ6vbMXC5*&0Qm|KqH;6^?Qc%Q#;F}`l>JK#gxv$tmt^XLrX`o1yr zGH**N7+oa2-Q;JNY`tX(S!hwtD^0z<y=n=2<fj*^YLp@;&o}Tf<b7J7bJ6GeA^V0? zZndJBAHSNIAO=U1TvfdTRGxlmdQZIdg4~Q~R?(@?8a6C#=8vn19LdUhQijBRM;cYB zgo1aC*j=Uu2EBg^xZyharL{lgOKkXI2@!4k)ZDE#f}NTeBpX^}0QQqh;%5*-gR}m& zwIQUY*syD`IZvusziSu^&y?8U;SHoN<LdnZ7Oc4s)Vyu8L9aD%$o(_Mvck9KZCdwB zCvCnOJzfFi2E<LTLg2&3O`1wc%u`@c#vH<FY9vE83{JTWR-2~Eo~Jl}&!FzpsPK)6 znx7_c4(@^tyNPuMkQBKjbkSwr*Ed=y6dBOel|#2=6PEp%ZPWQWph=6N$HhCsi-fg2 zF@4}PO4~z^L$pN<llv8pf2Yli*d}`2?yCva+&BgI3}ldjKV1}`XqlM8+$W?{Z1JN& zwkR$N_@I=54~qRD9L|0ePE=SaRbKh7yj}T@Ch%avJxQUZdW<H-1Bfz9pHL4THFLZH zAzIoI#+vzRDw^J-kCK$SRBB{|gUY!94=TGz+0Yj`ogy+5AhP==`j2VEPynrIB2!`2 z7^x6yYfmaNY>{|qdvO_wvwNv-mc_(X_8So+96)PQ#}ux+fh5;HH-&Ql9X04_Z7r&7 zZ_c5s8UUr%*1B{|&j8NgaWz>@3r{BlpOSe+swIC$OOpQNWPol{u=4V5WqXfPv4HV= z&FOB};6|QQ6bL@x<e5VCAKn<(Wi)#<z%pp!0~G>yWbjn@v8A|WWE@CjY~Z-*@(M(5 zK#p`BRV`97h_W`=5kMwK^&AD=Q(jkw?iz&+fry46KFh{-K`=588AvLVyI^P+v8PNV zMO9f{bl6|@WwQaodk=gbe%`{7|7yWDqB%W8k1O&EFLDTs3Z>KN^jCVEGB7IW!*KjL z?+|g4mJS92bP*d#4K+&Kgu{t!x|^OA{R{8f4fCJtBvCZ9p_`t09{45ZSBUB6E&X1t zkm(1fk?bGf1z%tp;Uv|P?Ke}9(<6uY7rNO!Mu1h005=K0jBoe-Bo2d_Ad-KZ_Vx8} zl~-^Pw|W0?r+|Qc$a@fU9|>ajLC}3R2)f?_LHC3p=sx`JWWMt^(%Y&&7bA<0zkqdm z?cX~#RWOcpG7}(4;3h~Ch~N&OT`6HIbQvNQYWU}|aMyn~=aS*;KiyTV4l9`$;^sy7 z#W<Y5ccrum8Aly-kM8vsn1$w*sE1mV$2t{BVpBUzBNdzwA$N)w(#=7tY0(3{HCDDe zVo&;Dv6`--=1G}7jL(Oczlv5JeeXY!@nr5C_FhdWIJy7&pz%*%cJ~Y?2)illy(@Iv z*0#Onv(ha=b0-<{B07(Yh=BUFJ?JMw12&Jy1z^%lnk~l|J=bn{+6UcwAl~ltoWvzK zfyE$y9nSm06)!b%O~?EyQR?wM@E=XFfY;zFQ6@krVogVW?;wNmOUOj-5Z_G6(0vB- zD=MxLT=oFU3^52#SR2@G%<Gq8aQQT8e_BG<T6o%Z`3MDi2AHEys^M}zdU!1UgG5oL zM(q3(Q#PJKwWo++&&5}4;UGKPgm0V{WM|`n?ChIHG&x^VK_=FK;Px+-6OZxVgVK+b zP0#Ata(k*9tOJ*8bG0T=rw$831U0$->^bG`^f|WnS7`6iSHc%@d*74Fs=ztw1y6xR z3>%wY?PhRjiNHtMx{*_Qm11b#bFB;Iwi)oK2s|3oS1X3@f=Afq9Pp?NJSyD<k0`;T z=*A!5Q66~o$>4D@G)f6T^@W9&RBg|#va(%l$Ycy<jLF{$4p^cI)GWNAvAqMsF_e_e ztwBC;KO~EZWu*8Rf(&i=`Npm6F>~#aePWOPhzOnJpKvTgWShICmH%a2#UM`^6SxV% zob&})1E6Xktyu`@|9PPQe*yjP3-tf?@QZDPSF9ezmqKnKyA@G)OK9tlBcaE9;C2DU z5PT`?I5{t<#puu(I^f?FV&k`QMqPc&Pj+A2eQ}xB_oX-`)kFy9RYeqA6ht^%ltpY> zo{QXW06|g*>@!q3<6e=4@JAdOg4>6N-(JKwB+@3(jTlm%T7`SoTO`V!S|mPwZ;>cB zVv$J4)K^G$FHwcuh~rcfdN0AQ|D*rvix=K;4WdHvwP5Rzrn$%-s~m%j%)arSLJG#n z;ma(kc$|L#2O9{Xt<xt3**jFK9D2B_Y}mek(%UAv^2?8N<;?<f<xP!q<;|UPIoh*j z)go9Tv+-Y3NZDkn99uZ^{!mxQR4(ZmL~Kz?;oPN=qNt~kf{0Q|K}9K~ZdP#kJ~dD} zmTgGiR$P0Y%Mmi5h<v&tt08Q4*qF3U-his*j#*PoxzU@z1B+$XW5%jh=evmYC3NIC z$#D6NyuvOdYX1%-jJE&YfTg_fikdzAX{mp7x5s}=VW{Ml8P;p2{`;g-@<V|h;mahh z-Z&I%zW|)Q&y^@vv4kPdEP=Q8D~c+~Tviz}ARx*9Y=id1a3SFl$9FAsMOpXu&uTvE ze^m~^L7422QCK-ijXT-!7Go>VPi*wt@Wi9p<EgNAK&t<ivwtJVu3*znvW5=qn!{hc z^k`hTm~3FJWMfae=UDOc=+P%=Mq8;q{UrBHmLscH4Dqv-S^r_TejFiSr@gjquBpzH zVSpX6vD=bz@9%j)y=Aj~o!44Dbyx~@&1cV7H1$@-WEx!Q-Og_=u-uL`sl}c-U-D(^ zNYg%0eV%L^Gx7UmP4nYmFS+$$Rjq8@`<)MDI(7c09T@Mr69=5NwOqq>zVnKGFL7-i z$<{^Bne(4J`y!1GE^yG&j8?a@R!v31+Ze4r{F7<#!e+qnzM0N;)eR&61@<hKs3kC{ z9JT5VW`XDzKTj_!zme?yii(|8SH<vFsV_f1mi3LlAb%0BXQ-)j_A(*u|Nk8+Y+CIz zCthTIx!OurP9QL%6Yo-PW`zcz3@KC|P4h-FlT<6^hF%`AhX44Y_;0ECfv|Zjy!~6S zkiI;l)uo(4<v*AYJ$K^@Mh%6cOl(#_{d(5+yz+?9g)XMlVXn?$fBFIL!IMw&U+S01 zM3s4@mE8xz5!j2?>D3e$!N;;uj`f7Ny@7luJB8})ISgSRKS&9^bMOo{Ei(L7Il%j8 z+TkuULnWaA$t-TqoNciozQFqFDq}SJFI+>Jx&typX<?z~{|c}%8~A-!NyL9A>=7_3 zeqMPPt%VGv8@IWge~i}~WpVe7GMbRQa5{{3&Eah?eQBy8{@6JCAIWE;&1AWWDr78v zwTAa;Ex}!owLkAD{iKF0@y)8H{7FoRKgr{dt|Vj(pS#V}g~j<9<r#eXteMQT(lA1x zhdn|@dB@={{`vGPZi@2Xvy-plt;>f`1|OWy-VP7&>_7xIFPjG$MvQjxVzwR0QQP{r z9&)5cwh8yLN3;n~@sbVJ)JBp)wiEMyRoRV1o3$C5=$hP><f$c;E}RLw(S>~)vEm8q z#BV0cL#mMZ+f@P{P3#i$our0e;Ac+@<!w9Nr9GLuP3nhz`~Dt)L^PAF6{?Uu!Du!= z{xzuGr8{xy9{bd}C!4O@t-1YIC$D#d$=x@Zm4xJ~vthJFJlwguPe)$)M7X6yOOn6M z1|w1P@F8<3j@;%0T+O|-7Y4P2M5)-f$N|reUg~DD<r2{S<Givc)4OKM^#sh1GR*Gc zv(L@4Mh>$Bj6`hOi@|C_qC9{x1~6!w$xO-s#xSoetrNhgB!J2E$TgFhg%qT|BeVRR zjGVSzF?;Va-A@p!OM;C`tm@<?E34g<b(!d=i`8pH3TyA1S0&%kHQRutyz&XP)Mhkq z42yc^mYhskhK9>lTP#));(C>fzu>8M4X@MQH_b}E&~@6lDWc~SF{I6C8kOAJ;IOj# zwo{6mqru50LcLbqsrm8fR61q%E$-LeHXDpadX4Yxv>BJ1l9Ahv8|1#Pe4>rMsCjj9 z>U=iO{Ob9QCfVet6DH4C-1g=j1Hp~}`9-Q;2Cl9b$eoo4#BZ1B967Od^)p_P`scD` zau)`!5o>4F(?!zmeYm6nEZMjtiG&UN69nvkC*!5M{inw0_8Tooy$q}0OYn-fJEStK zrYOZacd+#~#Pf0J)Es6!D?3;V$e1hXdoI)aiE_}xQcYlzO(@!>PZGzuPg<^V{RWGn z;QNmTuAzFo;yu_mdIpwRTL}c4TlHK@DegtXI-WWwRD=eCU0~Eq<CQi`+mtq=z9?-9 z1SxIifDieTHl7m&jw9sTY0j+v4^I*<HBSF(t9hzO8ORrj?LB(bMVE?QDN{Oe83vo7 zp9zS0_dfEbCN7%1Ij5sZB7yQ#_hQ`E!x|bD=}|UHBG+}5vAG<<W0zvaCNV9hO9tD} ze<Z>^LGxTM$X$s%`~HWdtB#AR`TEke(w)*BOG_z>gmiZ<4NEsHh)79F$AWY>NJ+;6 zOG$^Iv~;)m-skuJ!Tl_AC(k)EbI$k7J%g#>{j2Z;L14~WOqAvY&%L@Hi9g7XA%Clt zw>4%|V0Dx%i+;08jqq}kT{-Y9)wX*q3rYHm%Zf67mo7{FLcDJ_O|jYI?`t(m)VIev z0ZLRreThhS0E$tr!;Xo`!aH2EzBuaO1W>2E?HPBV?v31pJ-h+b?^(|$4Ujx7Lq*Xm zA8X?164&T?2A0-oL$>uByijn0qcH?DUcugYPg@q|T`4CnwSap}?~>5kS=~Y3v|2=( zH|>_RJ2%XorS3VGB7vld8E^bv6uh#j{a7y2ZWT8{WyIhT$qNj0&)fj!qP3f;3Uf=| zuipN84~|i7<ZLfWtJw^(5FUU4(xS}3GeiPNOCumHV@24K2igkso|Pnb(hJnTybVYc zH3KR!=e-fa@0nw|>>@6cy1C1x^rN{67l-U(j%-sE5`|`qMyM~%F3<E$+#Z*6@1E8% zm>nO}{)@QpGs@~sU769>TU!Ya=xgWrYXVOs@i=||#$AIfng5$G%<ZAc*3E4+b1Axw z0f}+>uD6vJQ^bcn&g41%(1}OOPXhKDh{76E*iVV?OoVUJ8c6BAD&Z&aG{Zg%y{1&L z#22FN=Q9@yYB5$be*(6vC>3D8^lVuLejCc9usYvHp?~~09gg`$UFC8i5~KwhlRmK} zBV89`M#_>2%LqN=-z>tzJ41Yc9&=*b1v<PO!LTB`a$1fgZ_pUK7zwvT^UzSan2sbr z2mT!h4?|<pP?<Tr$1Xj9L81jXZe|uE<v!;K^*_HpV4kKG+B|-bhsQ{>&$Su4a$_e6 zgAm22AM7OIVVFzU#JXaG2s&IK5o#Qz9YASb?1aF7qRd1}>MC9{k)Y(K)KnM4k(gQ< zDqcg8Ac+^RnX1OEz2Xk;q%T1Qk!h%+ny}ok-82O%GE!f0W<^diVfX@P9uooMN5sr% z2pM*u;~=re(=pCG2@bYEH%Ocjhh3mEgh&wRz&kvQ8_^?8$c^x`g5hAqhr(n$?w`3I ziVE;#ZzPJ6(KtXtGm*^LG#|7Q-f5@^4M)PSJs{CG95+Jik#fP=Lj5KsDJHRN*t=<` zB4k%+P)Hmn$L_o7NJhq-nNbCxY3o7ad!Xry5RP4fVm$7%><?Src(UulMac*bkmpb& zb3GmKwZyWzisxb^xsm#q=V~OQ08mzu>?&#`lG%_}Hd1SIR71scG7??{X5O&M{@}r@ zJ{Ca6v5UYZyXtv|_E_Aw5s8V=P-$9@1cj&{*tFw?o(S87=W~FXhQi@RtL~5pH;&zb z3_O~)?3p1vpyIViG<Ss1aNUM;ypflO=OvljC21G>`jXM-?KPr+7q8D>I(CFwXy_^E z@t>_?HsN%5P~kGJV8yZezukJ^JY0H7nTs^qcmF$GPrN>+!&Se}1zHZ{{D_%Fe#wx+ zlzU9);<cUT%fzf!_f+im_VnxWc0cD~o37y-cemvt<`k_%H`8^i{3WgM6_c$=&cphe zri}BOupes~rk9ujYo<C6{u&)uIS=L8)&DLOz7Z*Mo_U@SZCjOJ(+3I|U*TL<Cl8fS zrv1BcMr`bU{&YUGJ~yYG{Q8a1L~hV~z0mb4y*y9yRtvpf(Ml&?>F+{H_X0Pa(n<?t ze)Ii%IaoxQylz4)<L@Z%aygujwQ<+kQrJp;f#XOCDq-N~pmza}=SRh)xZ^Oft`nj~ z@8>TC%Q2b~NNz9S5lZ{TDQM<z73$r4_E-H{IbXmy`K2JW@-)Bw$=zS(9w+Nc_zmL} z#TtdDlogvKB4oy2hVR9p_M_dt_G2XQSGun)YwlMmSHEi%>+x`<7_IhL925UooJ{Zt z4ZnVb#`-)$BSjve33{DSk&V^8cuWhQHLY&*Z&O92z5$iwvh+L(S}{jOSqita(tQx} zP@sxlpo%b{ioZY=(?At(fhy#IDh4|1W=#JPMDKF^=|oGucK9&z<8$8y*5Rq6^UK0x z{!=9RmAGJ#+OMBK%CSKcye4WPa--c7W*DYl+g+<`JSvBNt^a=GUiYsPkLl`Y>1!{B z+z*X^ER`fF78PIe2{H3ueBrh}>K|3dB9imu3Dowj*&LYZiHM0|q>zE%)NYa#8et_9 z_wWY3I?x+nRk8{w(Yx_+lqU#GTlUZ;iZG*);c_>+u<p<s7#jM9&nS0haDnUD7oi-B zLm|T=1C-xM2?0!GU&jIbK;40Nn^nJ_F@Ef1fXf6(V+L;d<6h345^rU!F^{+!$)-{N z*0j7q1QW0S<#V)34I=lfNhKKgrYb;w&(nD!Vup$;$Wj&fJ*n@#wO^h}@IpGn{Nb@` zckqjL)LliO9y6I-3}|Xi%0U40o_7y&S)WQU^h;HMQBL3c^0FyaEnG9hg&gX@o`t){ z+c~^qXcH*}tNVagpxLJH&Aeicih}B~Q$;6cn9IN_ceibw*|VM;^K?cUo1xeTb5#Yv zhDJ_rh-!H3wkaB$fqumS{hHwI6ymGd-L?r}r(){j>BL+yL9q`fsS1!R8F{}4w?TgW zqBdeC>kGfkFvsQ4_b$pdMn&1EvQrW1@pe9B8=~0zZ&U@C9rV553zes;C74irebIk{ zpM!}tS+xrhYELCVcB=}2u6fhNha>PANhl33Vw<=mY9F^M-s~_Mn}2<s;oJLm;-e1t z=7^&}K5&4qqT|N`P5oEDUUn5Z#t6CsM>-7!kL3lltn1Wy=+$u$$~pD7uBEr3dKkap zbG1~f2fj52o@S>_^y&S0%ze2D@&0n-ev=qg&#y(gd0v&EcDX5ja^w^|W$R^_pUnE0 z*5OWYFZHHrFZH%dFZGscFZE8d1<cvW&8&X`o?>m<s{)p_vdg}7t`d3Q5dUXkF7<h= z$A<Rg<5HQ(<P;-@8n)A=PJM2YAH&z>zYD$L1O@vwnEQ1uf0B`(_5u9>+?C6C-=V-R znevqQHAQ=fi&R?;SwMKRY?nd3$GuS=3RT#aJy4hjifbOT-rRSt8E1q$?2I~5KF|AO z4p$N};{3M+7TDb0KZLslkdAhy2jqR-_QKw^*I7`c{L`XFUo|1<$6!h)-S)4nN>hf= zcq5WmGp4}w;%5HFE;b>}%;gFr)aXstou-Bc<JZnq*>OEmBx~q?i<vJedxw1yITc^} zR!UTGpiL3H-Khxer<qeM8V7~oi80r}GlDW|SP@8pZ(&HA;1)ay!2q?-5VNX}x2OH# zOSevs(oJa7js{a6+0;f|J<LHc5`foaz-ucq{&efqC|z~n^)%(tR@v&WhqJ?sDC|JD zBIfVe`Z5sbBFuBX^zEJ~VR)l95flG{GG~iB{N3f?HeGT2;l$9ddP>!qDG2vxGcE-p zg4>ySNa#)T%#$8|(N|1qSDqaWQKSi<wBr^j#~Vi=vbC++Ovikp{lNrJn+8g4(CC2X z)1q`?K=b^R;{iYyY!Ok|EAB<`Waqic@O(<u#t8_wzZusMBf)LsG9>h*W#-8*e$iog z+EtWyb<|Zh3KG<4S0tm_tW6}szc9*2u-7F?@D#Yi=7s>oZfZ_0=tzW<5!g&`DU4kg z7<*eBMQYxt9d}JR?m1n`QrNB?AwsF@^%X*+nUgLk$O&|(AWC<#RXh4Q$KFIgjDS5G zpu7lwdVxdQ)nV}GsH-#EB62<cbep)>kDsIlA&<?#dw_^G5mCX^9!2n+)|n@^K>w)I zuAKcoMO`)aL%5YpxrXe3?xP@~uun5j==em3ga3P-A4Lk#BTft;&DT=+Pc!=>%xk`M zXjGIip9FV7d8P^1fG$CqF|-I2|E5BV6B&cQ?~wfYb$1cob;4@JgYj1S396U$%ST>w zYlGoaAkrWHKrww3)GKMQaX0NI=Y^s(r9>^IeKN)o>A;}Od#L=!VWAfxC6#RN33QM+ zpe%=EVjj~&ydnEly|;1ZVZ)xCv;9a%kP-DhYtWdd{as~QZ{qjMD1&D!^#rRwf)EzZ zR$Ooo!EGy85mVLLRy>Q{9(CvgaEme3)_#Et5l7%1#~PNLMi}DEJU$|jx32ebzkGqb z%Wr*Bygf*uwd1z)0Sf;ZFDWh7VKG}l8PI(E)V^R&=g9L}$hWEDCEI@z&P?+LDSixu zpLc`lb?v<pIaVViC^6o@cM-|hV|pc_Y0hD16c{J4H$l%JE!ytt9>cl1$Ev7>94+99 z(Grv$j?xcHx3JLN_5Mzj*d6sTj!0Aoj_53^sxNP{a6_~0ou<HZ2&-F=B3WDfyYRJF zK}|s~w5$A_VCAO<7FC4XMk(35lR4A*o2*>)wtW{&=_^soll)FvqC$Q}7elq4E@1Wj zcyjt0Rd!?g!%nRx<NJ7CwxF*1gQ;v<nXAbm>g!EZrtuj&U7vQy>&qkEsw}<-$QQFy zcGraNGRtPEU%mmaPiFGW->V8B>wdEINZRWm_v$i&P-(}uyjQ7?Mx&XoiVgF*_P^U* zX5)i-l71PwWlFW0jJRO5aAjRH+V1j;O&oa4^Tt+XtDC?-?|DbNH0~kdG+S4GCz^ts zuXcJ$!o5ttX!}JpSyd3lo82afwNAZp<FbZRSi+YALKekE#s8W2r}K2<mLKgcX@2XD z_}Z-~OF=}rpl8(Z@v{P!tjFyFgZNdZ=C>3GEoB+>ar{Qu?HLzUSyos|v}7~aU?O@Z zJjL=uW*Hm#Rt)(i4y6l&?5&g2I6Y;k4LMKG*PazV{YS{XX^VF2>muBxoTzF8g4XYR z=@(p7E<;pDzFdZDxk*>>*chwo&;j4AV`fHpRqbV!k;S!^1_P}r9m4h1C-_Eg+V1so z?g;vs!Iq+DJHB9?v_T+vy?$_FsE(%_zV6%l4mTu7dfju64W8<6Y!O8@P|efLWoWpC zY%InXB$}wXxN164^ZQNN6By%ewDIiYNYCFgso#2kM(^B$lx~e-Zb|~z*>#=#R%d-4 z{l$WdQ56UC+W&gEFzQW+wBy2(0Aq~TidLb9@A|K0{~kUgW>&9gwBPn@F9wmYfGMvr zx$DutzJjj-mB=W;#Mz0^uWha_Dt-E1-@l>{7Fp;qOouwttwHv9<z3C>qHLr8;MP5T zapi2OuHo1x>QM06m#3$;?w37xr-#F|3}o-cG2PdALQfsD`*^|^qQ5^dJ|?t=lCg$T zhqgceW6$W!SWySyx$!b-=$f5&5ot@LrQUNmn)(;dI$YfP><B{U%!wk05#?Wu+S+#F zs2NWm4i5fg)Ey$j%<a~BjVP#|1&7%mXCNoA4=yuKOL3bz5a%BeVoX_*4i@TxdKci? z^<3XyQ%i)Lr8gx1>aA9%-+L14@qLEd%VK7!h5qcJ<jJxa-i@5T<Keiv@7DA6KEh<G zBQq=4lkq+Ro#%g!3DEpDYF5FxnW#r}`4tGMy*Fn@5C~&v&(XXXtJ0J4JpvKseU2dm za^V2EO7w_kQ6~Rz^XpnZcbI$`{UZEp^Cx?dv_q%gMeMP~_SFVHU`WqN@#(gPhYI9a zH>j1b?4;88ORFk1jTZ^m`U7~wbA6^52A6OJLa|}_7}~9Hg~X;8?ay<Od-Hfp2CNx) zBVBfJUIIBbwFUyAxh|7gKXP@<6Dyp}R#RChcXT##YqXnjb=3bXnGY_=4jZ~?c9xN{ zFI$2Ydz3iLk6afmhU*&=Ds_BFx?=OKXmm*KbpuFTYo8x>#hw}jlE7*P<Dsq;mLRk0 zi>t;?d_g9J`RRu<7V*#CJf|#5h}q9uibB<Z*rottn@|ae?FAsVAQ4X6GlTDnFrk?0 znHGy-ZILm7@i_r#ERBLs0gS5YdvG}LU%ncL@vjVU$GA7C{8{Cuyyt|uE-6tH%T{@7 zL1kAgor5SU6o?Js=OeDpFf6d0OXF4M!o5vvjqNvB)*avRGXwl@p<-F?rwQT(qT{Ej zPo_UfXe~o2@Cxd-F{~0m(lbddcdc^W0h2|QSq!shO-ckWk&bo6vQo(4PMfU>t}24W zjWC%n%bz>Sp3ezv*WPwJcEyTxR<9`LwcU;TQhAMoiu9T~;w5tstt*OrV(oR1i~thO zS_3lO&+(G(vYdm=tBUk3ch1aNKW`vD%$gRd7Q;Fr6!AJN=i~(WQUl}_n&8zc5q0k) zMh+=ICbGQX@Jmfu;K(|oO|9B~SM1@E7vd0eK2M6sEKh2PyyDEJS??Z<s=~?M2d;Jy z0m1|`ZdC`Nj1G_%!_>bvdCpjr%y6{8{`}VI3hW2243t%-{ZF#gXExXYn*jx4WneF% z-qNOtmewa4qOVRaA{6T<j-iD%0_e&ZfUab((W3;QeL)$;d}Q>VC~_PN;UVT4^RJ5Z z;tets9#htzJL9>&EewEssB{YWAfWgui&s6L<5vLOJTj&`-nbM9TO_019lxSRr)gjH z@a&D&si~a_71nu?MHWvr>Yc2~<!9GrQjx5y{8aa^q10O`y%;l#x}S^n`7Ef^4#yjC zeB09N4f(%~p7`NTm6?Cmw5ohtcu_g;zRUOLs71UF@G8o#kn)$Se>=)`HC=OL-hsNI z8Ny#Jbf~Q5aS@g&-rZ6ieVb3s>fS)O2)6ZZnQ14|4g7Xl=lWr=Ga}SZD?#K_?R5?A zqHTZDQtd?mZlP_*73uZPf9Koq{9BHZb4$>_c%F6KGlLx^FCcfc^kPR)heaYH*=(@` ztHUJGES7GuLou*yYB|U3C+_lp;GALCV(R#w#g(WV_c;BW<G6!!Q9TRUBr0WoaLNyb zsdZxi<!<@@lO#B;<>{S8g{G%+{H}rQs^#qhqrI>>t`gjBy1{QezirmatR}@RRXNlx zUnIlgN_v8n6+XVUn_^|AWfvqN{oD0+wPJzUBy!p~Gey6~!>RT$%EM1^<%?n)u|*SA zV`r#yyR6<?ZW*Iujq$L}R}tnawZn<uEv<CWoM|5>7mpp7f)tw-rS#l@6(SzSbNwOs zLyz-B$lTk<&g%lnKS-vpzo%IwL^<{)UF|?)+=}4F^MVSFl+YI;Byni=XrUIu@=PH^ z72aqKr>xwIk9^w;+~1%3Mc-?F8^WnLD`}|-Cci)RG1hSi+)R~~@k7ad1W?)r014g~ zcs@vwMZe=8)+HLBI4Gy)X|jZOC*>C>tC??;#a_=ZhZD2>)yLJoih8+Mw_rTO7o6X{ z@bMF0wW@j7d(-0KIrEetx6&WGrVZaL53X7}XI$i1+D=_^Dvpu%j@)gh4mmuka?s}U zdRh7k$@KDHKA9`xmpoBcGWAC`f^D=V6f;${5)sLnTtLvxK)pmdg>x6eqb+-}&c{IA zM6>YG#g3>z{Y10Kn9P;7lgyE}p1fN=WSd%V?O<Y|tC&0aw`woj1It824C7+Q;{hN9 z;opHG2Ub9lx0w&x9c5cP&wxp$*oF3Cd~fA0!rq^PaP=4I9dHwle^^o;rF*d&N1*%r zild49q&AmjT#@JTZtc(gB2F`%YHF!Gm<#~px9Z!5ibd&)e^yVX39QSk>pcgm40Tq^ z?n^GWx8k4t=T)ZdT8~w3lUr!;+r4!5HjP%kHd*Kks#U1(g5^u@Hd*%<O0ztaUh#h- zGoWjZnPvJ1GWUP(#HXQa7>~`dZbU8;D7GBsjF=M*vm`g7{r%}T+fnRo6wQolj3|KF zZL+x)98ITSfZ6iIMBV_zZ~8xGYvoA|=v8Q5PF9J?1ROD1O8PMgS}c|r@2Ao%b=eWo znKvhk0T4b%7Cp2?E$IS)@B{NU1g2QpLY?1hOh^p`RcLyB1Io5O9otU78YEo<R4Lf6 zb<(xW@ACa3YA~~gkv2NMraDg@+qGN$9&*9&^_65+*n@hfE`ik-utvkKua=pCZ77`D zk72ePn&$z6O}mINxyRM^!->pPHjak<jcUD?O{AWAf4q6(rhUEAC6AG9V17+dU|P*% zAfg7V15p#zQS$9}>{Ds7zyuXu=6*c6+O=YI1_1XM0C3MD0QXP;aL=DMag`Ui?Pej7 zYIDt+x35C3HM{Y1!b)+I7qhse|1J#PTe`h*s&hRsUe@ks1tz{Wou;|rMp5<9#5qsL zLW!C@L-ULG5@N^(!^_mgxbrK6h>VRY`J`G0L1X@g1BJ$~duhGqt)GgC2CC0h=jR1w zO5KlUzOJt{aXe?>FE%>k?pt-3#aoi}i|YX<hE*q1#%;8yXQ+0Vye<`<K$u>&g~@^? zLyysH%%-~{Q=BwKD7Aam#!MPKcLAM&tM52#|CMKBGa0gIToV-2qSafad5CCI1}yqn zct%04En&uHV6UlBKOUe_fUW8Tt@9PwjXjPvR^$8IVLjX-WDgGYTynZOf~4kOD1r<c z0L;wDN6~VY_mO2_?@H8&a>6VxWW)4x&0W|PyIIzfrFO5?Y)r8a(iZIdvyHr1Mec>4 zw%VsEe8Gq*gFqcv)nL3$P2CB<A99R)O~&YEi|*hmnDg=XvEa7(dV9tzCkEQGhW*pu zZJ%1_dg2=Xo;OdGD8{h8V`w`ptjy|Tx_I`FYg>3+a78<8$|T?+=N=U!kh7^1M)QV} zX_?N=%vDutfEw68m#Rb(e2y5S0m<CS4-Urz_Rm+q{+X(m41SbWzSfoT7Zc>Sj^hnc z6<Vy)Q};On%>#PcM?eD$aeTypdmb^%N!{Y+=Zz-Mt}b?iw9Hpq&_8!HJ7QW`tcFk@ zrG|ohP-xLzyTpKVB{Bu@HdT7(IgPEBn1AbS;Zx>MOax*no^6<LFo8?hu5EJ_h|GRn zV%5k^?OFu&aUE~-D);oZOqwBG4OxykZvIXSqg5=&|6EBjTTfvpakr|_ocZE^(QMgI z-;Ob0-j0EkX~!6Xx4(<Q0&r7;{MOQg^934gNk1Zt{LjhqnzH@Y6^zhKy=0-as?qG6 z<(I2U`?+pze9{+Ab#U<Tk#;{4pHarJc2nN#a|F&13ZIct)8OL5YskVRj@KP0dcqxt zC~KWiPN0JUJug5yQgYuv)^q8Uct`!C!Y^#b^-D`IYr`5j1Fn0gPhp2!{J+%mBvjhY z)Z9p!j(QI?&GK*XvQREBDX8`RszntuAR2)+*^EA~dR2rn9T1JF#$gdu4X>BjC=JXP z;EK(Al_xXiz0jYjb`vQY0+wQ-^34M7*CaSWn$393mk)~nDDU*L{^}7VIc!96|7&{N zabWs$Ct`J>jBL{Th+HIJY6#TzUEtSFgqWz?D?O?=3_`MkK|e>aTmdYN?J=)=_mGLI zc0$ea_fEv3$+?dGrEEF6At1QCE%o*!1jYy2c$AX*agT%<HkM&UT!y>xpowcmqKPX- zCbeti6SXTOvClOUH~tz)9e)D7OeQGbi--UE02s0;DjJS?-^Mo8dHK65kIT;M6Ue)h zBH5LH%w7IwS0os6Av2s_LH{(QmT3j9cK)3=6Zpkt`5Wz>N*wm64!!PaxZ>#Q(d$<K zllHd)WHye0Di(p2_qkjLK&W^sqLQ%8|C&3>{Ctr4V|yjcTj(<oPX4Y6El9<h<KAmd zk`P(#;X9Ibb?*DawsnijI&pxdc9DAP_E>+0hN)|#5{s=kyrdPK)Uy9pDVkBv1&gga zoDS6YgzhP&&Ah}RjvUs69Pfy2=NG>0&AE!hd|oW7nLi?QoCgkj<Q8>#Me!LS2e3Uk zsnxjGmzR134hOc^cS6h2p_5HpPg>lvaSp`kZOWgHW?$y`a$x?@ko#thIWL#?Suuq| zXfYB~PhADP9tlbV93;hfq3S}aufX4}K|7!yHnjur1h8@VEH(jn+!{{g(MZjj4*7R6 z-}ipiwpnH&{)6@0{-JU#yNb};+;nJy%v*A9<SP-Q=)YHm{1Bo)>IWqacnUX<O42eU zId^T^L_HuLheX#6R1a?%)_S82W6c}K9|JQ(sVy;R06x|mg`MqK1W#<6p<YfD*0zjZ zjTYRq2Vg3+HZyPBrm4b&`zU@&RUy-yD_zrmrIN*Lr&f(j8Lo0#dt0bj%R{iSZIK)Y zkW~l;v4shFUj)i>P~Tp>l1=*mr|H$^(5mD|PHX_ZVs<NnYnwTRw_71ZhiwTGy;it# zzI^#cNU-Pk*SsN5@Cd$M*#bNVQ*n?ACFF7q*MXsNn+rpd00_ARpo~p3#P|Hl@iSHh z!IFtylUyGCX3&PHtJtwIiA}#X`%8Ojmvp{OKRSmZxoBgj@BvC%X!4#D$xj+Tg1~Rs z)S|ns9rg<>yRDMeyL4Bk^q;heV)zzDHPm|1+^I#kPuKdabt8_gjxBgdW!*j?bGCEY zZKJy=kBSgrYZlARdP>^D_^9XuSP>YTmOVZQB}LN<7F<;>u>+ns1W#v1Q$1qutz3C? zV`0h%HKoR-O0*WrpsBY?0mQE7&q7Zrn9sQYKJ|K^SVhWx&yK>s_!`M<nIkmvs;69k zm{9OG>6sJS4gsaQ&@?~*f*$)S<D&{=xU5Xe!_PpMBD9ajPO(5B8rx<s(WaR`5x62E zQ54SY@qX*)F0T{Xms^yP^;unk4G-nU+Q{Xwckn2L=KGNXB5v>IBXW5ZgW&1K800Y> zw#%D2|Nf^+5%g^yfCb+3Jr4|o70H$V$HF0q!sMqJ>Msd!q;AJ#CBis2nD%+Bb6Xc9 z53Xr{2&*ml7vU$n0iiL7ET~Pp_dg;R0YuJ@8hNlzS68nbdTIqON@|>W@-0z-uY8&j zI}u54FRI0tyPu+i3oc0#CggNja0YPW46h{UcNsN)(S8;Hy45YH<SK9sI20+S&Og2l z5~s;)NR*0gdXAz-K=1M^zx1XX1-uL5iw@YJnHhq&RLc1So=$PH=oObiYLA<3;QM=z znF>4k$Ey-86gpYL+?VHMdRO>8ojKX55=V(+!|C1sVWn*|Ps{+U)Ma9pC1FVmgN*Ff zv!okJV`01SeDzpE=qQh`!InQryH-q<Idcw7X+@*}0KobLPaCHJzU~2ZPfdAuFl&}* zNM;dSqO)IG(92pbg5=ooEUs_|rkNQaO8x|UYi7WJ<{`EqFb`*2(OSaz`wY(>o#z}p z%cBQmQ0_cidQCpNUkS5YmqTt6gq)e}3_rK|xfCUKJfVwg&Zr2RdL7)Rqp3D+CISH1 zh5(hVKx}J8fXbqd6H?!|dbaCy+WlM3F%?RFpC}by_g!-*5PID@I`*8{q+8;%^;rHr z?R1gn8I)d&FJB^Y=l#@}9BaIa-Q|*`<z)Un-E@;@n1h#tG4xZjaghKZQ7u1z3w*vr z3uPznc_{Rf8?N;otwcyN$R_v`mz~x$NU=PVDG|hm64MJ4Y;HB;h0=p<!b>=I#l(on zi?U2gsoQU*f}j&V%MiTjLtC>M^l6hk?&;%-ezKM;mL3~fFt1jNHY3!OiwF$h*v<g) z;WJ$coIj34l!B1leNOrU&-aaP-NO4ZvjF0o3#&3~UZ|H0Z-c%xYcsU~0zyyl)N9rI z6K>D#*}?mHIFhR&TXz45RbvKyiPlfEzO}A>SKbTB6_u^OPs2``b_6HbO9PPn*NYH^ zlQw$(L9!j0_$vPz9ZT@yS(|pm^Wa)OCp4nOOcjUC?>{3B-t&5fBs2q0y~N;H=b)yj zp8!IRmTBq~+ml(M7-;(`vIG6Tzp|xcsqM?b<=aXhE!jKL?7}P^M=yK#J{^3or)S|& zKUaYD^0VpHQ?HqAx)Q_|Z`<361j{@aMhwj@uA;1x*;S#W9lh2GVFB$aM`i~n<<U+f zd(0DMKpb@l5-(M)KE7IMF)#Rz?2vURrJ@M4NmpA4=!??zU0y~9rzaoRL{p>Q-KyzA zpAiWaC6hT^J>k@#-cHA}y_vrzFY$}|exIhj&pK<asNSL*paIGK7_6xHszo(G13kC? zb4{$dz!9gSx#G8qjR#UAwfo!;Hbr={dE(bMO=CBp#+69s%xs|%Zdolatj*G}vowMy z`x-`ZWx?ev9i|Qtq6v)y+k8BXS|R3*p9rCms1p+hG&)3|hY{z;NvDmbCfmA>U#mMU zH$eo5$uH~MCma1RDTTh1(=fzIQG*(xCr1eXD91Grshjm?Qc#M-;COEVPY(W3F2He_ z;NVZr{9CBb-6Uf1ZE?UI?I#1rhYx>ZN)7g>_G{lJhiS^bd{e<#+}8ppu?#(%vjutX z3W`6$V0fAxc|}0~l&kz8D+xVz^=H%$IS1MO<B1n*4F=L@AC3Csb3Y<2K-c30bUg(? z*ZWWsr5Gr_QZ*`hTG?le87(;%zj-<+@%S_^(o2D%LefP0hqPuB?kVGQ)0db*LwW2s zLaTsB{l@|ZEZ~UQU-A}LIzKqUg3zM2t_wh%7?m+N<@ZcRxm5=za<D2ekf2d+(v$;B zM-m!FY0aWolMQJ<rgH#?Y6tXaImbtqlYk@e^mFX@z+x&j7ti&WXiKTOz4tE3C;Qd( zyOxUWQ$q52`U(}y)dmI)w!u?{X`VpIlZ8-vuOqZ1saxXFyx_<kP1zB=7y&3MO*4Np zeFwzWerVLmz*R0i9UiN*FRj+!*k2W5e6SEie7q1O1N=E1FR=3Y9f32S`+7Nhb(Wx| z#V7b&v%dCvbb*Fda@E`3dZEy;?L5AI-6iIg^BE&C{IQ%Er{ZlH_H9@L9{2n)|6N>s zSPcV?%GshM;RkbE^JD*K+4(O}1UPXf(=56PUy`w^Bwrlo8C*1e2Z*%^5X<+7S^W7e zE=J3;PF--qRMO3M^c<x!0jER?r4+3;W>>)VyT@JmXs^}f!qZ?hIypZnZJ1wXIJ9<$ zJg%mUE}#9T5d~Cr0#xM%#M1^$inYApgwui_Nl-8BfDXHe$D}2aslItH-`Sst>wQ5l z2`|gPnC$Mn^#K&qL+)88w#jOQjmzvY*~dJlktvTp6&;G5f-ih#Pxp5n1%m&a0LRm$ z{(SiTE?z(ul_5QZYve*S1RS6<sh`A{^hGf{bnM~|nU5xcMXe}*O&>ny#JlZ_W=-Dz zsh?E1@kYs*PrulLP6R%ClgCEa%m29Z>SVe62bBe^J@`kK#z`9?@Pw6@15MrOrd><h zHfJ2AzVU1#qTLzKx9L#36PjfI1sFpZATJa%Vdq!&LE$X=66LTS<llXjFqfu3G<h4< zs53{Su$b3(;y72*2`gVgV-1}vtCQZq@L<63lGF%=mf4jL&Rp85udnptWhZ|B_J8e9 z|Lb~9(VUMleM4Xy->dz1^Ltk$!PNxibuRyJ(LWupSmFcvzAky^x490=l^Gw>1vA76 zm^0?|h`Wq!PrTcq=RsDU@S7;OIm7s@J<d`()f-3I^-lazpf2;~n0(-99bp!|b(b1p zlLp?2s`ufyLk-P*>oUCx<E;gnOscso34{Esy0>u<f0!AfyS%&hA_$>n9=5u+pvEgr zxq-0MeHaz*8>d%msJZ?wdqGe`TzuSa$UMmM$k2OJ=Px%-xh6C~`iLAR6|k<#Ae;Q; z9k*vV-8eWdTl>kIsRo8DHFg^Uxx!Y4OzG~LrlT=rTDFJ-V3LD2bV*+4dWT}tZ-de{ zB&Y{YwaP;D6b<`*$Vj_|n2~B^!rj6}G0Pk`mm^#xNV9j~ZjtPmd+ckk0c*4*8opt# zcZ!lWjntfbhiwKq?g8UI#Sa|z&POWcYdcqw)nm}lvRm5Rnm-)!)!U^3G{-+ny=j77 zgx&|mnDp6>N!i>xd`KV%M{QO5N40sbJCT%M1cqk5;5vXjFlks-8r{yFWaq7{v4u*! zSbiTsyv|?Bgg$mjn!jmy7y7}RDUO#SX{o*{RDqR}EMNeEjCp%T!6S7kY~Wv<ZT9F1 zCoo<`4ULU!$|;dJQ+$%Nui13x;ddABgHf!Ttu}SwpP~M$Ab%KJ=~-=<_6skYsKaqH z0f?aKr6N;w21Te$ZS}#9t)F7S6W3<JdE?Nd;R>VX^BrSZ+T6zJ!<qW<TX&p@r_+#6 zJ8Fv~fKN(|H&7c^zd6uY2ArFz1J2FBe2g-oU2AV`V%FZ`3<0Ke7jOj0zl#BK;wAlR z<=8*A33WI#IYj=L+Ijf$qHxpY*x@@bqv6G~K>dMt+g?~i(VP@AGBS0WTRS;j&E$Zp z4-#A_WV8g%&2;+%=Vo^Nac9>;HCb<bq=ygA>0i{{_E~3(#23&t_KVe&F<mpAUA^u* z>YMQ^T)Kn%?3%U?OUw@k{7p9UX8~;7?|K#J0{=Pm0EbCj4d59uuK_$GxBofxDhGM{ zr+B&_7%TA`aPMm_wxvtQIb*lo_iCNcsH!hmR;;3^kZ*aqsR<3Y_O`SsEaeD|wkS4L zC@h(i47Vt@j43R^cLs9uAWj}fB)+5x;1H}D$GK^&Y)(s_&&Ws5t2!ayhS^u(?(;|^ zC9SHSrdkZHLF@%10-|mjCe1Cex*J9kHx&TXlc@$kJzrIOUVE11uETw+INF~G)}Z)@ zkuK{j=9MFf1+zTU6v$2<;T@lqRhM<#X?*}Q{|sQ}dH`lFX#!y8WB_I^X$WBEY5->5 zVV-D~uw#(N8(m{yH&W5!y4XPisw+Mhj$>Zz0E6mBriKb#*5RPq5&oCUF6)q+x)FXz zfy+AdrW#s)Gt&|`*^M3yG$B+-D-q`o6iN862mtNFCu>Id`}2Szll3F~gS9}B$=Yr6 z$7~%%hcLAH8ZXvt!$dmdg3CH}x&F=%8*edpISzvzN^7W?J2Qv=4y6rT%pE*p;8l;F zP{-0z7!C${=376KSR5ke4hpEwMk)aQR^CB?E6{aMiw{$O<4=N%G!SnD<$jy@?=IbN z4wNqSIbSoSeUbN(`&?#6tnT!<CjPIgH&ksDGMbD2BgQ^{Q~M^s?XQmmg{P_b<CP$S z+|fSmT-9!VC}{P@OI{qqJYA!AeVoI}&|YLDqtaX{ZYXt3A*-hOwWo$Scl`v%(9_i$ zPd)L__0*{+W_BXO-m3|X5OLeu^zkvzSIsi?8GOKvdc6H!D10wSGfQ52Ep-LUr$@mm z15N?qT3xh(ql5Fc--(#`#^odtb+kn*<s{Lkw3m$vtaAP?Z2ba>kt=m6xFMpXwhg9u zabnd3FNS`J4ob|^ZqYd=3gykm#<(}B!hM%j!Wn7tX7yM}f}Pov&W)=uhfG@y8JDX1 zM}sLaR|+GD=?sW%((s|M%$gNGanE-c8N?v`zh;QOjMr!$@TVj<Gd&Nbm8{Y3Qdctu z%JBKpk|+6o<i%-8EbxU1ik){2OEJ*G%%uC|dsC_J^4||*x9gIqgO7rOvP)Q*TKveZ zGm{{b3p$ZBQgL7l=@%dj(e0u>CHT#}N_a2OF=e1*u8}cq0}Wq)Td2~$A^qoX{<6T> z5i|6u((D&&(4U>T-}+|#Pf#q>)LG@Drr-CKP#gnJtEJSe2#~G){E+18&1JF7<<eEJ zpR%~ZI{%lPR&t9n;Y*DKyC7;76S-(r!*H8a3TTI&A~mxKSSd3m%q>4V<2*yT>Ivrk zM2RRG*7_3efLfw<_Z;q!Yhqwv2?>*j80lud5~SORK;`l!7Kq4Tb1MZOE@LPk&dGy& zsgFhSvFh1~Pp91!^e)%%tO<`f+msQaIH>S~;qrSaYn+Z0l)8$Qh}C!3wj(LfaYiQ= zXD^6bo?P!!vxxdqY|LxgtsYHQG!7@W;lRXK)D<bzw(oQcYI!#mf<Ox-a6Y0BQs2V@ z6i{p(oCxxJ`?RgMu;4&0gd8KvA$+u%X8S!%se>5-{+O{dEfuG^$80(3c(b1>STJQZ z#(`8@wF_iNFj`KOCrdQbY}Ub|133{S-zPY%LQ92#FEPi*@E^Dyg(K#hUpHxq)7(vz z$6z!c?i9kXCD{<ngcA;ttj#n#mHJ9V7Nx?$SoCRd*@&Iy*Ip2D8qM+Y7`^60+Xf&n zD}s4iwd?|{ck~9$UDY(!ER|xb%?{Z?_BUDlO@XOcU0vV8;-`HPtm&wKEmLpih0bp~ z%q?fhrY*j>K4;S!BljGL#uNA|ge<!N+*n3<67ijf#U3vs2slb5Js^yUKmWCAAjrip z5|{S0+qmnw{AKG^?VVJp%+1sChYQ+x#F2W3rJ@TqFt)vv)|rm0+6m?jQfRm63(*Bz zh`#B;G3{3|cASq~UBAO$H?KyZQdb|S)VT}({6sHTrA$_u_@nnb>&I_z)yw4^0=qP5 z5lombRf8zretwj671XFNu5gnzg`00LqC{1dKt9i&@<q|YKCKV|yW%ntrwJY_kNK!O z0uo`H7@|g9fz_JPCo^k_eb{&h%~5e+L7v37+nqdxSxLCT$Far9Nz^}&#uWMv`r745 z{#@wU#@wCudTfVQ>gm%;&`|7L!h&SP+sP+{3-jzrF8j5W$=~@7D~K^%25Kn79~Vfh zYA3$6KQ=tAbE8AN8KLQ0u;a(d%jApD3p-3`y<iN|7RMt57$3H3x59~Fyc;i1mIW9e z2{4`?L1Kw=NXXKq;nq}TIZqL^OWW*(>B^*OL{~H)Zq;(;$bB5+u^O-!8D?EgxX>22 zHBT8IYcwN$JPNcD4@zf7kOu(bmjny$;77>0qd2TIftBp(YJ#T)kTq@mV5@`eYVoof zcD4BIgL)i6Gs@FaTsALFgUQiOq~Bx})Ko^F-})fQk-^=s11xl}npx;GYpG*?zj3)H zsxp`_Qc!T!a|>0#k&ChQxkz>kE90v26yidz^ZP-4guCe@30?{nchj>KhM&IC#~UC_ z)IM3j9TG?kT&W|GdnQV1-Jk?HnOBL_pndPPnXJmrrBt1<sjyp^8=IV}KwBhPOA^gW zd+Djq`uoJ0t=}s#QnDxoms5;%C*2e;TE~U`fm*7LV|&P{N{P$CCDJBSNoH~>Hu*U% zo{$kM^ABgXL3rY@aiQVhkW(uu`~$x}*`-&T3M+ZM7!b;UmC?azcvLtM)3`o`n0EpF z=ZenvdIDnWmZJfj8hjR*fqa77l}+mA#mgXtOyY<?d$P7E<c%82m}Gv#81h;QAV=Tb ztxrktyg8llG^uS1J!O)lW3CafRvppC7bo^T+mp)7B%)?ksqGSVWssTQ?p9$EoPI_p z{EyW3byO0|$)ZkztZ69qoy+akkXw6ZQgXY6)V5wh61D%q*pNTN<4QSe@9exztfN%i zg}yTQqtoqWqjC)qQ&4iNFZ@7ujs&T|aH-LkB(uJpk{lw1?`fpWeCEV{+hj(^i{|gl zHmsTWYNaTJdhOlZkBZjN7~0z3w$3Ev{<gMlJ0`cIx*!BDvS;Dw#W>2fNvY|$@h-XS zGp-pdeTiG(*7)D(+}~;g8T*XgE_tt?Xmk`^SdJ6#!?q`U!7qCb$_Qjh+IOe_HNh@t z4wIevm}}7mP#hb|;~WLDc4=GHfV^=aWSml_o-6~RX#vQamALIm*0_q7GU?-_C)e5@ zUfO0MOqc$HM)aTNLz@EF&lO$-+TEB#tVT19O%`lqCC8$YeLHjAt!kLj{dHzCB9&q@ z+AgZ&nF-1CYr};2)w%vUDH1CUZM1>A6H6U@{EkABh-*M(DoCPR0Fg0fmD6-(>*oeU zrV0=lQPQ2jHYG|tr1)Y~!Imv8vt%_%94oDjaTy5_f#|kvuM+y?yh>~*?Rz0*R<J`& z#%4_tz6?y~ZSoWkZlf6%vp~(WL3WA@MrNu~lVR-m78)UTnJ44rs07an*l`~7Ss80w zloZsskd^4#Z`bx?Qeb~Y2{D-;xlLOVbaGrEv?7*Dt%m5FNu<@c``zRW3DTDVtUjL- zQzdl;R#ZmgIOZB=W4H_}E}`!F^z%Y|ahlpgH}QgUQ6(IZ<|dtq=YzU{C~sF}@v1y# zuGgLzn!P8P6s1N6z5}ZZehF&DQcvTHsM<T!Sx+?+L|`5ROJW^NxHd(Ds0LUPA;6NT zD;`8FkP!imvjeMV@)4!6O^gEJyM*MMA(J0!ae=s8Bhgod<N>+(#`WkC_Io<H;po_o z;80auZ0AU5p%OK=)3Aa>;=@5j%9Btr(qgTkRpLl!l_|8BS@&%WlINmH1q*Gu^4YM^ zEf-02^|KM;|81{F`e#kZ5UXr$V1Lzp$;3bcnkPYOGk`&}DKP`U*j1F#u#dQgpVXDY z;ZBh@c}g+~i?PXIU<RAAGAjWySS4|oI>n-!c{|hm+<RSH`GTiQj;$8GJbj4MOU=C* zrmLQ+5&a&Jp$^zjYC#0r2+AS036P-#*a$U$MoMuO+Z9b{I*c?ST_cX98Ff)FgBEM% ztz|bqYP$-$P!U&<NuR<cvJ)BkbEVQ?d%(?*dA@n}x)*-$uP7e$fd?VOn6AM{JkF7f zYo8Vq32fe<fz6u(0S0!nn;8Wj){TsB8SuxX+L~Hj-xQ7L>E^?tG}zAvE=P*ZcfblR zm@%jvaJY_rAZWr|u4WkNoJwJP#5Aw==T#^By{M)K>UH*ga4mlf`s&@WTkVez-%~?u zhcFx5vbPQL&T@6v`F@o9y+y-Y0={K>hespsK&PVH&3-SO=@v&7XOX<GOQYJp`w^uH zPt2!8i6i}-OC=Vz67@gK@prbbeiwfWt$5VbG)PWf^^qP_zt72U4hfC;6Fv=WsQ0?q ziEjpCPS8bxnf%#K!s2}g`*LfZ?t1DmCj#@2eHt_zCJX{}<OfuFa@QUNAX)&hcenv_ zSq<1bQdQM2bx9x1eHFy<>QNj>gH^i@76hY!8jXl=R&E550qSa2uNnO&wU)StofpD{ zikp?-OMH8hx!!Yfq#liXb{xC?Hi_E(Xl$tDSx=t)u9<i8ySa`O4^$m@@+gok%{z0I zzq3NBIIx^GdSB}50-`KudCb*6<bBWoE!E7TcY9X1p9jT~jrdkVYKYcgm-Yp?!uwK5 zoaQ^A<pcm4Q4j2jMo(M;4)FI2pa<+<1%HP$K}ds=Pg1|Bl5#NVHNT$K5D%(I)A$Zd zmjLP2-9MV*L8EC?xI=(R;9ZpfnkW;#TJ(zAoiG~B0JJStaT-HF+Y$pbs5%(7F(-m~ z3DCBlHq+SV0NU0>>3^Bi{>wZCWL6Y^Oak^&7_gVF*^BfO0(+?*PgHVmDn(&|T@)Y= zB$g_&Vk2sTJ?zRiX5MPuH!gOsUq_W$a{r(VAbSw!(|<BB*!YQ_Eu4rbk+^mV7fG8a zRJ#}(<41eh^pX`#+$qw-R*cjpO$iD!<dtty@!L|ci&w&JbBTl^l&ITWhldCgA6BYT zo^*+lLfe&CPG(gS-n+0<Q`QK;K~DjdnK<zxG)aj%+Bs4gFigM@Rx~A-NDpaIQkzdo z&;%XcEt~g8gE*_kiNp!>xEs>Wkv0)ZGN8HG<k!ILG+|{fuf)1((#Jx}ta>)=P4UXO zD1w@IZ;1Te(A8dc<i%KHHznn3v@G9Oo+irVyne%;2Fi@}hhw9D43~+KNs#pg5~65d z@|`?GCdLI9O?ZMEzhJN8D^C?=@)#iVD`m!{BOnqM1D0fc2{ETH`BrHXG>-?T2XFhb z!;r}f$6Spwlb=Cna-L)e&JYAFyi5duv67Mm;ak#)WS5F-(yvL5(J&2t7&9v`+fnf7 zOaeSttkIoPSB<L5a)y8;(K19C*JLb=I@Eb!trU|m*1NI|1|<%6Y-WhRK=F50**KHv zd!RH3)WEgAYVN^zlC}_6utL+ZPz$}WWBaZHuuW#?%Zlf$92z<&ZQo%0;PEH;kwKQ> zfpQ!7k3mI!MfVq8<ye`R<F0g~5@Iu3i4V7~#OI2x3Ac&Fm+>_axt-O(LJO{Z_DYN5 z)j?SVbx%gB-iaRlL+z|G7G|iy%ULZf&>w?Mn{a$MNMAl&o(C6fj71V%`D{dkCo9jU zPnV2Hj~pTRB937*DphW{u=Wjyf8^UZh7uzO&a8`vo3t`POWWb3+Hl3La1)+q*;VLq zG(6VX_2@+N`kS`Z_~;-5`B;>RELs0Mex!qO<qG^r55{y&A>A*7Bm^5Zu}<ooP`9Yv z3GxH(^Sp;N7#Y10EY3D=%2U5dmOce`IZ7WxfoQOa)9})6q9NpJi>XWH|NG9m0D9e2 zvqBz1j<9KmhODr!ZXf@Yra;^iAj?<kS3qmzYeQiu$~Tq%W=(kR+~P<Lq(ev13S+%m z+XMA)F(gMv^$NKWIby368q&eGx{Yy0MS<9ifa>KL!J>WQPPc09;<d60bYKzlK+CF4 zG)q9sQEybUi1xnj9Ml>uiTzc)8N@QF9{vFyD44TJ@8+F~2Ww$r`WU<fD`SCL0Fq{c zUTx%8p);D*ghM~$Q<qou4=vR0y1C}Ql9%8XA5cR6NGXJh)EdEh&(mN!Bdu{7jVevt zhP>iKJSfT?=Q8MZxMCAcCa?I$u38)Q3pEOa^?RrupCK$-?jPS;_bj_vLP1WPhLA#2 zP&}`=hbQvKDgP?;w>r>iRGbF*b<^Wt%_dp(4=`}p2(S1#DUfE^L^A>mWHyz5<O>&) zgSu*koE4y82Q(!6&zHm259f#@Y4L4cf9F@(b;h?}oCC<;81j7HP^BQQ)kZ^lQwZ_t zy^SgRmxmpX&h`fL^D9<NK+UBRPrNA8V1;|>#U6aW7J~?Bv6B0U4FXCIBL~kb-`_-U zbnym40NZ&UcgXBN+nE^5X6YKanW4mG=@PjWr6jYi6H871MHF(O&q}T(I(T8s%E-w5 z3X~Q@%N$rp68DSNrlW#{D1d?md(tQI!rJsX6?6tPi#ueU7)V`84EDu#iL?$^;`-?t zSyZ4Tb7K(uuoIiCnuFX}yIq2F1v^I;RRGD_u@7YJXSB=*<s@<Sv^EFDBt-dp^4!>} zoD{_7(}u+?g~oSpDEcLec`k&`|Eb2j{+s32tMs5+OA;3aXmO<^MDY|X<6Xd@)x-uX zSb&vsCN}0dJ_$z1JEaHO8j?5-TAP(x5+XBl7VJAiU`1QTMLtWss0Wf1{!7wMBnAPC zoVQEl`E#*tn{>!<KVuz91ekWqH4{*MTq3m!|Enxo3D*J8hT4><4PAzZf}>*J0yqGs ztLWekZQ=!<XviizJL7kNIw$P_bxKI	Sk{ij-tzjAE%*zK9N1I3!+FnXtlL01N?V z)kg+zi{^zcn!HxfO5C)}ODwo@13J;4-4Q6%`hF2to+(lLW(ik8Ffs7qnNj%rx8D>l zNicq8{7|?wWtHJu)D1GOA)X}_0hnsRN<J?-C}hCOSk47Bj-qAGE+vV>qqU(1Si=gi z#y=qO;?a^7-n)!ja4t5u9|H9J+iO-#rVK+0jF86eBrv$K%N5Y?{!2Gt#k3HG%$l%* z@<q3|N^~LM#urYJqvo_*;4CF-MrYtBK#O0kN`VdYxJ)awTYAw-5B-KJ!i{2sIBmes zrT<bdFAN#ei{}$FsGMf^M=HaipYW-bs=@;#J7;CVjml1u)*VV*z}{n12{5SagPpZ& zr1b|SE`ZcF;ebH=N3lPmkQpOZ@=(#i88cSKVD49+ytERD&9k%;I87fvDN$=W4-dTt zdK?O21umz6c&W33l*P8UVgcsK)sjR22IPOB8wLQnSusPc&*yQ0>nF5N0NHd04A3%S zaAN=<n=wjUZ2*@F0WPKEym$J6!}F}80X;5+$GW2iy*D}at<%;TkW_{qhX9g_(1{%F zp3&at;^Wp=hi}K>Qw!Ae53$q4`u9|#M-cE7N;aTJ`|w<N8e&81;eyKK(CT$QEnaB( zdNF!55b9LO5*wPESBM_*o~JOo6g?Wnvq^AWFc+dex3RYv_u7r61s{{_1RWXJ)lcQt zwQ0krR`Bmb)b7zpHhq+}ei8&Mth^;3lC*8pVL+mH-UuXWB|(~`m2FlsN&;8h4Ti~k z_?4Lt@=5{^><orK{Z9Ex5kd4EANh+uD(2Bpagxyk_y%7B_y+%&9yz2|!@`lSv6RbU zR&!FtqG8ifkTx<TTf_1r8wdEFYluE-TPJDIHXX=G2IMTQ01{h(#Gs_Xod)`-_ErOu zmgO4ys7=2lh?j)2O(3G=!qVPgSpQy5d8<c4x#%Ig<f3Est3s-)%&L!L+#taWQh?aE zm4N))3Hk=n3Ko$p45?a=cRQ(rJH5t}W6mm-T_Qw3t|LR=##8)P*_%9~1h{t#=}jKJ z^E@tw%urE1hSP^ULJvp;LJ35RJjb`{s*B5peA(l|#PKGNBLK#Q1P031cB?BoVBhu9 z6Dgo=-bw&k27-bdi_0(kjkb8!;Y`KlzKRvS=KnS(QCz;3$KwQjKxNIEv}TG6h}iN~ zAduRDY*<&MUx4_goq+t$3A%z+*Je?&2Jx{L5WqudML>J9jR4dFRGA|#&nGJa6!-0V z37!%VQg0<-99h9^I#O>UAWu6*A7TSISgfG}aq8-SX9E1r%aS`~>*KuO37KOLO05a6 zTkW|S=2%IUjnMr>U|feDVZc*pT!kJ@!E@1QjwJ^uR{gpZk;cUlxZezjcRF>+;-=y- z_%~?`o($+wXv^rJ5e4i2Ke7p^2RJ;qURGEnX8^KkQML<;&-g!*zB(?d=llDo^wPC- zvr8}Cp>!k7lF|}Ohk%4EE?q7i(n!P7As|b4NP|iv2r4aDJoo!~{@B-j?Y-yB%)K*n z=EQr>pj#h)=jEfvdeNQ{>Ee-80i>~@a2pHdm!1Hr86IULph>t??z2kqLw<s~Dk><p zHjE!Ubu}IEwZmhb1w71_w74?mNDA9byHlqaM*?`$8pjG>@T>Mj7AI0&pUnIA#zrTu zSZ5zFQ7diT{hiOopRrhctIadT;vWUN_~c?!_#<^K*fUW{#{93uUHttYo=OllW|1bI zxWw!jFPx$GNcfq;HH4UGRHyKVFiTpgt%qZ1WlRUcP*9MGB#Em0HTT$YzH>S~?x!hy z^)&Ejkb1cjwd$OyZmI;|45#de`4(l-dV~nc{p;ecvW4b8cx@B6hv&K5n+#^^m@?gU z`=e}TIZ?R|;S!5lNE~ar>ucsP`}Gof8!37yG|nJ7b)prz8u@3=>!0mr{@aJy9pETR zLER`L!6#AY>w<p|99(`)jn!URyo4M#QFvP2cg#fXzL#5(xCp%tGFj&g;j*Ohfh6s` zaSja;wp3EmGJlzdrrmX+Zc4{^6hwK{dh*(9COsq!u6^m41ELQGL<KAD?OGl3|9+cN z>^>%b>N;5xVz>I&?Q!BB^a?Ze>Vd)E+ov0U2p%vI=We0@Lg9wLX5RT8OaH)DZn1)M zB7eh;f6e6Ne)vH#W%ciQ!TntXu*p01T7Tl+HxS{qdKEDite^Y$<i2X=k(IiVbji=A z`zHlaRJC2BPSDSPPVPHr?k8WXU-Vb*hie)i-I^rjhg<V?^Cj*7)tv|xnMp495r#;+ zybibLlO>O<@w);B(py3F6JE%d2Ms>V5zqdkZPl3w)%wbyxn-o|J;`}DZS2o#8auks znT;-J(NgNmEQ~`%`&|kBe^voVn%o6xSLBuc|Nk!pNt5@d`+LNsJE3pMU3_uUHbiwU z%O`XmTR+5DrX?pU-?HXez!K~o?3@JD%n$j(<Z`)osq-H-TxV7~)HTl5{J)B~js2CP zb6J8T|7+Er?!=wO)G3DeKT<Xeo!L$ufE4~8>6)>B|GKFBX_>LV33x&?2YvZ1aovF~ z=f4)$W)}WXn<jf#k@+!G{o*z7Z898!*DC*OZ`!z=;MO41SW!k0T$M&U?wKa|2`x}( z=zq;47l81v<gGhcWd2tWw}8wKBaPiGODw4oH%mU`S}s4r%Pf0|Lj8lNrE&j|RBDf$ zu17rQak8NM{KBb|XG=vaFZyNz9m_KFuU+cDM<s&pOADvgC;$J^6nd0pCbC`Wzeg{h zUd9_#?-ppTTHp82Y*lEC#pd6zV6>r+E;Hdg|CsBv2)*M8g(Ppy)msQ>vop|+t^v+y zXQ|FYhLQFby(FT;32to+rtsyCzoqL4Zjv*a8*XT@y`y7!lSt$`&aExdS~xJa+;U1s zJ)NzMwvUumewpOLHQe1oxf8w~?^l|oxs|Yx+x9yRt?hfQ+5enB5AP$*1KV{N*twgK z3X+@YqMlTU-cCh}DUt?cWgh3jAvZV-6<ypp@jGRJOOU-lX?Du>rS+qKwKH2;(^pHK zyn?d(;Jb7V@9~L2$CerY7ECT8qfl^AQP$E_uS3}YOq<b=lQGgIz>}0Tu`)Ta>)0YG z<hAkV_m9m){h6*)9&E_@Bj4TEKZ-ZorM3;Hnl}HW{g7{ym`@G5w_03UnqO;f{Pwef z^cz!1u91^RN|d|Ty~TONy-kX})eIo6td_q<xp{kRHhKk!?j1`y8cQ*61i5)1<k@Sa z<YqSbw|42YpU%PF*xwa5jLls=gm$qIY5+JI=sOmAjUE<-=>T)2V}i*u`00}3^z*Mu zV43UxTPzHVuQ5;@q^V+c+AU5YGX<pTe=&Ex)__VzY`sm2V{qfB2#>|3h_uC}sIUdG zh^z&1GrL6+26I@v@h7G<q4E8A?NX<Jy<e&drs6QF{qBcU<;(t1D&_YI31v~hR%-Sq z7a}50E<|~&PHA+3rO*Xf9}i>`(`aRNUS`Sa#GA?LyxNh~NmdH3?0zYI?`T12cc0WA zntx~6lfy%K^*10@%}-jGcIb`5#8896#MokCi%IXK5xw0ZFRR@?uTs$$-Umeoyd12D zyp*i_yrSRlryh0OWtB=uIAE@?+E?z8J5e2wyY2_79!l<)QKqFkIk7iElKR(@EFO$j z)&<t0r*osV*}u4(%E=`y+D&1w#37H!&BG|`YqExK{rCN)w0)jx67?bBTO8US`%5Xg zrq9Qgots(nc+RtC3*Q>oyu9mX#J;vk*>!6i^%b<Z^i{C9^y6#-(Ub$p;6y{wam}IV zG`~>em*=6z@kF7<uM$FyleJx&w$ZmSPbsHp=jUuRAaCrQtaA*SmutjN5ds}gW9?4S zJYJ|1L)gc$D8FM|CIo@-G0p$B`H@-g$W@@^&79&>W@d!iC4mE}w*&jNe4$S(WIV}Q zvU_fJofDd*Y=f(DSEsu=>cN=VLiR~9tZvRUk3-J%*#_iA$R0YP_isRCAFq_G#|&m2 zNZPb(7=Z|?e$XMiL?I8Pr=m_}99b*cWS()1Ti60JScwo+a?{NZ)ldgM|4Q?zP)^mN z>U(L1$<Kv#GsVPcSl-Ux_cG4pY`}J(=fHL!`_*Pu68{Oxu<m_&#d`$FpX{i=l@W3I zWRKc4>BN^j05DE`b-3%B^_;Gyjd}Cx)~^VngmhPHYP7@-v!_ZUjc*5sCa272`>EDk zmj`hy{8*f%U#cl?Y@*#lEtyg#L}AN^bu<w`l9s1Hl9s0pp(}|VV)QSp4Lr1=M^0Jl zA2TJ!|IhQVMo(lOVgfWIoZadKvLE}_ie$n}-80R%8h4L{e}s5yDW`^{^B%|wC})7) z$Nc<r#Jc9Kdo$M}Gy$H<7J7gAu1;(AUF&}A*P;)>&#%qR=4Nm0Q*Xxmzf@g|l8K9p z?~yG6m&<7~;FmOBHeE9PD7b3x=U;VaLwsjf7msR`Jfp{6bgWzmFN{zpQ^@b+Oik<o zICLI8l%-GGwok|+<!deGZ;DCKltb(^lWm5S4Uu^#3cR73Js=L$ZEiH6w?vxhbT~CW z*yP4!SZEptNgUqv6fgftk83Aw_d*!WH9oJxaLuY<S`F5UeY0s?N5cqRN5V*^Q?G$6 zgE=lZFZKkXH)EE9%n7Y)GK|msd<AEB9UTfshOT6i(inE#-<+7Peumq8m~EgAvwWN+ zZVK8P4pkf`8ujdsCW;c6u($o9BA3zRH7n8nmPy2@*W0pf|3frh#y|75w}*1e()(_d zN<!I=MfLAYG~*BfIEMoE0UiIS^=JuR{$ERLd=WpfbC#yxIVR(TTUOXa&f5O<(&PKu zsSc_A#bU?o`mnX9%U|<^>R4|qy2B22>Z(+|U>Qww9c@c*MTAF{G3=ub_L0dkMK5<R zI!{Y7cHKxJ@P<-+bNA|m1OLg|+>UKWcVc{rj6o_=$gQy|so=69_0-pj>k+qr9<CR{ ze=q0>u!-~p4Giruv$R(<gC0S!*1*4&jqe1pRHTw;Hx?cqjz>)upQMg%XWCg7;ap6i zM^sfx1$91^Ci8@T|A_sNuZ@emCfZy<q*wdQkQvsK+T>L8VKUxtQUW7p@PW(rP;f*t z=dWk&#T5)}0(O_vL5{26j^b-o0!992`AXKlGR`e^#ud++9uS0xi&{?zP8*3a1!PTQ z6(9kkPbU-v4aL}q&CE2u(3weaq11IWFz^WyJu@+CpQsu~aF4*=!rvye>`|>VOo>13 z%T5gXF63TUJu5G_TCOl}P}d9%#R{0A!O$AU@lj`5e-U+*4T%W{;58c`3tYFHFw~SY zk*YZRl_O_xreQT0OTt3_c0xm-=ibf7!_#NBO4LkhT1w)re2{C#JPqH>{^o~n8_<u) znzy)$E_?6<#-qwoXjqapiB5t1YLIu`%oo2d1M|;^vl3%*&U<X?l|c*2c(ZhL8U)T$ zA3)yY9d8#jH_T_=te0hdbN)F|EBL9fac;hwJR%Ar`#2B$Ua46#I}U@g??i;IWH!z$ zyT~6Dh<m{WtmT5W7G;rA*6*M4aVSSgN{Bp`k_J1>Q;Qm|c+YqVu`tHaelxf1$FcD! z5^6atjXiYyGn05bb8uVW{9DLl{7}3BwW89P7bZ2y7$26XXdhF5V~r&0=)!o7SOjg= z+~Iuwka*oP-R??!HQpXH)8;@h;nC#5PG2ugPhTdTd&I%yWc99XS!z4^^wpH>N#Y1A zlnL4rxcJ#-|I-Qgo~IOBn~t<)Ii955)>@{D1q<|+*N&jZ5r-{sZTJO*%wXkj%V%c$ zjnp5Reld=HW{z^)Rc9J;M0O{bXbU;SZsjf9kSIs)T&S`%5UN1u^D&dei!=oK`H_%v z&)*r^qr-16>#MSuyAigGPdx8?562i)4gV5EUFS$XTFjl)l)n-%b3~X5WgRt#bf@I$ zT)l47!hUKV-RQA-%Npn6WU{beUOYy*-eor&+Huk?Y&QoC+!t2f+l>;x4>n_b{%PnF z^?R6_;1ozM4c}-?xGe)=D8EJ_v043e(#%s<Wf9KgChG6^COUKid;2f#>k-BtCx*TB zA{tU*+4l1}sgwCl&Lw#)kSB!(({1n5GE=-C2xhyyD$FUu>g?p!`<TjL{e+}_Ri^X{ zm;8yVoSmLq#~H7(aTi=|$YD^AD{-r$Xuoi*(Vd9;O078U+SHE?hPo$Mc_e*XcoG~` zq8IboSDEqArcJ>Vja+$}L}VlEiS+ge*Hv~f-Pe+-CnPyg0|ya`D|8W9UB{x2(W4|S zgemERePlmxK~F$CUXL%FGiik46IpF~wEC`4EhmWo3|*g`j8DETSf5jfSl)OqI3?iq zlrccLZ(o6I^bX;q^w%9^;ViDZ{Mgq_>RFEPiJgfmvT1pKAZY#e^^cD_IWjYO=F{2B z;34H`pYe{O<PW*6rlK+V%qGH9)RloRNAcG~i+>af&&i5^4Jx$n5j+oGiDQy;vg+fW z`*ye8yi;=#pUjZUd15kh{Wr&PsFg`xaALwyFm^554b9vv|AN{q-W~~Jpl?!vj@G23 zn)#ib8sKasv_F;_s0CUATLn;+j$^!{NEaPf`)+g|ywn+N&YzcJ<_P2^7W_t1`R=A} zI76=39mJR|xvkS2b|ltN^oicCK?PUfD~bS@__S4wPtb${dGKK$`6cpuy!>xY&aOHY z{O>jC3BUQBcX~kUA=N5mtTpLLWBmI&381?WE1o7EoD*6}H^&qt9A3*V<;ou)!<5L} z)m6jJ>dhCQwrM<Q9y>?#YP%ar-&Mj6HQ<d$W$ZFDQ*_wX4)OW<8S;L1gxT4aB~=1) zH;<mcmUl$vNKD%XnaLce|J)AT*Vxz0vA9Mb4#ydDV#cL&V#bFhoO~WID)O>O;?jwy zuBU8rrA7X>K2@<h_gHc9E2eCxut{j8?_zu=>ZfnM(SjoL=L=`qV-KO&6A|gL`ynE# zV?##6g8el7no&nYMU|9f)sT8<c%g6L`>BMhmG~VQT%a-K;q-SohiaK2JdvjbV-$WK zB=ql`USZL%6}m*;46@U5((}sOygbr?_s*L-;`#uxS{@>PZZ{zqZYahioH?y*;lf}O zXd*`bDQjAj+$@WMz9iALV%}teFpMuR`m>I!V@~Z+EJ|{B?zPVJC?zBSV=`gG4A75d zO^-p&wTC&y7oM6aWTfiUa_h@6*Pz?xpDaI?`c<1AlZNho^cZGWn;vJuzrWYs=J(+^ zyMyf3s`q+^{x`<Ek-9PINs6IWo{9Id2v(6r3ZomRuaLDOI|7Ig&J?TetxNa@H}-Fl z=59dk0}yOS$&}l=$M@oKw5~<h_oTV5SH2iw8=eAoRq2@T{HgN>bX>*lyQ%ff{67<6 ziBB47CZC7;A6xZ|SPL^PO?8HPPkOu!FZdG6_+j0=H`wMV)BRg|O?kkG<au+EN>%oT zo@c}h*c?pKcA1IrSK?~p5I#=C6+U&}H9m3Q6+V;VH9mo&bI`nTfv2N&!FLa>d3{pS zzy{jJQLtS5u7KZDTi#Edq0ke&*TkE;!vah$1n)3?Mk|`CbRCc4pA4d8urGwF#GC4= zEntZEU|~dD<aLX3Zy?LBnJF--WV327#`b6_R(}`OpmJS%O?+<QiQ2Bc4v=NPz3m)a z*25o^c5c=Vmda{EG-bUZ-x1g>aQSA@*V1QH6mmX!1Ge0I)rj!PVk;yy!s4@TI+y!f z$JtwU*%pPIl~7IHg5Aw>gmrzg59JqER#bNI-TUbUN9>xC^>zCURt_F&z2HvOu@)>r z1zIazJ`c3^=U7qoRa$Y{2Bg{WIy?;}{=s#8zqPHcs<Kk(slX!$6C3C1WT#F+O?bnf zS8b4%w&$6nmqTGVSdKpIfN~#p_(30bFmm10M$*|z-m$oi)j#NN6w#<O13USVwc>Qw z@{g4ivIeL-u=t<<==CjX4X4~mJ!Bgm3sqfL9kl%#E-2?<T`Ib5ol-d-9kyfO|D3y4 zuF^JW8sA7gB44UbbG72t^4Y3ewAsAZ$L`l_CyLN_68<j)Hs4fXR8@(BJ$Pr@V)NI8 zm<BJ8pKLB-iSeZ;{B1{ZnS#~^@L8nJv&gLBO+!*E$CwzFmJZPO6-?ED&m^Plz2)0> zBI$}_bMH=@oVV8fpIS6~Cv7Vv4kgW|OQJqz>oXl}3cYX<D4qY7J=L<v8~E-3jc~E( z|KxA<M_nog`z@R6B7S63=!@riU2G!e*79>ZOTX=914czNJ#a~_+z<5TnG9-{ztC|{ zqDn?)gb!xmpP1H1iRz<_wB=4Z%}SzQqL*aono$>TMw$pt@6s?`b_Fs|AJEWc$c_vV z!&un*80$#UUhWee!8M-z-+4~Xf=t?<XImbBme}l2bo=E!(<%FL#V{^Tf4SdcC7?~S zRZ<s}CXu<_9LpF|RvLIxUkWagG<zrX#L~Tp7?sk?>Gq0@nq<`hX9!sDPc%q7L`>;6 zM=4l>Mc{F^Bp$V?1`wM_&skYoPMKO2{8T{1?g1k96=+?iM&+u_l!LCSpw>DIRQ87T zne)rPR{QnL6wL0mpH+!sXub;&D&Ld&JKDcQ=F(TQgYo&|Q?M)*d2hLjdnKT-@n}?% zzx^JXS*A;n0M<Aj9arbSy_ZkpY?8}KfLmS>G-nreS#4x+bXLZW&&nI05C?KBjpHPc z`ZXo)wH;YblN$md0NYJQr_lLtZ+A0yg+K{dizcJfcvAjQY*F-{xyIM8HsAF%iSS6^ zHoarmh-Ql@0G&z(96Zh2IyH>wFdBTfPy8*2{;mG2?QAJZW4#K%=;AL&c<?`Sdc{bE zaNn((e5><MGuTjc!w9nO+O#MzrpPf798cG(XjMRn1p)<?EosLC<T*n6DEm94Qwm7U z*9JvWXvjg$V>Uj>N893Oh+-}@=b&3P9Uro!z3}6@YRTnv{9*4_UyjN1&OcX<pA0#B z_u*&CazhA>WJL_Ih7&5)RBFEUSs@KrczvhA7bdp0^0M&*yi)p6Z-#3%Va#Co5<Sx8 z?-aBldOPd0{dT)=Bn|25uPG*nYjuX2m1`RZ&SwhMC($i?_|>MXJ_X#g)bwt)?=uFC zZRe<C-SORR-{*|-FJC*m$EpM=YC7@S>8&skDt#rzw;TVOPjk{^O%TcQ5!`#swPjwQ zTmG(|iS~pG#Z~%~%P+n0&KaW+|H)VtnKTHE!G@n1U|0voEnNYXI#j5I#(aFEnvf{F zt~9#R!_6^)(dyQi)aw-8uKj<cSH{^N)O;YV^O_TgD>E^Ip{(f<i^=dXc$2fzN=@$T zK`RIsyxbYzTp%yOOvlxsQpS#EYa2c20F1i|6?{PbNx<QEc6bk3U#n6fyQxi2QXFLM zc!kt=vmk{WK@nFf5-4{$JrUbD(&>2zYVn}8K|z)dQEL+z&L==KESUc$Tx4}rli*pN z6DxPrPzw9yRvj0~_|>U4Ih&Qzz@ami3PBN9!s$XM8eGf%j_sW)DNzUTB3{6Y)G=DY z-}39u7k}~O#XcmJ#2BjT3w|&pPC^}DFUC>{D^2%WdhzxbJ<GscJFTMO)Cd}F_e2G5 z1ozbC-p=S(U<8)tdGXy#xj35?#@WA|rzvH7(m(@UocF0RuP%)~0P1ij=&$9+RQ6FT zepl*?wto+F?90#gyCvyBO4MuN<#hW5Mn-sjEHs-auXez(oBWuxZTT7>M-y10H03eR z+vrG77r29?L-n1m^8-rq;4D2PELu{mm9i7q7k2*VuX7XxcQbxAw~3;Yw#9y)R;So5 z+s)~MM=#JRM7{g=AmQ%ZNnO^rm*})SvxJC)f=?1~lZIxrn!H<kruxi)s9jmmj4qh$ z9GzmeTnp2IkzK&Z2|^~u{z?2MQ&x8@eqNUJHITa#JJy#*oSzVfJlyUt>F(ljWBm@w zPm{NCMMC=~87vFzC?trbac4$@lmpJ%OBPZTs_?eLXeE$SNY`C-$$A&B8(9Zoev(<? zXuoI&x(+wPY)}vD=FnXt3x~SFvO)QA!gw=dR0dAP5w_fJxWwHgR)R4}c;(S}NLPpc zlJ#63H?l*3N)~zOB$dNZ;Nr^k%=zi7Ph4)$U}DBk`b7CLZ;*$MaV2+av+k#t&DMA+ z_L4d7aV}vo_7WJ&c|in~1Obz5N(9G^z;||-{CL~fTuUm_dOA*PI8gKoP_!iSa1UD5 zOg=QblX|j{Uj%W3xf155i58A3u`6lMBlKt_==Oj{b{(BfD>W(>w>H;WVK?>a_aMZc zp%Wt>b{Ck$obdW&R<Yac+lx`H3{fEz9&%woOj%r1QEmjcnEKxMY(1CbmRXQ8oE1!* zpN1+N^_C(BOW-Kt&Zs<B4w%=UR|q_ikorEme=*%(uc$w-7Y>9zw*z)t@rqNPBj>Qz z$^qwA^9sFG5^Tc2%fw$Ml&ptvxxqC24qS#VwUjSKk&A1F3RS9YL%6DbK3F&V_>#OM zJ~uEvC_m)^^04H6N%s-ZdB1?pQ?d~zk3AK*HsWGx`7L#ppJ0NV8?;nz^|PC2wxN)q zqr;mSf+%15wJGHv+mC;qW>`;;09y3%e?5&iGb*GU;QM6W<|Ca1c@FSZzy?lFX|}lC z0&BNHbb7RLxaHB7AG?S91(cNM1@)*Tn0~*yvSFr>ASdXa4iOYjljSNf!4LQp1Dpuk zR<cen;6^q|oF6wqx`6Zhbl{UL*KdhCahUjN-M%nh%6A0r%m{&UIhUQPbFh8VTv4yu zS!~jTzjSgZLoWR%B8<_wmm-g4?x1GHB9E{v{g^K7m*&Zk^Ww_w5$|vt4XawKXN!AX z8pZlBy>hFF>_&v1l-D&loGgqeKZzB2xKo}^$M!=eMF_`^m=Q~@EPkdnf8;*ElA|A5 zj+>JD78qC+C809YEHOdRd^UZUo6pc&Jw4iRuoR&ZlRWMWmPI+h&w5_LhE9U*spb<~ z@wJ}7l-W?|Z|4gJm{<YR6T<ws?<zKIpJY<>eSUO<oEJCcm0Qou=b3vcCFFht<m=0i z1t@ccfLX+Hvj@GfZrhC|d0kL9a6ee0CxKRi0GL@rLNIYG&2^@D3*XlwpwrpiV5~&> zabv)sC3?PX|0{P~lDdHZEpa}|9qH;+Thg5?=*9~5V|>FeQp}W$JT9-Ymk_a=*Gs0B zAa(+}k6t-I1Q<!lz(~T8ovDhb%PFC}0!zrf1m!36BV9|2OV*Es-N^9OgbACc$YVki z><Q^ImXAh{mIe&ImWJz54~0w&`r5UKB6FCT4>EpY@^ZoviNP8I>X+}8=Z}6tH<a_T z2mP^Hor6dX=?J98^9Z0_DZqRea)X%zEzbgGGG0|Pkw;z$<@6qi4q^9&Py>g!tQ9%p z+JFLP{p*qi{qpppm^|R~XP4aN&bT8Y#4Y9t-}>VbnafxJ1MCjz>KI*O3Fmc_0s{hI z1RzW(3B5I*4;MTTV<}{=lI4<@hY{r`Bq3dQkR=N<$tAKfJZ?&}zKrjX&voVr4gB-S zI#M<PF+&Z=sjd>s+^Haj4lf1htqp=!f^AbKWu{#oH^siHgkcTxKS`61QUu;2sYG^- z#|?G^3|O4PQP>p=SOUpqqfTIQ;R5s>Jn-D9*>;Zu`)sEKAQS-V^Jm=b)u)q?`whyE zQC`^j?6`UrrWWV6(hT*LGH<I}5X}$D;S1Lz77v*iQB^97`)!mElqBD)rup7&ju>n| zR{oo1#mM_YRKIr{(vz?UVQLCV@ZJG_B7meGcjK@mmt~ltJ7KRX|HgWi7P&ACZ!O+) z+E|;_CF{6AC#Cf6JMakKt+8aSxget#^e}a}Tp<%c99m2?K1cB5K}P3!VGeWP9#>Du z$U6m~4z?%dXw888^c+H{`in4(VDIE62}!~sQV0}xfkttKs}cMLB%U20@#1pAPZNWq zw`+$k-M%<X^_DK^jw7<9lXDOxUm!iO0|;H(-M*s20fcR?c57|24ZIe0Y~$n=(W7ho zMT&s!k&#JQYPFl<3(lRsSgPLN6&Leln(HY~dzT%M(zR_db8+ui42hqZYPa%h?-**t z5E(f0&*kg*f}XV%rs4tKqRi|9nVJLejc0dCjMew;m)VQo8xL4-+`H2hd%jBgs?&Wc zxopZ_?1oQ~GB5j6kF|Xq^b_jz)1P7qZ#aN8dUnS#S$*Go+Zd*Av!X6-(p7nY+u8nc zfJI2!&tgjG<Dx&)&-Ra4Gok0_kEawBmi*b$0+&5^(6FAYK_?-ekg@~(B9HEbXI9=j z-PxNVr3Yko9<pyHgO;84%E;uqN`_#wCz!YZIla}%yvZkUwU3n?pKLZ|QI+RVq_Fs- zraSHAgzq!dU4*U>UxwVg0T)M&hdHQYA{(i<5MSBc{DA{UorgJb6GS#j&=KkMXuvXG z$a8jlNQZre3&Yu??$Vn!*lrPlMNf@h;KB$5sJd+G^|miC{LxcmUvKfnnq`#u<mei- zw>jYj11|Qq4|5W(iEP0AI@>MH9MMzQ7F<}*dtHEm4`4XF#c#pz0t^LS0K-~7z+eq9 zd;=JQxqa8y@&*p<Egt41eLBzbaB}FKz#>C3lJ3r-<d?hpN{6oe!q=~JTd;E~yMnlE z@}@<s7I5Pb$`g(dR=|ZOz2a164V`t`iA2areIE&9{+Mvx)x~mBDli8pw~YvncL(9H z?V?M?xAuVl38P_09uk1pO&RdIm2@4JU4B}Fr^Sdiv(lA2DY9yegoP(3%M0lBrU}Ts z+0z(iNu2c^a#F;P9SPGTNx6p8Q=K%6%B<{dTO!tNNs{%)hF-$c(*F7BJM7rn1Ro(* zgufdG!cLe@MCW;chCQzzQ)z;yr4%)@5-2(<!g|KcN>e)hoYG>X{lIihdxETXBbMR4 z_5G>r9`3VJE?@I_iU;SPIO^l7DjDhh;4lI8QFk2)-kyZyMB5R45L*zBo>ED}g)x1i z?qUwq-S$7{P-al<eX@OV{(ZHX)Y;xQyyGbOM(W?oK!X<<11{S^z-Mke@0%Jf3CTc3 zpF^2&cJ;}AjTP8jB}s=KG5K69e93rUeOL2&sS<|D(MI43E7gQo893=W*auLtVPC2# z;ryk()4S5$Z#|PSl0{tUXBNeLP6&sEK`ug%^2WcEYX%@)#m^M9{f-$n6ek>xWjBOg zr_Ht`ghTBh#}UvXNV8=~{;+GgC%Pv<L?U5kB%ND|JSJl;oJ7ao^X<F-r#zU2!(Tz+ z;u4Fk9Z{TYik{SWB;nKC=XvzGyox<|+WY06TWU%Ks#JGJ;rZ3ffg1Yz<pCa0<yMBH zBJLLmJAHq+R4epip<D8GnztGHN{Lz#y(W02=h(U+kdQ()u8n~G;%;8ZlS-J;eE-w} zJTh}UIzV@U-}&|Fu%%7!k`=PxrU@8Xb5XYIhU0?RZ@-^4vm&cDRPm%Q*nnt}!UAi; zHwI%Fwi6B+3)_@h1wQ5!X-eh<X$BceA2C0f&(1rBy%VF@kc=3db12>KJ$=^3k%I7# zsa~`=&zz{s%9q}RP-8!ZWJJF>2l7|!>4RB^3lIv)(y}2mKK_0JpZ9lMdnd+H*33oy zpi&&-s1slcH|^=;!$u4AY*M5xyQq9x{A54xZyWbcjG#g?;=InGY@1HjBZJ3luj*+! zMhgf#h6_kelcn?4Xnk5R@}HfZo&b~RGcbu*nuFZ;OzX$66Y#X8h-OyuF(*Z(R5LmR zh}@dL0>k|}&HlV8%INahc|VOSM4W0`&s9Q%D{o==%2|VW5zXMGr|%jl0?At#DRkB# zFUVksK<F$X@xc(C^x0IV3<e@8ZgIw2et_W@z;FUE)B_A>E@I_Lk`u|S<~-uR;91pp z`ht3y>~FL!pyE{ZTCNfp1%ROiV9?EA@B*U0fg)l+4M#u?<!FWo65S;v7|adS(8Gmh zAd2M?XQVHfc5}25D@UDABuhcL#pQByr;i+s%YJo;K6*We?Nw8v3!uJJ&@<S-6#c!L z^*k}Q<3|vdoU-_j{y}@8k?U>@$TFl(MXKkoaWwNsqY?je8<c{A2?yJgdkrg*!N|lr ztqDR_{=DeT<<%Si>0x3Q1>&5{b42p5%EX0pwTW;NBas`=>3$uJ`QJHN&u`C@4SEw* zFi)QrS<oQ=f-Vbz+}Wl@78MhbmZ29u`*}o>4zjjJpc~z(OC62QFdYqPW*yhP6141E zl{2h}H!rSA=g{djvYAc(hq)NCArVXxxznx6urOc@DL-L_v|@}V5C~&2f&#$i1w+a= zbs^>Nbnu)W{V90s9N5rQ-L}0NU6n~mI&C4=!)z=@yqY;Z8UzXO1zfEP=3?aJnbTt& z0J*I~oipy2%;^C<h`688#1XvOSqdzW7vq%Z@apf`c^5jAzFu!}j7VMKreNGeN5j`j z6hRxMUYG2)os7<tsdEO;^5v!UB{t{$oxDJb6~&e79PXikceS=NqEQOz9u;y?!?DPk z9+rf(p0%SF#I+}wKN^aWOVcL*)wt|HQ=XcN;hX@^EdcvG1`QbEZZ<apv-*=Efj=6Z zl{$FoUu=z;L%7zS{w;ejXS`OP>}Fqp&Rc7A1_$%zrQjqcIy@4ztxEpln1c>oYp|~m z*W4G-zo|{^0}T6Oz_4#pAtS3!Pl^G2_UWKI8A0J(?8+crR&q&P_$kTft2L7eJ)10P zgR{diyU7qKn84oMQF;8>O55MeX_Y8QtLWniW)f2|x|qyq)#rFlx;v#h8X|iAj~xV2 zzY{eab$50q)%24M#fZzZrbp=^0V3uT3Lni57snLwoTfez=xCh5m9|8(2jzj!uu9vP zAoTnPZyqXVQo^ej=sa6m+M(<=wyg8;UJDWP{-FyNanJV|KxiWkBrDUX0!yu-uob9I zPvg2UCTO_SVoi~peLySbr-C}N(nK+T1bje0&Bn)6L%+w^VueB_I?mo#sep^>(o^X8 z_qX#v-9P|?^*g{n<v(nGExqqT_SvvSnRaG)mEU=<79`tMrUJXEOOF!<3ZViDsr*R- z6mnr#q5?jwNl)qK-?vW%b>}vyu#QepTC+Ye<DfP%9UpY2Z4uQ*G3x<-I%>cL6+#Q_ zvQ1s{56b~9EVqFc-WXq72N<czmw_z1N>rr0Cn>G3A|Z45)|a2N=BTmg=Xw13(Lwyq zjtwA7c)5y{d0l#p8UMaxB`6eLs-l#A-*S<aQn=sa2da9sz(_b7ZhYt4yOch-3<OEq z>Eog!fJ6IC9GSt5V={=3@qRL0412zQ0;4-S!O25oED@DVGIdV|wRXIdW47&*hX(%A z$0ZPmk`a?TF-x(I$S@Zs`gN^Q?ww(zM|Ygk`akFwF7i0M#mEEUa{(ZH{w#TDxPv|p z)gVg7wCTi5)-fz|RLHt_=F2|1vscppL8x$*$Dz`fcU0L|X4GL@3m{(r$ejRkAVx!G zFf3zJxMY!=LL3$olN1({q#G6!Hx(9>Ca}EqWt;@@Pul^}n_#bZ{nJdx1e5`2UvIg6 z7b-1*Mff$K>%8UhUC(_D=sK=|u474L!|KZ8dzVYS^%!4cj7R-hc{h;_?j=<h$@G(L zv1az@slh>DrB9;jVkQArdQpYwsiAm4t5Qt#VW%$N%_tLJ%>fv6sk=x7bpZz8`CuZz zAOL6#yRy+!BVTc0<Vn<B;q?hV?8J)zL+i&4To^Kl$OeCf$2ad5P~`?=0R|>Omq6%j z`!~z>8+#=uNz2l+`INob2Ns$HV4=xSy0e>M3$|wysh?Wz2z2*6rvFng5`W0H6mId5 zQH+I@#6p#LC_l>dl81A;s$lUNakJzg7knbZA{)3iIDYu3QTgRbO?ltzzj=eB=0=dU z`Co7HA8jwwjE*}a7tj(U;SeMJ`kZR;inb|}C!{uJnQD22G2yF2q1v@*wn@NsJyb)- z6lp}YJP?xL;iv|m!8CQY6f2QsRpSnE^M6yIS{|lI@NmGWwTo(%$<gx~*^*E!+kgbP z`LQ|)9`;#klIN9b?HHS~4LH<@MeubsEIZb$*2T*5uR#uQjv9AuG!amQDNsZrP=sZf zTrRhftsae;)%yYnKNbuWQKweP1Qg|v0bDf`zS_sB1vR&}D+Dfg(!e{tCl($wE4^{| z(si(Kv7u(i&K_O>X~WlPIwl@qR}O!ygv*269Qm$%ULWJ)SiO4HgL}8Z!5aMl`EBM= z{T(a8hTPKOVt1euh)E)|L*tTd<&Pa|W%ZexkpYMiORFsIYdNo1=<zQj|52?+>G|_c zB*m%wzs3AV*_1sfa}H!o;uXoM83pxkn&H7nyk@`0%FN6r6m*)j!$XvK=YDXN#Jy`z zJYZ7;JN{?>_ymg?prd{}3@WK8Vbm#z@Y_vi-z4S^o_{^HG9rR$nc~nvY7=xF$w)}R z0gu1n7`6FYC428B^UBExB*JDB%HpWYj`qSUE+r2?6t|?kc<w&4SgMaAl&VROPT^Nu zZKE7EcfIoIu+uFC@UxVG792Q#O~=)?#>Y)mt{%5?a+z83<T5aX@P~n@fzWy{Gd(>I z0d!O+&C5R4R9e)wc2P0Ov{TfqRz8<=*;X$b$n~xb<a!s-{ebyg*ywfo;qhD(L{1L_ zPuV;kf3Fos1eS_=e<=UZ+r7p|dk2N43}?wZ;uJ)kcxd^ml9GNjR;6Ceyc0dMnb1=( z5hH#Ah;eF2z!@A^CXL0&g@K5q*?Q>5%7#4HmUk@$fVdX()kNuiG~*!tX*xa{LF<3^ z7-geC)59(xyq6M`XD%2oC^nd@&wVMmG@u|`8CAh#eDta8EpCLw(qmy#$%WQ~G07;# z(!dBfLzW5rQ~e%pUE9Y2kdSn<@wOMsMWB~P=a*~5#=Y?rQMN~Sw2PIuWA}JL!J<Z` z{uhwG-A=vHmm^H{`fH<-u5IU}A0=)-meaRm7#VM?W1&47hrLo#ohvSz*6SWG^ea5$ zD6m$vVi*I|(T!5e0EmKg9y}^9Y8-7&uKJ1pB=4<?b?CSDf(W!QKh^11Lpxcs5gI9N zQ)CJ-lkgLCRvC7&B&Rg$)beTzgTY2^&2}^_2x@gYYxRX8u+dd>-du#P@7&Wes={N# zemJ$UNf&1Z5p2pCC&#%ZAqmaJC?jB`Uzkx1p4ByFqT{ZOF#+c7D%J9kWP;9@VzPtF zn3}d9M(K?+bYIuqJ2gp(ZgjSv9UD&rU1NchRXgP@jwpXU(ZX_m2u{$$JcTl?@nq<q z9vs*ten<PYw7epnn2{}{K7F-52MVTIn<EU4S${$tELDHttAi<+j<mxRME_OM4UM-C zi*OST*H=EuuNQme_lhi^UoB2gJq+I)2>%p-9CxyjYh8`(WJSF3Nt>YEod)D>2oJ|d zo>L5R-pEjy1Yt};-O44Tsr{BuW|FhUK&0H?3tCns@|27atYso5qFY;#%eO3~m-SWZ zt!@y(Vnt7qtnsHIo0{)Vb`^^*$$Hs;ZF7Vw&a6;`cG^Z9x<AE)&EmJ!rvO}9bs|!_ zp;g*$LCDzpMS#+b>wJUIff>P<(sYA@O@2=~qF@BVhcC^Ec}_BLl+4Uo>a%1N5@&M! z?jfvJZ0oc6#GmZRE4C2$gbAEC5%+0gSu~`~&C##o3$3U4nzup@4pQ3Re!GTwe#T-S zw+u(zY~6zg6|-<yjfRm1$_+ZJt07er<16U>(41w_Ly7SkqPuG9YSfxElrxUQe6L4I zZ&pr8c!RHrJhVjYNJ*1TRH;>$=Lg}EZK4_vVoVTij8w_SsyJNB^TULaZ6Y?LUL>A; zPkCK2GU-teH{27v6d0B(z`LZ`bIH?149l2@uy5CsYUcm2gVUYco#p=+qe4a^n1e$- z^-3%c&Ul=tcVe95J$?AW7Fae+WNL?S#{$;|{8*&?RL0$Wxnxjv{*Qgt$w+Lnaj0`R zGqnG&<rG`{#`ukQa79n_C*x1UMU&dBff6^Gw&!YZ7WsK_189c_6!1iwA1ez^n^Q1d zT2EbCXJRadYAKYZX~pJkQF>Q~9K>t_i-K{8tIPvMdKp(fLaZL1sEDevo}eiOaT3k& zsH0^9Z)y9gE{ZU$E<IX_-?^*_WO-DjBK6Cnh=lMLmtqz2UFdUuE<G)1mp}&T$BYT+ zcZ**y%)DnS&mPxOD`bAfXVng(PRlDQt=o!K*c_`&fg!}r;$G+nj&ENdJ^=;B<jhIz zcrVU*L{<;mUK~Sh+$#!<X3^LqsFj{w!%!~N>K&4~BA_bahJ+PwKX{Scvw!S$Hnih` zi$I-X%A<z6=){&cqN&Z_#J-F>*6)XmR9TNoo(GKtql3C2OSGFh=3jwxkS!dTGxv)O zEnclGhm<xF*3}WI*ow+(eThwfZd_Y;qGJ2n(DobnE5BMyj~r}?wlql6$iLUoR^Owx z=8kT}vLdPx$j<cg<Byd;Q`>Vi8m9lC^p<y<1J#pTPz{TJ9U^ZpI#Zgn-m@gv{rTn? ze&9n+%^&qU7e0%Oj&@nsaxgydk^5-=PwH%Y@nQ>VXZo+>tQX*T25=}e{Q0)44RE9h zMEwrAa_1w4;%AY&ygkDpM2YMGp83vQ(S@puPSoeD_g+`Ef4-GGJMf`X<d1rBWOuhY zR_6~EB!NwV-^B1oQHKjY!-}1iUUVk>%z7`>TldFnFX+IBz=uETU5K?(W(vna&-3}F zKUGPk2agm<1n!TWLcSMBz90GLJlCN&W&RL%v30BV?wc_?inf#jdto>}&|(<hSf|24 z{4`cYD*KfR88}V_21co{f@4*bz^39FJn#6+6g?t&)kK78#6$#X%2F6X3$COnx1m^- zHg{EyjjmD(ug`|#nw=h_deils8*_H;=Bl{1s?!5&hU3GzhU0@rhU25vhU3_A!|{=L z!||arfO^YgRB*bMbK`extO~BG3I|S%Do5DHD-|$4&<^}pDs9Cm71%Eea&IRa$!&WB z$!$k($!!NS$!#Z>8y?B6G$mfk)xrjH^P<oj%hctqdB!7FWgiiYu}{fww9lVR15SQb z@hNqsQRR8?p2(Sd@{rp1XOfS0nNO9cZd6`0wzqll4qFm#+}9tDh3SIs)$8N7qvEgW zZ`qs_q5rA?1jqZd>$TfBz&UoWw6eGRKIuB-R$*mt?|q6V2!~}4T{^T8MSa3?+XQ&W z{?)<L67I!ToJw`;$26o?_6Cx#yF?rnS+(lNH2E9(^uob8BrN0iLvV6z6vc_?8NdYn zC%59Xv-Q79ECBCV6zz%Mc@jJ=?tSw0?X8iG3H*pAhvZF9Bn41MWc}Dc@o1RdA8o*E zm*%7>6-jmC2b>D$cfQ!g-?kt-ySx2kR}l7ZQ6?$w9su?3J-QE_d6JoJW3S^dBfV>j z>-qkiPV!MoKqW>pA;mt5svsIi8v!MQHe1SM4!iF8QWeBR0DhtyXmjXV#_*xjb7Y4Y z2Bz#77`B-;tbq|vm>EdrmZILwSQA9(I<__|B+OuMxuxj#&}$0G`i_^PP-W{pcrm-E z+<l6HBNu(vu&cc@RY3x!HUb>W)4WcfJ$z{IMpcl+p^ae0=V`t>$|-3O49@}>lyCGM zxsEc1T}z$=40Zs+FP`Rw6c?aLp+J-Hq1CobOn?o5&;vAdz?nL9hzn!0?mvH%?-KyK zX|FGyaHflbj$fZ@Y!pv9Ba5Rt56NX^Z+|V5SIQ~BN!L5esx!|+L@PS6W?8;AzU?ss z5jM8|rld+Abi(5Rux$9OfLcfZER_AgLRrO5_MJaINgh}zJCL_skS1mvivy!0y)b=c z!e9Yl*DT1<u^0(oYhsro<BgAr0qyRlBSU2B*_Beq1;&O+LuurKSj;GpP9R77G-R$! zDLdGJKR(3-w7cDg?2;*GXPxDbPYbx1Y(CRsMa|NFp?$TD1mJVk>`)kAJZcZ*=#+z$ z>8fW3z#YUMdnjg^5EuchXd*hU3IKX&3%vV5^I+M+wQ~NFiBWGpX7`cVF3mr30D!s$ zz;qrRV-oJo;)MPP%OUpe@0;=Ek7|YTlI|_z6#p=>+?=Q7TS?B_K1aws{$~xaq>wS) zs+jo7*#-X|C0`B|KY#CDjk<rL>h<S6<Jax`1w*>~&e*Wq_YzBE_k1>VO~%0sFJeP> z6cFsW|44&@^hsI{!O1c927nd%5wJpu04p@cky+|+Oomx7-meQz4_KkRfEC)@|AAC* zkw<wh1|mv^6b4{^*`qRgf{V|VhD`y?6HOm>)VU9f<?h3dsP$oo^!l)4^*(>#P9;f4 zKip-p&!fs}w1S7O3jnOt4*+rzsp}(hE*}<y+FD^k{3-psy`6T2Pq#JCr10kjV2MV( zZE(lgT7W)&k{s12P4R~qfLFa=V$%E5ER_cJ0D=@i5Mq?gtsBR!J85gxpZtB*fl@!@ z<1k5pl;<BFpn>=BuD8>&#<ymLsBND;L3|GYG+!^1gnA#}x&|bYmeCrsw2>IKRFD81 z*MadhQ8@QTdYo5!6M!&@WO*ifrd>FqrmN?ze+zsMz(S8@+VkB8%;q&wGV&<uy|eQv zpVe@IjE0jB>F$W>KnEZtUEUryvdV#vsjKLm3S0N_e-@D0WD7wg8xS(hVBUP1)drJr z5)zEE{m^if{jAQ5=ol^Bwp#k)-%pRoIENb&D^Qw$DLb(5^+jYOO$Fb+=Slv$wfO~A zUdhuUuh!~6+H#+=XS32WRG!MRA7wlvS1?M~FMWRCgS0!ap%r-hOh)Uc?cG*W4Ih3C zC^Vfoj>!9q;pIEQkLH^c^xrk{kT1F))!zMm>{fl);%P@gq-0+B&Fl+-5qAii0<MO7 z*ue9w_e7tw>oSA#Xg<G+!EKrf6{eZ;m!^VtnINL|j`*l<+|&F<!10r~JnDH7g}a%0 zP#N3$F_L#{<4VgSz)3t%dNxpNny-HRD<Wv@;ORRZPc8@KK`BP}u0<=?-d%RO(Zg6t zmI|6n18VizieMn37W5|1mo({})h10Udx%A22GDQ@TEdmAMdwwenjI(JY0j%7dNS%O zGL+@=P0}l(UvY!=29I8Sa0=QG8n%4XPwr=46`@iXF;wTnt@q^W#y)vGGm<3ht8tnl z%3gs`+a1A&yQi!*)fVjRGTJ{#?$OW0(SS;ApZVs#BKxzB1N%Ty!q<>gpV?TIIF9(V z+lolAs3%ks5>ir%&+1W~pvma5<5#3u!(2w%j@r-@NCkHPzOQ{|b>f%l6McxUuxnk? zT`IJg{g&%u^I=XP?9*`M=}jYryOm18O@zeG_Onj5GNKHR#mHoH@dOF4)nG_PpD}=* zv{0p1T$)#zyV0VxaF}nKD<h>S#qE?>8n7gl^s`eHvPqT_;*<9Jaig_}E^&X+9w79% z;D&VTVb<F|N-ry8?~MYKJr(Ta&ktepPE9J3zgHKA@-K{Sxl>avzrA)ci}VdOe7mTi zCj`Ug3_E(3`Fk@)Hh<S%Hsh;t4&Oci&hL79d=43iM4msUIlhC&&lGQmMJwL0(w>}k z85^0kb1YIm0olM3!<dT9JutY8a-G?5rBBJvvXozqB`XPMdWxzrgc->m>+mK8gu=#7 zr3*qVd1p!;+R2*upSfxO%bb0HbS)_cfE5roa2R2J3VEnqz?s3kO)sqkxo~1qer>ky z^I^|_?03wwnw~|b8&S?}X6y87BIY!t$&_kVKIP@#*y4leX}#_ryL@}}a!$Sb@0j{# zvG2D>oE!f*rjO3te&_J14wZeIi$wd$Zv3lQ`=?s|?WyUB2)9+%tM>aDH+hPcf6s7d zhFO$beJ$n@AE_k>o&Xq$RRGJN)An~geu)z2PH*vs&6KwxdF>5l2i1m!9X~A|vhQ1z z;pI#VMK~gqyo%y9l@}4+7Gpx#p-I!BwK2+vYt03+=Jnd4LC#R3gtDdlK-f`Vj<qmv zRa5p`erBFq#Ah1=j$Aq;xR|^wYFk6i0aA?R!xiTO2`pd74^Ey*R#Dg_@ghnivP=jY z)o5-G3Z@EGeEI5gu>=nR1{Hwe%_JYL2`5P4n9_hl1}KTC_<#l}PKjC`bvGzw%8wuX z`USU&f;o-1J~9=ke<6NQit9ucWpAP8uq7=wz=vDK399?j$Wjhc{)usH4*NdA7Z}52 z1Xm>Ar$Igd%DHSfTM#mz>*NB+<bm3y%P?X6V|=(j|969+P~}VnxDh$bhnx1l8wJ1( ziEvQMsX`J6cW&t6)O1qy<1;ZqOnq-6DA0)o4A=OqhSwuXPF&wTriLdsg~D4|`vFYn zTHoWI{jVmu*=hW^i?SmFBnG0Qt-Z9ueeWHeL#^RqK_l^6YXfImYr~ieG09tZp%>bZ zL8<^ODZYf^2!Ji&17HGWq-(j)3wLu8C~)9fg5?1*nM0m^aOi<;R!pdKswq#dz`}aB zn0hVsbk5OfA)I}g2&@yh!a=7UgdCxI6Ep`gezUEcFe`UrTw{RID{D=aHLYD-$vmnr zAj|lQJ2c|zuq>+rrB0?XAvzp0GJa#<ruNKfi9TW0%B9}4Y7djkB6XXRGl5I3mIqNX zf>P^Ixvad*0IWo1BUi2ogFHP8qOxg5pH4mK8rNj~@y(<&GUD#Tz>vXD@K+`pT0;CB z&Gc<jaXu12CgNw)A=lXtb`KE&p?<7XCzzUE8S)y0Uw?D2Oxo9=HN(#on#xWr{rpW5 zQx(zneI*P4@Cph5uTUcZq@o=Fh5&#oa{z}$d!P$-5u$%mkS~t<Mb<TJVcDUSa&Vw; zVChW!)g}BxpmJa4Dk$(Vg#?Z+Fa;O^L{DzhUzPvu`dUnU5&n(f<qh-4Lt?`cye=l8 z$9_4%JR6ic@7gvOQVrKDKP9?W)~`A|mk@AyEI-)jmOywyD33QYK&C9-Yzv^os3n-J z@n*1g%H_Ygl`nmTHYl|!xNPEAxHf-R`eij~u243fX)mVw=B>JEc5%Dm!vMI96M!xe zeERz!)fd_HS4T5smhNTHtGxqTzjIWvEy&X92*$9&a+oRnktkmBJ~8-)A`AJU^qIq5 ze#rn|p?QB9Z8R4AqD|tH{ez{Nq~gIp!8dJ*=*3|=t;-o^!L7xtW4cR4-TWUPLgZ@u z0%O&H_`&<|2KEai8s{KS=uae-9R82=BZRq}Y40QON<jcZM^O+5;jLs`1TFi)E6bnZ zF3c|-#V+j{aO&~|XDUa&j`LGMd|C9rGPkm)mebFy3MQvk{8;c_&)%20+1K;t({DAK zetb+K<U%m<;LY{^zyO7;h^Q%<AV~%e(~x|&LEZnos`Gt?oNv!S($iP6Lp7cYURS=% zeDaluMVC6lGS~KdJcOgGDk*^_>fK?n<nxl>*B!uqrY|!r@zH!<(fjsWRa=dB=Hl;a z;QouGwC|Rgvya{;eKIGj$VPa+O`i#J9}!aa9MTW2%kyAp4TR(w<fo9%yg9C!8D%3X zwPpuA14%p;g&PPwj$FWDdf4Kg{2E4j-G<abDb5-g8vqA=SK$~L9sJ0P%DQ)*@xkNG z7)<ndY3~B5Nj8e4wFcF#S=CkJKVMkIe6ob-Ve)O!O|R;HE?BglKb|LA+1JIZUjn~V z$Kqc!9+X*}Gzb24WYtXUl!O=lwDG8*dZtuho5-C@cjSk8Y5OJFqs^na+PKw4R`=O8 z3TajHH3VjvPdmMhhKx8@`4gqHuk#@%(Ql?34Z+LdXS;{mM$z_;Y9hwD6tsk{;G@}h z-19x92{tY-&eK(Vg=*)W8v{Vt6rDF0b8_{7jvkbisuq`ez5IXJ3!TE<KQ@++_f#sO zldqPaJnguOuPrW1vv<i_d3W|V|Hm2sC!3{JDJPr)aM`KJRsB`@g;i-`PQb?piC)WZ zridLvkD+i&yQ0JLP@*N{|3}uB$3q!?jeikkE!)@?LKtILh>}ntWShZY24frhlC`XZ ztS!t~vhQ2NM42SnW9<8qlzl0(m92ia_kDlw=lA*i{+K+?+~+*!p8ec&&wXr^eS(Cb zG8d=BO0PhyPV|e_X(HzRZs!^JOG;kIu6iBVF#63?vKnD}&PJCrlOlCrC=j8t+cuDh zeO7iRtI~C~{HZisnw?v*2*-m-bLI=!^Y;cMMJDM=h-vDb4>->?EWu%w)W{Ss24sTQ zlZdEn^tTJ8@M{za<z%lXQH9yxzHyfZUh9|8xPO}wWfwAfOX~0C(hJ$&IA}^$I@&Xw zTg3uy(=w_RMkXPRKYj$SOGO)+f`XCc?@2C75od(D)Jap)^K&`%wL)^xV&DYN{WzBy zF$1=7rRO154<ueqt-_pH?lXELL&2uQEwDpTt=X9_XGeKD(vvo6J}HkUP}*6{=4Y(z z&uBdK`m!kB)u2xwwZN9zaQyYz714{oBI`|b$>QC$OQyP(0t&tniwjue3Oy7<$QI-@ zL3!BWpq=aVdHvr><p2ojg9P^oBrwvjubwt1&!;ra@#0yHVn)^jX69fo<w?i~jIo7o zY~sibi%XFTk`D7#3>nvc`I2Lv<y&Xg+?@0kR`_eVb&0^akk?BDNy^mYQ&Q$dNr9>P z@@Ct1__-fl2&UNt65;J}!o{(ZyW7mq<x>{zXKh_%Kf(n{`d+p9_5HQ*Ye=$7zj`27 zwR;249?8gno+m^S-tPI5_c`yRrf?|PeV2KWE!%v*kGXTAvhmaJJ=?*r<fhm%_mYGi znp|zp$ii&&ylm-U#uF1L|00K~i8q75xJpd&2CBG5{R@wpMj^=;QNCp~Go4vdx_LkT z9?_y;I9|UXb5h(`dh>50ODJdk@4$s`{Y^T=JA+J64n=mxGkvY0nj|@?0!J&gWyWih z@vy!^OZ5=B5OK0_W%tL0XLOyh3s*;#6&D{6zQj+QgPh~-djmE(Uv`E-82??W{H`-8 zW9EaW;{ytw`)cR&H9E3Jcr2%bc%Sp>ZFxUS!8VCKjuj4?g=-z{f%j`=BTTSNDT1>t zJ!N)iGgBf_RdSNR&B>n_#{kpOp*>$%5ScOrAn4GALRiny#TcHKERxMMIoAm!v^E=! z<}ZbF4@fAB-9GPO=VdA@cUcn7&C7{pN?>5Y>C$qE6hy{8HU6lZa;JatxgWbo`oQoc zU(H*;2EnYhWIyE;gWOuyx0n68m*pQ%6x+Lph^xM8-hhhxftRZqOt3v`4d5(rd(0`n zU8}&C=vb!bORf!<swfi^tVoTi1{LRo0<7Qnic4Yvl5sJ=UQWG`5bBt<wE*Wb>9G#M zbei(xR_9A1-%jRV64ba7m-5bMIQ`bdhv)2(x;s7Yg<*Du46IlqA)3#B?@ynd?g^W( zkgSOB0zDm4oOQJ}#;!EyIojKOXlu94=U%uMYj_#34t0iUw?DY}+mdzYqdKj3?Oo05 zekQL=Q^a3tku*Yj+Pqdb4{M&6Wd_QW!S-!99(a}iio9L#ZDTZ*w89SR6W;Nz-@<R# z%UTsobBmO1cKSb#cg@Satu$m&V7V${?C!TPHvg%f2aNOsHNi|U(yU;bAYAtGmCpav z^KOHB-Lrr7Jfo>776nmBq|Y5wcHhetF^z0vo!2!T&))-;K)U7^Wn$^~Oc{GGS0qJ5 z_T*HpNgC6W^-O8hFIU9pu#Mf4iFYl0lUEn3PIt#NGUWtu$BZWGvJHEbXhn<=0A!@; zX!sxI=b#cPS`ont%7}NsV_$&BS{hB+L(hWxp-}w2hiLEvYrN~%GB8DbqbY9x2cW9* ztc>oyo+-N%K#2q(sc1TiOo+zc^FkExPRbY?VAIPW=66i_uKs=mxh_P0@{7(ez9zkl zZX8SlR7qp}!96fd$HG|;pf9@M9x3BB8$cayDPtF_bNelw%^PfK^KS93^O?7myv+(M z-wGSMTSH8hg>8V91y#NXMu1fiz^eRq{ZFB)KV+jB4(p`JgQ<sZ%>w3A=dX@1Px8*$ z%Dxw!Np+zZ)h&@Oj)%U|zs04n5h)IxcxY<E=%zH<sVd4WST<o4nZ)aA_Z(+AS&*;X zAStn-`%dwN-5VV5WMMw!z@;qd^j=T!IEq=6@G759%<T%Y&$%qg<zCP7;uPpq)YW39 zfL`@o#TPa4ild#>qK>}5(V)2<G#|Ma=KCdqPjz9wa=Mg6)~<}}mCsbJWf5GwuHWKu zmVssY%7RxUtVFJl8%37#y3S|dGT&B$h9$SMB>cUeagHs}$drcTy;hMgmJHT+7Qh(< z(a-9Xx)IEx*Glv0`rWR4mIG%Kh=A{(J)s+j$Q?3@9071%;4-h3=R@XP%aX3%>%mkW z^WO6^)MBn0He#1j8f^_=uCKjycgk8>Pm3M{(Ba{AMU!!s1TcLT35l#V&`<V}1@wJb zY8hZhj2J<yai@g<$dHgcj%}U1O0S6)%<lX~ngN)Lrdo`QV}qT-2iNU*hL_MkJ@j(7 zPm7-28?-Ip{e9`~$M%qT*ZgCr54I*I<|Nw9qs<wmFOspoYoX-uuCH0<hkhpb<Z8H# zvpf7?>X+*uVpVMlXx$#2Aaz|ke)x<!@}rNPT^v6lki+H@{y*=+PK_(rLsJ(e0*lHj z7tehx)OK2wTh%aS(a(NH!zjMg(rKpR<z>rajeY7g(I%*}JyiI-N{6WlFW8!QeADF~ z-N%~y`F*<Qiio#0&y`4munVjR)<q7A7Di4IsxPrDgVn<zuzE;{{Cy1uRu5;ETH1M4 zh{U@rSpGDcv$~5d9VnG;uRAQEoA?6;_N}6o^Dq61RbP4(lcOdceD2UH|M9ih^q1Vt z2jS2VsQt5|W6Tr{PhqRo;)Of;S&<i@78huwIHK?WW<aCTA}8VCk>jAUSI_Vb^)m7| zToOEzW~t@2g$i-|sX9c4n(uT`j(;zWhU@)Oi<TjnPQuy!diR(EYu?k=^Ww6DM)+2X zTzcV;xNQoYZ7uU&0UHG<+43Dz)jM}h8FztMI7D|cVL_SHSNkcf{q|6&sj;7|0S8i_ zOZ&XS?V&Dxp<j(hhO%)NC5Njwd)R}2y0^^9k37Gqvb$fuiR6>8UI=lEzu;%lF6X7m z8tc&k%Jhu`w|-seEdqK~@(#)={I=>A9qj)$$uV(G7{5P!(mT?$&#E2STySRqWvuA^ z)N|l^pVrl(sYHw17{Ook?JOCc)Pm>e9UcS<JvlR={nX<?d#K&S`1SVf3nU5X1?|X~ z0_S->W5wFr9MaI`j$2xEzP}|U6dh{gazm$QcwHG?^cipXxBu82rd{^a6d|zQe_d8g zH0Pink1vSWFL3@QW&E0`$>EOW@Nuv!$;$wn(qH32qsMd5rSl@M@tU-=U_a<!AvKMs zw_-F!CVRM&)la<?X5+M<&f~7|zJ)yzYldhuu4oT+rfutpU0}wt`?!D}8pNc8v+@u6 zHI=tg&M**G#m;~(gK?+)vwW&0zA>;v7R1qGoXeX~fk(@+U9SUdaJdQdjWJxRn_tW6 zM#e)6Rj#>ZT98*EIcvn&m6uux;s3$+gi19jS5ZgKe5DsX<IHiep+^7T%i0nT6n?@r zp|eIsn1$d#bu&@AAKiNP-;%H6V&3g=zux*p_1U~9B4K97J}~f7%GZT_j=}!z+bqt^ zdTt3X7cGkGJNoyeK(Lb}ti<lg+1~1??W$~}*J~IX?zA&J_*7f4t<^V(iBD#+<)fa8 zm$$(qrt_~B<wBc&aFCyj)N*Qxd{t)J*z3#u;%dO>za~bu!Q?u_=vGx5dGp*xTwB^p z9$fl7oq8+9P>L!tVKbJcBRt~ySa>|Ud)eV<be`U}MzT~#;C-)ofesEtxSf-dot=e} z9r~ff1^&PgiHP|YwF)X4QY95TDe|TQeX{SRJD1K~;IE=%;_vxm)3?PzgItvec-tN+ z@*OJ5%I~5N?%yk|GS$q3S3IY3mo{Jdbm{4(n_d;XvR*a3d1fXIXS&wS?p?YzUYti4 z^&jMsIgMC9-5Adq@);Q|6^lfRs(!`}j)bwGLS9+fw}d;js>gWhj}aDWZ0A^->Ui_? zV!@P}^4c{4<~4+4sy@z*hj&uZ7cOzzFb|H1b!%V93+ZK}XPG6vxal?jXe>N}3QSf* zbDo6mvtfR~NPT7k%*WP(M(hWG>%E-Lb}rdhq+9cXtXCDUtoP-zsY`>Rf&N1|=q7ZZ zpiBw8YRVn}DIEKcK@kT);ln?$?%*3v0Cq{Uk1pa{#6ai~NM2r#ISnJ%`LbNWE}O8L z=4LJOpXYv38lm^k!jhGWtt5i}9vZqfUljgm^~v|UdrW$$iCc&s1I=Gew@chbR|oGG zT_ptm{AC#xbN2CHP()(p@tK19jyn0O9G#aoP#ux`9R4u(uUTKN8`j;=IMu}+{c7;^ zM8@rrm@1zeV}0LOjxV8qUb#N1*)@9}D*dJbV-;g+8}{dw+ap%{YOxdf*#Q4WeJ%FS zXCCNE*@XT9H9E=XM_4^QcQ-9$NON(zN3Dd@2imUPfqy4qWS2hpP<%0QVPoK*FPVEG zUA(;qwhV=)L`kouvxV)bd@k{M{o;MsSFNi>YU55;jEz{5aaOM)#y59tc~S-{7&8OK zN;+7{?*oBc=sW8v(4|$afdBJa9SOZE82V>7GxtvRzI$JcK4VBez4Wyoj|JCFA6Rrv zFLV45t!14xKo=&w28FOUZBCBe=`P(5#HGJU*GG(>QJQ6XW7$1uZKvd?^)%_0uay<- zGhxlNYG)(&@9X8W1xeDJb-A==@2%&qJTvh)TJcMgQBC-K1>d1ayJdQ1wC=Y_+lob6 zZ$CjI7gQu;3+js-Osw2jma)<T4&q&ib7CC`CVf*&pS5Ya=?fD2aij~0DI~CYNG3F> z{T$b$swSt`nBD3rET2;1Q$Dbzs$p0mo>wRKY*$78OV1(W+z}+E8=t?gx_mJ($*%jx z;CCNWy|3+_XP(X%UE#eZ`b6x0LP3l|f%C$q=WqU@bq-*ynn2UeC1+(Z&{=aA^#9c5 z1rd%s1N(GeJ$}Mset640U)@$Uf_FH^FD&9dk4PhX!>Z)NKTq8M^H3Gyo*!TQZS&)P zWC7{D>ncx90Pl{UO}>dYQWf7?{H2@zqa&@Hm$s(9wb=#639tuYg5jIp2M~Y%$r|LJ zNOh{W&4qEf#PSX^gR=*i|NV{QwVd3|`j?_{DmT0t=aQcq_enokm;I;#PC@v7_21H~ zq(^ZG&%brfFQM<2txz#P`kUu3NB(GX$m5e45>=H!{O%U_T%eIr2^`Ra&Ha29`=)7e zf!RWkEWV(x!|*C7ex6f?C-9S=nBexa7``Tl1u+?ww;g)m9n{Za)xb~~7+SulFNRFq zX8RasBk%PRuYY|}lrbgnQ>VR+&vrE48Z_ga9{_zrzBSh&$zEboG5bxoWfSpCpkap{ zv<0=^0Zm;`@cfC(qFnZYpW5IyJ900iQj!X@Kix-NmtoZhElAjTQTFFxr~?=pgXh;@ z66FdD`lMxHvon+=l@ev2{poJLnhfjSyeN%&(5KrnFn=ztYaLpzWD1_7<k&`wd8t~B zjjvb@9be5)gR+k_viKoi^eZ{7vAj#cv4x(z$v1vhDgpg#;M^xM&yiF2>b;~2at|Eu zv|@SxYBT7yDF(eZ#h};5tuf)M<^5Qs^sm}|MuUB}szEcE>OZyQMVAwAOAouI^ejT& z5=6|kG3l=554*bbcZ7l^!A|GK6c^AOM0{ZJ-ft(XMlYv7S^@0;(wDv}r`Ib@8TaAy zeLef}g7m{7T?=(F^B9Xe*BgkIvF=qvsIzYVlO3u82SokYu+U<j5vIM7+a=Y_nz8iC z>%<5HRV<psVl`RNrrfYjj5<`^M3Y%U2>ERq7}xdD+m8(#$eWqPZD&ZJ49YK{EN2lb zO&LM)jrdJ|q!tLMHHuY-4x=t6DEYgh!o}o~N76&6NEtBpfj|j+C5zSDLLQaXx}Oa! zBcjbL@7|WT33{v&Q#NeNHby&d8Z@r%?7A6D`$+^F#4=ltq1Y|J#!g3p2JgGE0@kA_ za^NWH{EGi%mz=>%Rqmp46Y`n4(z{u(*k9nh9~yApPaccawW2m<mJMQ*i0bCIGM13Z z$~KK;xAoBuJA;>GiR{6m?`+wlD4J4mo`8!$gO^UMd-fRW?26xHM~J||wkDv+=xBMY zP^RksgViHLQ27Oj_)VbL)%_-K845J~yl;XX(DX!N$zamw!~7?8KRkXgQJ&%rZZKJW zb$3RbJFkr{$K}cQthc3YkRKbwq_e7<^Z6|A0*l%N1viK(;<nK>0>0OBVXq4;e)nHg z*uJ_u%v`<N<$kb1YBb;bE9`fg9`D{)??1(F;M>CWrTQ@7#Ud#06#QDrpPAEs?<Zc( z8P$9a`B=K{VBD{?!B0IV>cj5^-sns3#OI#mTfdmMadDG=S2*?rW&QpID#R^3))xWA z`?YiBhZLE5mb-&(QI_1ip9+ueohBX$TN1~iedq>zRb58)%*v7mtXQtQV{M5~kaO=c zqrPodl61_nvL#;!Mh^v=+d?}y)oz(g=C_?u11yCJDA!uWN^cIK`1JfIKVBCIkh2Bs zA4FYb1njqq5|jVjAw7(W>`=wp#j|AnegH&e48_+AM8yY)%B@)Ss!`O%N=1KHbm70T z)#Ip0B~|Ra&_fBiNQ2EIUFHU&RjfOJe>Mb&tOgKS+t@Jv-@5)UEhmf0ImroXWp}fF zd<y_#+XlEF2e@AWj?e@LXDk5jN0*pmvEzGMXRQ=RJ1m*^i3VC>SU_KzjNt&)jqH;y z&<?$lcBB}>BtBy~AodJvFQUwV^DDhYt{3{8x}`5(V_tRCwKaO8**>_l#T_ytD^=mI zxqD;GaKg&hzt7jg-~SiMKKXYBrsQ6h!*ZYg9{jn#`ikZEp@mv<g~#WRWSZT)OA3)p zkrah1QQ;KfsP06{IZ5Ftc`0GLSCeWAQ>+`u=#>=T#h@=T!sAEij!gN3x$5uBK~IT6 z_b+eOHK?LKdvcG}zqwjc_>26-f*EeMbulAfR}M32oVa$KbD{R0T#1lW=d6AQfv=np zS6$~KA@}-dYuT(5ML=8Qq&gRvJAN}6>9?HgAQac2DUsDj-?KbMN_VASu@y4BZ(F^! zC9iYv{k@v42%pud>aF^}W=<_{*DXfnsZtJ58@Xl%!DL5;FQ?6}0b_l=Bc0M?Bb^>; z&9p<5r7so+wpqbfZw&3vnS{9I_CHRav{vs*i{e){`|!p@VDwGl(o^y>t~B_n-}M}u z_ZdlJo`I$@%dN_$AD)?Unm?Mh8ku;gQSnHMsQL}{swKF1drW|4;{}`gA5+T{pN!#O ztK*UrdVi<(BfrLuP1QVcseBx*)brClNx<FzeU?e<dZ?h(t4fDqxMo!kp4_^NlG^22 z4c#j7JX%NVhPc_=YsDk#qxk1ud63UX@n&YOud4;$nCgBKN-IUR_Mt~<^YaOJZ{?T9 zVD6FG-*7$MEmegm8T|Y9I>C+%dUVP+>-4=V{r2Ae14Apd8cSc2U9lc8xiYeNl{`xn z=YsrgIYF(*w)I!^4ODM4k@DNNzgS3rQANeqQv1I>erAC5I5)abax=_>UU9zRrq-hi z&h07GNsS_d(YZEtlAAj?q!P*Ktw(N4)%iz|vR?Iw;90J+0h$Q96XC(sN4Gu+A2BK} z8$^gvYPX18|4|#Id|P1{MdgH1=dvm@al5pozQJ<K_2A?R-NBodBiD2v{G~*)F#d(w z9_{rGeR$Mg!eUE5x}+BooXuV~fQX<A6&g%cpia6bJxDO2Hn?_}ZHB_r2E-Uts-tpS zUQaJj`>Ti<r4&-{Pe~1i8=m2<)5>F2qva*(<<Y<U&JaR*BZam6UV1>UU-{WSx5X*v zvj_F^w5&rivj}EJb~&Ayz5m9qhasm)OraG!oSMfrkeIedh`0QyF<qL7-~7+CTTqB- z{-@gQPIYlAk_plFG8WRP2Tvby<Yz3%SQv0g{Vs37rSI9x=c?n?zLkTTz;hXkzI#&F z!kpd}H-6HWGo;^<C~dBJ|GgY@|AY1COVby@3CyqB5A+qvjbg(x5*|KK*!janrN;Dv z*!v&)kUc!n@CJR>AKNRrh)%}QsySL$$aiWbH~)xCQS!~c9_u{0Tt-FI_TzF7s_!ZO zkI$@p^flX>wTSNt9@DSicxx-Q$ogZ9p?qb<Qiyprtj0AR!8tq#H_Oa*J*(dOa$0l$ z1g=&V;h9r8El|$j3f&s<J#MT}@ifPOQCu1KHS?Xr-kg|OoeiyVHGp%DOqbTYZ~Q^; zaowW6F!g7WfV8#66Qc%yOp>pG`fRk<eq+VerAlmwy>5t!p6=nUw88u1y&v--qMTt_ zy?W(a6(bpc-Za<LyM|dFUii!<Gr{CTuvF`IwETW4WvxCcbS|vX)gb-P8@D6R^ka*h zk?c0du}16Q8do8Y@sHo##U@IQn+Pf;&mY#bH&-_sa^l54kS=pERJB#CR0MC1Yb<>( z>!(>Ud$>H-gL>rOWnDcC3)2*n4ikLkwtfc>PGvs8KrjPS-?K4uVRD7j>$!@jp$oZ1 zt^p0HI!YzKgw05GL2KqU6O@nvGwEkN{n-PGn-~nn;2ok4ZxyD%ZbKm?H!r({><vMD zDWAD2F#o9z%c;=20SW%mQSn3W)Xs1s_Wb=%t@=X_D2z*;EV~locPK0&T%=BRAp$DQ zV)l5vMEca)AU+D>dkrOre+6CsS>F-bZv~w%=(q(#K)?Cyi`$JDh6IEyR~XtmS~zw3 zn)Pm1gddcS3_J*U#C_$|VaE3_dE$n}0$F3xp1SnLFVB!uDJ9}Mjiy+@w6EDkT?4fb zHGO#{ox!_bG?MmHetA@EIlF$J8J+7MUH^0^<cltaXT;Al)VPIZ!=`He0@*v>@b(X) z)*`IbHuY^6Om5EUO*f53+LN`qd#!>}qMN%B(KP0Ft~pdki!|K3hRKR%*QohnBRT2E z$?UTH%em((iK~)~Pn4|PqwQ0H8o%sCj|D*=yd1bSMhgwpERXE9sSXf4R$L<4no!xg zN;;6u6XRSmpJY^&M@z_<`on=0?EAi!p0`$7YMSC|R#5jRxU@x8A4Y^dXQ=9$p&fTZ zM=*0E?6l}^SSXd4PbHUH@$o!(KfLMe=3%fqaspR)_{eIXpn>>e{MR8Ympsm<Rk_0Y zc@{<JM&c4?7nq+OH%aV-vkIT*F@0~e6}*kJIA4xTnI&>nj0=4}KeM<VM*7jUDG)){ zXD#b8jX2V0cW&8R|K3(})};(-nethA?{E}H6@um7$zT>an3i4Edv}L^!Dju>tkDR2 zvD0*pg!kdVJKX^W`A_fM<zg>Fmv<I&EnfCZ<o?+Bi{MNb_Fet)fucZ)Jk<+6O=H-w zUrxuM{u23qjLZ$a`x9T<`@5y><NLzu@&}6pe}xD5#o!dmtTblY31`-GlF`9`U`bhO zxAil7^Y!VT({)Prug_dQ-6$I+*H@mdmG(QkDtqUi@08`d*)5Cs8Z_D+eLeWa&!9c- zg`HLJ&ShiI&c&g>ZL8OVBaH_h))#T?hh44~yZYFQ^H&k#$BV$qqd^Xp#L#A`1Y}t| zZ-Poy10h2QPSHOP#Vpf77z4kcg`}Vmxn8tfFEpK~Xsd*0JtXc42q&mO8wkC^2|>`; zgaPUVTFM)E_w@vAN<EyypCC%<x9D5w3nf^5lL8?By5}@jkqBLu35TK1wc$z-MudB9 zoEanm;ZhrYe#d=uB`H+tgl%i({JwxWoZ{Hnaea<N7<iCC3$2BBqZ72Db#Th91W{<W zg|r>I*;O<C@KB3p<OYJ`SkrNR8BTF(=%B_Rpbi-w!Yo$M<<t%~mgP!ForY~au|47> zKfmo!LgOYtVD3azczo$%6rn!o#WspX9Zi=q+bHNg`TU*3(SFAabeTAYh5-PzQ)rDW zD1<nK7UBbwA41EuLDOabP2O-9RWiYcmW%xe@#S%lONoH&^4Qge|A8>BIn~D5`baOa zj%=tC;jO19Ns0=jlQsQU50xI5t?fSo)Iuf%ojAzlszDfk1IRbv&~FVLXb%MRE2i01 z<Kj{!Cip$yp_aj`ho8}~3Fxx-09r$#+U*R52=9IeNg#OC5?mm9giOy=Dz$%TWTE90 zcHa<s9TI}{>j(og5Jql~+EjW-0=H)^!5gB-o%PDHJ2{lFw%-Y-pk8-a>mVo#)qn&V zp9%@z0R_BS#_-%3x-23-_^PY#=bk$4Qoimw`Noo)GHzsLl&(A-F#PMuk|8JaxF(e* zh{Ibph0^j|mp(T4yX8|wMYD9l4;#rYZq=LYn*$=TVL^*^S5vMBEI%DczRvLba>^}@ z{=zu-AdcNXTjbukaaRZeTTZver=CS>L5*yYn;8qJ?^X4)#+s>otCQEguF=h!Tdl(8 zr5@sqPeRPs3Nh;A(`czSNS7RtklR&#k%aT4ODF@n*DtOeZReD>e4QkXIU0W9P{E6^ z*e=KQTBv;c>7V>Nd*ErfXC2o=l^j|@@w%232gsT1p2*ZN#076LMs#y`WHf2u&zQ}7 z@=be%^A)7g@ohz}3Yr|(MSx|_dM7SfH^-ye&2wdLi>#c@RQb56rm?ZMT>X?MH`fu> zsgEE;m_RUlFJgFZIkJM&^;v0b*t986@Z>)^CZfZ+tMfBXjtf4<a)Nk{1(LQLl@up? z-90(hN=|lC$+1Ui=N^-u?fUd}15Lf%!uJ<9X3Q<-M36fVCcYq(<fj6w%4>Zd_VI*V zCA~d<H=6k4r1@0)^9*Y37fMMTv8EF1_dzlDkF)DqMv2os{f#;9bu`P!U#E%wTUVvz z25>CT-B;^ca2Nr1tz2zoCOEb&Ra7|<?%GChRMv-kj14aV0i+NK8JY;_ym~?mr4HVX zO~@E)?qFM9hLcb0ThT0AE0Pv-kdvhW>D9r3WWO~}trRNdJs#(_a7|?<9&B5jka8lA zYg;tRTpFoCBy`<NIIOCJx40(6G@)waLOvgtAfd|uprFIEjiNV|?c4eWJ%f8?kLUI& z>d~T|r-oUoiCks9XCi|2Im$9l7!>WE-PBqJ@1*pN=;Y^gZk2o2iV1esN?`>Lb!iI+ zv7vkqj<wL8TcHz&A?L)dMfuI*Sj2bsp*QY<xbSP-O=Lgg=mKBFk@W@VmN(SQjaLTa z^gw(H9gLO=h(X?;9$a|A9Cm+3c0Q3=tC@&uNAPuKlTfXA#On3u8dhJX2EQI-)q9EU zy43!Ymy8tZIm^1lB95>S=N3o=4$E1FU_7U|Y#$+peIvE$GlmnKz^?j!#$h_pZK>zo zoiQuQi3q2*==~sqhLsz3l_+96utTc1&0{%xX5GgqPcZh(x-UGB%A)SQcLuIWd@v3{ zos=Ox7_Bn(GjE5h>}3Gtdcf46(;H?Qje1nKAfHYsrj77kS$`5A^Fv{cq;%ElH-{>v zcbvqeN8u=IV~8L($rzESIm-s_Mc}F+&h5MrnM;L8di<gC9^6hVcfjV2U5l`qyhAOs zNcyBK3L#jM(y7X)S~Xx%e&Wo;Ue@LXKnNM7T%}!CxRpmGLd(08ep5t;UJ>*9CVS^3 zXTV`MPLL0~O1k>kepuJON`Obc8^;<2IM9xx*CGvuh=nQAO%I~18*&`s=!%;Hm~*3! zjW^Z%&yB7yM&Pp9o!jx$Nryc((Y=9u!TQAff#gkrJE)eK0Eh0&d^-9KsMZ(P^)Dvv zD*OEwvn}2!^ft(2nV?<w(aIA9IQi=33EiMq{8n?*;EkI_>ry1MdUJjLK!0f6$nv94 z$xJHlZE;&zf|nBGsnc-!`wkq770FDpjV<fUI?*r>2FUFV&(qL7!|Sb|C)f2IyuXr^ z-_@nOH<f1K#-J52^OQAr88t0$I6e5)v^j4ny>VB@eVwS62h%;{`b^YF;U>MJL&;5% zbVfzYxUFTH7Y`6dO=U&j7oiLz=_>8XOhEeMP|Es&B8*W!z1j9!v5ixbX{h+~wChCk zJlMvW^;(-ejf?b(=uO*6Ma--%yu%-Xp7>~A&K%b7Fp}AtdVaGz&Mb(~K)HKZSt`Bz zGE}oyn)KnOfCpyfljFLNUY_6$#?h6Wn<5_P6fxmQYrKT=9>G;Bmvrb*+~=Mnz$15q zvCXHK*I6r8bL#aAR6LTJ`I_Wl+z7~q>}@Gdam;<Y=r+RDUD@|Dwo`*B<e#0Tcc=L` z`wE4O@Y>W$DY%<q%I6gA3U7)i1Fpy3WY?&zT&|nM3HAc6&*FqCvD~%OI61dES;?z- zcV$H_2f>nGOL}~&*?m9^`+i?Cij4wU)+M8qQ;=l=kyZ!%9);EPaw+d!BZSRASoQ73 z36*ZPiQLDatnY99xUR48+dcr5c`No}UDzESRm!LFa(yI*VbmTMCXJstsK1`<AeDA2 zcYq`-fq#rX$iCZn!bp1Q%OJ4q6#G<OkzG8394cv)LUZ7PT%H=-!yguuEae^q?~nVF zQ6^~JU&rr}l&{Y>d8Cl@FR|(-&K~j12DjW-y?b#Qwm|dA%vv?mgZiHC+`dyddOZ2d zxgU|Rnd;0CY%O5`lR%pYq70f1Ue;gI5Z?hb{X<`<yB3%9^0s@8&yt42tsentS!02G z<U}AzaK0lZBC5ri50_YnYPSN$(4{S!wNbxB`iQS`854n#%Uv6<r5b@k<j2sgCQyjN z6q?@CiQ#+6W6di+F@TU8b{I1w9Lrhx%18#kNx%|AATpmds(T;t1vg~`E%y#OwQpoR zPbdA@_BeRpxGs;(6CA0VsF*EyI^|~eW5^0v#<ZBU_b-RQ#q*dn$Da;-M@|1Odg%C$ zu$0!Grk~ICZxDX;r>){$Y?qL?AAL$>ax_QVD+@^Y5uCN~53#G><G7NGuTd@9e7I20 zwm3EA#3^iB^vjGJHYJ0O`DVT;59J>-8DtD_B+%;D!@F+*;^;|3NIhtJH(zL2O#p&| zGOY1&Uwes250jLV4#P1c&1VMflb8*TYxCDm72bRC;F^G@8Y?GexV1&oHoi;MaL7d{ z{rWwN*3gxPc(tO1q7+W8dW3OSP)te(8^($4YuXIElWp}6SxI8MT1KN@3|*7dVBBs- zkY$XRb+<#AewaDP(+iMQgu6im<qVk}N9i{ie1{u&&uy?E@%wHb{f(7`w7I8J%8COu z%=R^oDDp+iZ<I=i-?f+3H+j;3U&|VoXTElMFg1!gX;Nx1+F**`{NT~?lx*GyX016A ziek<uhV5<J%eawdcr*B{;WE2XbClF>hs>9c%+$<>q9JG19(w44WIOavXVEYJ2;^iq zaI*+(8-WwpgBy%e$F*M^Hl`c3gT+bI6@%fqXLx-q^5lNeW^P|n7)&eY2_n&#ZD!wp zlOOcjo%?4Fvpr^N&`%nef^fqfdS^X$uPY&yJ&N<hn_9`h=MZkPC(meab7Qq~QDCLS zqb-gV*daSUu_nO&uz~MWXZ+l?F$uOMR9UpVb=rAy7XfPTkY`eSmG=<nw(w%$`%!I# zU+#o$O~DdryLq?9$aJfg?Y|x);aAV_?v&iL*Ey$%T73NG$-MXW2gIOLssNAdB#u=J z%dIeqqi?Gt*4+rYF+*>DAim|8rM>cJ@h$eH>M!vwMn#9nn<8Qiqg9VKeKP9vnQ3&U zWF1~J+jjzog9Xo;UkRliHlFv;pjtAXKmLmu39kg6S!^(FgWBNjQ+KMg&mDIIP&AK- zB3dx8=<<Y_y}7rwCw;+i>Wpav|CR&05l-}n1DG1d`9c{F?-|~oq?_zNrj~XZK}=w! z%+%=KM$l8%|1EdE_c;9UOp)|_Y$$b)^n5jz1~wA@3%In1n<C~6iYT)KjK#tAt(h3+ zKszmkB_c}y#&I_NDT!F87OZA9!BJ9pv!Te5mv}2rh!3n00U65rE*x0ZkUzl7M;Gec z7FYkj?!6)0>hR3#V*1IaGLH^11FX*7YQU2V4@Q><uwHMN9CWH=rfHax{btYCiG71= z6-U6QY@0I-ZZa59V;ui+iA2jQkI2JtN)AQ`fm=$Z1}SGi01>I2NC0fg03sxFegv|O z1Vn>?MC-(d5iT~Ex<s7>YPivesg?S=ER8QPJc4mj)-In}1TrOysbywQKl!zu_Rvx8 z+OH2w8Es8i2@`X41G9kn<ls^mUvQ|M7HPEs)gsA<t7<^C^YV3qNk!L#;BDE8Vjs~F z3bCX>d!U$c2S@@OT}vp2=)u$NU3#sZ<YJc^d(yf}jFtVk-L>#<u&Z*Pa2n6uxwn&< zwMr&s(G|@0B~!8}vY8%U4zf#dmdmAwD3Bf`#3Yj7?eK(*#&;bQih7%5@5)2zWmyrE zMtLc33XY}AebuKGCn)Z|>f3{(Ds|`f>BO<dV!3_Car7%ymSoCnLRSc6H)sY;WebNc z5<7&G;m~E@1X2FJ+MO?*z$%*otL%h{Vir)I9&~)ptgbvW=#&6x4~#<=bKe~gErn+@ zgNch^j?E2(t{{+e+Si6NLKqLn(X3p+h_#{VFFL{<+feU2_yaJK78p^M$w5>yGxEpD zdB$LDUH$-uj}BXl>Ne-o!2+w$1%k0ZH+Jg%rX0s+?ca2SYShD91QTLHF|~2;Aqi7X zwb7?4=5vg_X)0lufJI4*N0@_|!LQ-WNEQ%$rZR`I%nU+-Pn93QvF^HalUi`}jMbLp zO)ybd!XfrGyrmSvIAvcOHvol@CeZZ9@>O-(q;51zf<oHHY#zc<D|SrT4`HvBa^8KF zG>)U%z;f5k;aD$D4eCE}ClF^UihJ2W9$rrvkOvtv$io@_I}bk#n3-X}WER!I-#lPe zb3!=*A%m2%-{B{m;6wM-T@o|Rk15&3W@Zt=N!evCKC!*tlQfwam<8~R)*%1(PoSLz zsi#4L_AJQ1-4jGlQc-CJ)qgVNEe>AOIsD0)X)N|vHCd4NyJoM2m-#QRqM?iB-Yy1_ zHt>!U%84qTZPA`pneVbe^1TAtRdKBiw}&vQc+|$RLlRU#_HUw+uA#sM{cm6Ev>b~0 zZi!?00)+Q};y!IYI_o-Aw<DiUc0G#n5a_%Hsq=n*uXg3KARfrY6q*V+S)YEgPCFiw za0ncftrOglZ@Z#{zsB(&Zvy};O!&x~wfS96APRJA3lCFfngTwL24DwtK?}%9jTL19 z*AePr37t>xxaDC5{dP8?gTDdZTEnlGoADCj%T1`XKFm;Y*BZ$J;Ri-}?=4y@cPJy4 z#6$y3o<kM0NGH(7CT4aqhv`5DWHMao>idiieNT+sNEU*!hk+>k?|&WPD+!Gm^7vm@ zgVg@%gj^erMZtY_Cx=-bcmt;fW}0OHF^^f~hr^Emp3W18w&-`}eMm9jI|*31+=ozz zY!6z<L>eO3hn5?WPT%%OPWxMfm-0QL%=Z3cGE@Ij3HY}*zt@N_7_8?Hc=6FSq1sZf zx^v65;^ahtJ4iS4#UGpZsSCm>D_jY*d!&A@;V)=b8YpD9(7!oa^Kan>Ur)%@hedzg zupzH&n%Pj0!^fl5ZEH6I!e&zK^!Q=JEU`~sBeEbaDKE9BogO}AnKUcX3gB3aGkoGl z$q|0JjnKT0ywAzMnLcS%Byhm#f<M)sUKkPn?pt!_>?~|p=*<(q_C8?&-@-fM)-v7Y zU7sSO-m^_SdEGW9{Cy|v>A~-sJIB@%!&LYLzwTmT0q@#7U7=P*>@beS(UsdDKR$~0 z1Y-}uSbs3K;?8j>qKKxSb1jvmt@<q?vg!=K3{j|y0oy)A&9@sAVQ_7yt0tF)^j(2D z$-v(bPcT>LL>*mx_vnmXl7!r=fYi2=X-ycT>)9Y^mB1pYEWoJc+q9;Tp6gj{Xte-` z!kYl2RyC!qC%x~K3>|cEpFlN6Y>dSx=v_c+*NbUQjb7KYwAfby96R3M^Wxm=qtFfG zEcYfK?A8QQ%7TOy8n872i^TGP)ZWf%O+h}_vxlLkYFF&SzUQUJ*GCDDkFh*KSgBq4 z+LrmS!wJ*Jo-yQN@cmNkSbdbW`54O%9HG{|5;d(!wKc)QfG}3Of)47lrfafL5OXl^ zBg9Q9*`t?76Ymm^x@s<d;6P{I!yalqefWFxJo1Kw_z~MhuCv#$QY>Cmmi+TSQQqML z<Zt2O^&M0~Zck{0&AHCDhnn)I67yw8U?B{Kb~=?w9aJTTP%z$_f4;W)T8opX_sy2W zZ{ex05@>{*C0XJQ>#kk;YK<!C%dFl$gE^yW#XrBT?H%5m@$Ek;BOO#4rB7(6??cW; zXJ287yJo|G!M6&GcSe<j1#soGBueH2eE20;yi@>u@3LzxD|P^W1b~0qLB)E^u**TL z9E{zB?y;&dgi*v}G*HJE|2V#STyWF_e}Hy4U%)?i)b?S0-_T7vE%2Q<3Ul!Jxh(#Z zI{j{7sW?i*B$y2%TQ80h8UYt_@5NDa>>+IF+dAier1h2wW>XTcw}r4R%T|e_U|Qhf zC&sWfbng3IcuPf2bWGLeU3mLhb^3&$58^0#sbH^H*L8l)J76{~=bp>PI;zwABBr0q zrig-vA!eTw!?o4v8F_}E6XRmQJ&3{Q#OOoyw-y@q!CvwTM|~T232n1E(T$X~yM(UI zoajT!`dtEIYwt4D0X$V0vv=tom3_zsPWhU%#;gnALLvXGF}=tiBch;Na7nSJZoKbf z1USTN(-s2Nk&+X=Kg!~B&0MX!`0qtmx}e$eFtJ=v#G#!961w9H1TO>#-j4~Edx1u~ zHE%<WcW+~gPKpCkwLWChUoBirsUKLB%R9&jr5k5q;0E&N;05m4a^<?dTsf^tZ#&T> zCFtau)14T<;&xUg)I_a&=@zKUc)FcEw5}51@G1{5>Ry`GWVLWTn~~=KJuiC8ZH_Z{ z<ex%(s00d80~DgsMy-26=liAHtokTwk1-a8Mx@%6Z?Tz;OxMg5#1?lm<ydvyI@|eQ z@jUn1k@@TL>TzDj>mvKv{Tm#*gm*`=kH<GILbPAJHI-`rI%6pWv;PAZX}5=0U&#Gh ze~WsqjFW8#Q=J;eT7L_zGtO`~a3iJT@L{8+h%e?cpV@*chMx~9)n2vl8WWgU&G}8C z#{5ppZ%ZK|cGsBOv#-+dgsl2oY#w9Ie+9oxT#M%+c}y^P|IRm$%g`QWkW+YPDTJ}H zkZPx_yT%YykkuCy3M)C;d<((vePi7p1|BNiN9&Z@f**sdljt0EO8(T}qVU&%pFfT< z$nCxXw;z~GT}IzY$`P5rmo$qDH4zd1b}K24kf0>Y2K)PUYwu`dDXv89*HbbMS5h+G z*`WOB_x_h3`x6y`y0l;Z_)o}O<eeRTcNl+=Oiph8F6!~A^=k>#+M~O5fea0;F-sV4 zNKZ~bN-ueBYEh|eWglk0CC)eV;Afcm>&zubD?Q0(lD00wx*YO7sgK$JyL-~(XMd<( z9d}())3(nv5IH!h*_dEg5DqK-{?JTC-@q-Gd?Y@3`mW-|n_uppN+pd;5&@2_QcX+r zFbjOy!qCxN-+Q&}gU%aYpIw?Y%|7v;c$Z%8?CM_m_}TZ*AIKSXw)-B=u8T9a4^KI; zCcEtW28N2tzF#LEf0+1Elb7BVJW=Xibef?n`9(!_m8VaI>Cy7Vy(6_csh{aE0iCsB z$Ja3R&edV3Di}@Yn!H03Ohjx|9#a5gpED<|$lvxC)G}L9(D$cmGvnI9tqaeYA}Qy_ zGCqF0@d+08Iry26_0jacgQ&~W#H$a7h82Eq^YrkZ{%hXGcn!QCj~4<jWZ~zMzDrI# zw87ImjZw%=g_v>sC+nd#6)Q~FO3A4*W?Vnh*3r%8$kw3Ro%_>O_pC>X+xGvC?xPE& z^=74sEH}8|_z>Fhg+~$lJco%MG~-;8sVTs#;HddXwJl;;wp>!3l6`HCV@eizud%o{ ze1^<)sEKF2u`a)y3}b&Z>@dyhKK?BA;I`H4leBjQpJ~Uxj!<vZm9_+jn%jjPKqkY( zXq6ovM<iV{va@G_Oh#)33nLrWhwZaqVH)F(EdfYeXhCnB06B50yf@mvPXB|#hjtWF zmH+9kMY<@46COK7JMMTtVxM4T7q-kf8GZ=BX+|W$;db^V09*$Er!i67C5$}MA8~B) zL*kk$d*gV>i5URgFU5=l13kYrqG_*fqo<{boqz_E2y<hs>e37<lCo0x3ngOcEbaRE zU$<l;oFkNW2dT4U9|%%O-rytZ57c$}AdjjB9b1mUoNwY;rTlqF_we+Ujv0?=8WFyq z?v{nR9bHj*{PIhVMtMu-VK4wNMD2zt0tG$S2xfx0omL6gWZXkWEF}@ZH8Ctg<gO1_ z8Tz}7my#7XOpuxT<*>dZQ@otkzppa&k4t{}t;y>B!<Bq+(ccZTO*^iy$5@qthrku& zq=^^|z;zVh+UOFI)Cjlh+uXZR5$~5#?KHKJ{HO>)0?-ivR25m#%^aDjR0(6R>5)Gb z(;~@^6eIAT4yAh8q81y>8(5+kt9nzZH{@ztGUHt$loUp&-AYPm$0-KjVcCGHNS~;? z)ri)0gt8PId2}7nK!(h;R?2my-~-H_ZdD$YCpOaT@&?vN*8hjCs<mAoQ*%qEi%Z1* zHA}m&WWc8dTII#tfb9r7`}>@e(IdgaeQf4<3~i+zDSWIL@nL-!YU}S}{t7U@M2{4Z z=6IC!RJ4C}_$#BzV@DS;Fm=j2z$P6`T?R}Y4W>>3Q!fWoCz#mvErRQ*@|-*PG_oSV zF_X3mkkSl~Ijqnl1&=vWgprx`mH&`T`oBm{Kd=*MfWYy{oy;1ndh_uBdH=Avh0a=< zC^P{iexI7px3EQQ_GG~IAEto&s(||wjxD-mrm4K%xFmpRQEzk~kkAZLyR-`Z(iZ1Z zL-vO{=+C?s)sqKy$fMJ85A`E1crF#KvYlN7GmojA2p!iXL5NlvwVDeUb*KrTrT{%E z><!QL<MFY;vs(D^_!{Ere<=Y$ZF_yWC~U-~TKmOuNg>c9c!a--jh*^^4tVZ!TIG4u z2<9m(JCS~lNrDUmwiTh?3p@5(tF^^zoCU_f2rm@t$Kwsh%jx=MY&SwjJa0;S-^a7q z_Wa{Ip0NsX9?bo4$JeGokddKkpp}}BSk{7r!icPT3|PuAbrw<o5!7U3Ck^QOEB`fL z8$8vQRr$q8m`F1qr#4c|8tD2}=?S3g22w!Rk4x%l$5F@#e}t`_x`rfCgO35*(n-x% zSKgw7g%Rbf0FLJBPhndd!wWQklB13-l1Ln7#IfBK*;zH@*cuBc>C(`C8L8SNwDJn7 zmncPKSpaew{a<o<)P%I7!2xUZiB|dBOKLua;ubOeG4{2c5}3LUFsVf_@U}w7?kh;0 z#BoOoH&QHd44`KK%$uL|<E3U7()IMT>bCPc71dbeBPdbuA(*ovprS_v^I>C)&a4zs z?iVArmF95EqTD~o0x*UNc|-w7hLD*uihJXxfCVY+jc%+<%)NVDV!L>`XgEK2)~2Vf zeVf|tsGizQaGcsL2Ydu4z+dnYoCJTtM{o-KMFeR)wZ#7+?{Vkp<wlU1-d2BUO8t2B zlvT@*N5K?N-}cWieQ~C}cU)q>GXhV?P}}~m1}W_hQ@e?k09=7ZG69;o3^Y^!KG21i z>+y<uK-M{dtWyJBI<4qU9U&`LS|Bq!Dq(%oX6b8J#j|2EU;;JW|E=pz^;m&986xZ= z2RtPWm?g6aW;oJLYn)>;?mRF{(}3&uA7KF3UjnXg0j`_jsV0DABJr$Ofn=KC>EHar zbff;CWa?V}=?NP-px)TN8{t1?Y^NRof#)KDtUm-aecht7DM6IW1*-mQ?=~4bSlp$9 zJi-n;wlE-Z*dfPub7UuW#Id#OFCy6Kdf4-t_P{X}{ZzwDGTVs%+I9^LiCG=CFM)*t zM`@Q1)52`XqmyCw%j@!}oJ@6me8p~{mA}SwQ!ZJAztC104E<ysT~Yx<Wqup>aBg1b zhg`WU_3+lnpZAh|WJ5egx=@dlK2(h8T^+7+^m7@P2PTmRn1n=FpXKrww<%vl8E}<P z*FzzdFuVBmTj>=rbjCV5y%P2{`gcG=)}z;X?bqcL9M@$3e$fjH&`yUN+x3N(0V{6= ztb9ITiWV?Xu?$ri=Q-gD7bS@p4O2UIo%MJ>M4nzs40$i3qBp#Q%!B~Gt(csMDCvz} z%G6gYIQ#d08q0?hHPOdQHO_?e&px(_O)camK+HKPQ9}f%&}pQ6Y?GN6w!I7pJ-J0J zafq}zY={>;^<PuCiKn9R=aIjIXN~gbQMiq#*K*8Y2@>sGA4X;WvqZIJEvg_dgo%S~ zC7-A_d@EY$vSCCaCt%*VXqA_-AWbY4A9ielsfz&>DgY`p?$~`9siO~6NR6ydDERc+ z^XLIE8ZL)YzYHwEuA_&v<4ecX8{YLTN7hnAstHDHcM>(9H>ss_R+32nX8$%hv|2A^ zm%MkVgQx29<JqZ(iNHW?@C?Qd>y<xs){IAz{opeH^gGlV*k2HC7y{&ysW(WZ7P=}a zqR=}=Y<nrKGR82%;J3bEQLip}ZxSd?5}9eTs5iBboH$v~OQ<I6PnH1WrYrSg!~RPQ zf9)_8V+BBbfCuq`J%|r7tn6q&e2@y_12l*a5O6zo$S3x}QS#;lY18{Au#)~fUUz_% z^yl%ujhD;akpEf+9Z7+_fJ4RePpBBv|4U^m8LQedsW)~|5&LiuaEt>-whtUxAvIrZ zeoH47N~F&M(hVIe?gG1|p};!;a}i{VXT1pGx?&i+xr_Ywtj@JzRBHMMpD&7aEvijs zc1Rsgc*+paK7Fvu#|rH7flS8z1T(%BVL&JY>>$F_aey6VFd7^P65ql^<^~-pS!8|g zpIb%m%ltT?KO5Hh#XgA}AmcLtG4u8CB=X+1QoURmf1d3$m{#++V|x&?GZdIBM%M#t zvC94hfw4n<V`PizSd6|2vfXd2qp6Y{&GSU>UB0K;ikI<S%SLu`zFuq=*{`u!FJ%U> zPZLiCV!Fj-n8>4HM+y=tc2b2ISbDa89ah=D@?@R5*#z0mRgv(vf=qC$(0dCb`}s+E z8~U>xcNC?)L@a<Qzkwk&09^!;N1-LX;qQUhEbWa0{8P#6jgA6%HI((+SFVRPl*8uj z$6~M+$ae9HgsFUT^vfrD$JtsHzSpWQSI@3wj~BP`Aj#Gj#19-@FzVlIeR_uVgZ*5V zualX0O7#>LhKe(`SBEJN{}iUH<3(IC>KYa=2aLyP`2mLBW7^q9NXp$Y(QG#45&b=d z0oDzhKim?}+X0ptD<Ha1N0KSS#a&on?1vm%tpD31g(5qlgO07YltM5$g{lez#$aq& zKgHM7X<4NrfxGyBzPbYY?h7gJN7X)i?UU=fa-(ect2mN83no1PoO)?*I0zJjK&W8r z=TbsN)?a;v87R(Ozh3kAY~SIm5wgW#EN1orvfX{G<0Ow9eNh|#NWQN9KedmRQex$e zuquug=xC5OtPd|qBZKuPiU$Odbbyqp?|}}K_7YCX`q%O>1CFWd*Xb(zo#NK1aTPEJ z!n!c761L1dCfZc~_Vs{DnQE-oz2Tm)ehH*1AZ0HPGB`9}FPB6H2|;fvkeHuoFnb^| ztwBm5uvoJd@7w+wxs|Z_vh`cZ<*;vW*U=hg$kw|<#kqmdpGoWdusCqK8k>~=8u1<; zR_VW1tAp3LxGry34bvK5lSjXY*{6eWFbbGxAXz_wK?Ro7E&~|UN*Fq39X)4(Y(4vr zzeyFolSTjdyE$6i21AlJ$BVmEye*B>HQ0d^H^8*S0JJ)o{Tzr4IJ^Z@@8SCZYk|x- zRjyM5nOU?S(*`m_5wFmj6e90EPZTYA;xF?!`?(8xxTmJw8mUSdDeetL215sn2W}zh zpddIvBXyudj+6&TF{la1w~+pVTNV9IxOHkw1#D4$OdI?{IWs0oF}6Mw`CZ`)&;N(t zEZ0NFp5d<%Fyy15V%;03nHs15JhC_OLcsFM-NDO6`DbiL{m$`|M9x_#Y{&uf-2mCv z+d^_`t|^zdg}>Yl;6rj&hYhc!b4!lrpU4^D;{TD*9)$Mhc-Vs9nw$+@gY^F!BS6Bh zz{_d*Yj^?@Mz7z}sepZx9_vVafNXW{C=TnF8&l!8cxL{?w;7Pog6wx_i5Fb)U)xQB z(ZE2YW`)$T9(AOMf=CTymp-@r1;x!)eDC>Fm6~z+==ihRmcf>**4bE|z)lxY@lqAO zzwhf?PNl(tN%bfDAztZ`)&c40kaXBl$8IsC4i-2C2vQ7dMq1f<52P57E^D3I9LQYS zy0CdA43o6ZreSJ5y%L(I8k>?<ly;mw08%Xr{Qnb{${L;Qxg&5)gO0Z!BRlti|Cjl; zbyPI$_%0KXVraTT((<QLGvlh$^Jjf$#<g3%&USDT`(Z4hZe!$~;moV)bza`-d!f5- zS*qDve?B!yT7G*GEdaYW>A2~+X_94p?`>X}1@->ngFLDgP>M9qD~W<QQ+Oi8vipZ{ z<YY3NlWjwJTO*!i1{-0+U*7aWw8AVdZ~lB49oCE|u7zLb-@!$T%)RiUoTC>{<XHc) zQ+#1FJy5TerSS5myk)DP{pC#s>sG3T%lz^-t*q>q`4x;?={*_!uYNZ@cW_Vt8L`cQ z{vFuB+-3NIi}-(7`U<Exx}IUAKyh~~?(R~cxEFVKFYeC5;!@n96pFiBVR3hNFU8#o zeDl2j&pFI8m(1oSH_2osa;FRt5&kjnB>G{+x3cYIAYkzt?p&O;zxcD@tg+2}g`jzX z)L&R(f7&F3VBHf^?*#W^p%GH<L>6Mj6VmR0>L3B={A?c(Xz&!4O`|}DY4XeFnV^6) z1%8uoki=%Xb3X!Bye{<)NK<@6q8l@`537Rav{o9zN)7;4fdUrg_)WS%5>|5jX3;9y zkw6CdlU4yDzVZ39<_wafgO#GEieEWrbUa?^@pE7@gLZft0i`LJyrIYjt^);2`3y*s z`7DhzZw(TI-NY#+<o!UzVNh@gjb#IwCQ;(jH7gJ!h2Q5?Euje<wfqsQlt5{kK1F?6 zrwXy^rQ8WlpeCsYSad>7(x3&g8qLeqPN^gO0BX-xb&qpK<BG0Ms~uxR^s7v%okGAu z^EQSYmVI~bcSRgxPIiW<6`qs5{tq_lKUh`(mJ-pA8GwaBL>NzZ9u`KF8BcW{Cqbke z$NPJJ6NBL(J%fwo$xmULzK3<sPivZAgoXBK0HKhfCUMb$aDb_EoK;HwdSEG*V}Bq} zpVk^ftVS426S}bOR{+L;#zK4207htbG7Pblml@_i?|1+Am84jnkCG58QbyB+1e((Z zB?z=W4N1Ke1T#B)RdOBMW_${W?ykMAt>fBB&-5<zeUW+4(<rcF(c92<S8c#ct?iRT z?8w1Tc3k`c!{g>3lGRiyHt|0QMwJFUAk!)ah$u_BaZmir6zDWj2lujBR2Y=doWE-I zpouChA2fqLPs$$MudwX|!(yQc(C<V_V8shC?|`VpHzrPHKk^p+IRdC=G)*@LsAe_I z$HqeAXV^(p2PBT^=UVKKm!E$?Yyczge*DMBJlsiB=@~aH-WVUmY-=%y6(YT9gW&gC zU4B{q-B8d3jse69jdgy*I`}{06|g*8nN8D~u<n<cP4jWFcpK;t!Tz6iB2xjequBv1 zh;P6?BllY27*d&n(P>WWwIF0C)Ta$b5UWK%>QS-cm+5yhl(3X_Sm!_g%>VBzS+G2p zfyp{z@fMYW0-6>0x3Lkyr-{z}%81#7AeE6w<3Rhl3<Q9w?`!3~O+}rOuiQeO?yRZ_ zi1W9RD?9b}QSI6gw0yZ`%LHe;^eMQ%E|LWzU^pUY*#awWOq+h_kik=Y8%Xzm0=nBO zM*94&+dOKix2w#GZRxOhiRJn91ww{Ted?wFf##zjag~5zF42&<DM1jm!`nM<vHv>3 zFI|MYW%S+&A!aKB1f|oQy2(MH@o7k|foyPIS$?ZB5O&%}kB3L8kGx?gW{5(}-)qOj z0pU!no$?2SGue6A01(b3=Wz!>II#fX6#Ea*56hE)&NQ76>)u-%A~Huq!mb3NP^Tf` zkb-br$nu-kt2_k1h}RMt(8(?DI3ji^rqm97KzxZ!ckWRHSd`}6&x$y7n&J#WD?F!o z0GV*L1_H`@1`wnJAzK5ut_jE{pmMr^Yyv8$T58ch1>^(*IUo6f$%F=TsVDVY|C)^6 z6$qQ|og6E_`G#7uy%rh0>+{*ZXqLTQwI6Ptc_?*}+dDa!>?pkJ*Zj_$bL?8nr>_&^ zK)W&iTdwj;E=Juf4@7#{ee31>>Vcg8c-4aC_4s9<wMFur;~g&QWgMf;wspfTm+_oa zhOukN0rBM&$hn8Uke{LWCYv7Xtq$R!qRwHz3}1h>aj>hr*qELl_GA0kWfWAg+9>ko z?k8g?v*l@ZfNtk#%js3OXDjkNv2}LGSFUsriPd0?5#C3l+d4jh|0p-tPSkpD)_fqa zlIC?c;4q_RXzR1ZG>Ed>)+m&k<|PF|8w$*KgvK>6I~>FE%AjyfN2YverFG3ep+s+; zc?B0Rp4WH2d`;3^HV?x&bJK@FyU~zv%0Mt1XdEIa5$QS~T+ajDTROAZto8G5Y7aI> z$>%q&7mxJv<`%94y>)S{HW`uAg`Qxv^)|o$2b1mS<K-r$;8A?=T1f!*Yh!8yreO?0 zLw98<2vzW>b_FL$Ii-bCJ}fi3=Ce?uFEiuLRfYi8QU)TDFVAn%0+R5QTdw4$A!(3+ zAm;C1$asydrP%wN_ocOP1gUt9>8IQKIBAcq<=LC$LUusR2KR@^1_04-B5T*34rAGO zFaM7V7Yu`@R@Hu&j4a<GK%|=!cRm0Uehib+My^|S4u39*Ubij=Y8?`zk2wt?WOKmn zOc?^L4&3+RK~%H~{8ryV*qf7E3Fm1KZx;<LQ+~_WTKnjKoqX)wSf)<we8vLVkif<D z&Y8Jv&cz2TlTE^+Yu9)O(P@9>$Mj1Hz;JC$PpN5q0Ohc5|4~kY+t!Cq=_NqrnlMNC zAV6~+oUF!Sm?pct7Yf{Rlbm~e0F%P96Inw|a#IY#o;>)EaG@l7pSQj=FX8_Pe_>y` z{#Tvm#k<ra7~UtP+iEb1-#U9;y{~7K=;*U`^gq-c<pf~+HYPZL>4p$AzW*`Z0lTd) z^8M>BE6~u|<?y%s`cdsLtn#aNVFoK-@vPta_u9-OIsR<~MDRbrt_EPmuK<tw2{#W# z9S6DpYF5(lg&lmb-IDJLIu5EvnmP+A4&s7TanSZGb~t(YdeH#}D>{W^TIp~8G5DYS zCF7V&udSy-<j4GgTYH1icyllkYn{$Mnu6qcy~aM-nB+N^#y<3e8&e%8pG1U)Z-M~U zeJ0aRun!hmCfiOV9AH)HcR*54FEbn0=Ld_;JAG*nZcdKGo-LgVZE5(PO`Z9!3d_nn z&N6tcqK901!0LAaEKqGe*qFp+SpaBP;6USZ(~f3jxd7I_`pA=yv9*8OV64;`{Dsns zklr-`n)2b8?K(KHjadJXb?N7<pFwKvRQ9YK%x0qVurs0zO0x4f?D2O*30D?2V9|dA zOunr>m>B*~`n3-9jOIVD9=10to0fd3XTK@%{|sPqKU^i%B=%$qq9O*P2hQEK#jwuJ z{%R`oGIZ<8ob<d<_H37L(5Em3AN(%sel=|EFSVvQzf2o8l#X6E2MsHY0Y-yp3TeQ- zjU{z+?=&B2**etyd+-(D3eoLE)&Z^%)edN8>hN6CtKsG8tJ_0)(?QuFP;mAuO991C z%MDG!&Dy^;msgSlEzIr6t$cN$z@S&CWffk2*;MTO&E2u73cw&k>7`5Snovynpi6lj zJOIexv%+#wJ}4k?geQHnsu^%W+JH3xJT*dAL(ZMoe_el_@MEqY%F7LzHF9<P4<;X- z8|=HyNDdDskF=U%+S)?BoIqVHrr?#fm$@cPUa5Vw3qZx{hd<Lsty{+r!!{qCOCL!u zGnd_xUC1q4mw;fcWcl|E5oHw9oyWNm=@cinD$YG!1ec6kHP3ca{*oxa+1|&ZxBO5B zdO>@i`x|L?@TZMA9PuuwA`1Dx{aOLgDBWcalio+JAE+n!olb4MS38z(nECgxmSwQY zP@e*RjtH2V#90MGfj~`CXAI$Zq0Xu03#w^f8)*L5Rc?n*UNO0oyiQ2&gmb16+c&xX z^sn6W%CLI-z%U-mi{|uF{rpYCIm({WtCi6;{X**eDttJgI#PJMUPCcm2<!e)6(S-k z%fH<Wl5mpa-z@=|JIV6z_`Vr=w8SVp`Dre&vX)Qoto?^z&j3Kc*LDL3(B=;y_#BC4 ztgyUOvHn`NjRhOX;sSo7^g5<<O)z$Sl?s0m#%R;EIch)V^m<YQ?i>P$FFAm10G;z~ zR5%Y~0CJh;JpKuh4&|T6xzT3>o1?*h1?7hSDh0tEZAr&Fv}2Y784I50{-&B8j~wYM zlc*WwfNwA471YjaQXRQ|%SP$t!?wf<oLK?(FJc&WbE3G*4fZe%P{M4i`!<T5;1fUq zXm=tju;QPLAc$KB7n)uj8E+@+|FLaO<A|MSX_m|>L{T+1^Yvg7U$ZG@q;1^ODd9hl zf9*dB_<Wr<ObUp!C208bCQjQFF(o<yEAE`-576fb`DN=)5bU5FzeOd8Y7lTC8bR37 z|HD9#_FEg^ko);l;2)CvLn0FibMySgp(o}CllwAzzseSY1+pX|e_%B>rrsuuf|{aR z7CgA?nef-O-YxVc%n^k0&wQ)Ollhv7+xJ$gYj$iLU5R~Lcox05dAW<%IOAvrfB%#R zBerut0MCZsPG+rV6$~$Y2v;=h?Ehhl_}qWHeKzh;y*Q+MZmxe_InVt`yOdK{_mCXt z80YBd|F1U7a>nd&&cQDOM<Qq;z`VuE2dEa#3i{*<o<RR*lf1Us($!SgR(OEO`?(WS z2{l1@@mFzgj`^Vio;!iPL<6PYu_+v?e|=qd|6sYic1B;ssim6LbMX<&ANJR$e>1G) zy4JTk-#GF;@Q`)v>k`pf@UG%LHZmCSxD+~%I$Bb)+x3Ev3A4%V9c*l!_BW0?0tb!@ zmB0BLZpEirrFC5<_`QDa)lyb5Gb{A+K7o5WJ#^ybW&gY5<TWU=&{4Q|g$48>=_5E^ zCp|k0$Rf^X<Y?{@1Of}JKwx1A2rO{b_rQ0u98}DStjzaNmyMS3p-ZV_CmTOncfFaW z^KKaV$>^IK=F4j@ZO*n##9Ciy82<KhyNKUBYy~sqs(Q5CESg${@VI3%u4ybeJVV`d zwk+{zlJy<{;hH};=;YV8DG5Bh9d{m8Bz|wkx6ebGolVtMeI(g`@4Kt2SR6~<NP^x> z5j2jI|B?qVe}0y{(-mq+@noQrI(L=_>Jz2TU8MI#i~$`yy+If1UmVJJl8;#(Z#(MX z`<K1SxMAJ5$3p6OM(Y10-1R1(Zg*j0_0l)-R8lT-eRBkNdg+1d^v!{Di3wfdsN!0) zH`nW(SeM_Rl+jE^-_Xr3f0Hy<%Kvw{Bq_SWt>Z#*M_r#3Vuyk6TmJ3yOK$5o`{IS> zW*!kc_={2YuIGXCyr!vS)UN!~T;4Jspq8PQh?jTi^X&Zc_%(jV&GOMmS~sad;IjII zPyJ`oFhTjs8j(gAQjz+?u?YMQBDW<}zs7HF0dvWz+3v+Jye&)FX`8wcN^G8YT?|Uu z0bJR}r@oHuugCY-b^1pCj=J7dGu^q{JkDq(Qj@B>tLh59WM22;?!G#|4g{^7<l_uw zgj{wUb<j$zl)4kVcXvDwW)?orJZo|3kMs`BP02Xc7WNIEBZ+`$JU`Bx{t}yjqE|`S z0NxI06hQj{*}$1%RQE{SR{3Js_iMPGD;D5(8nln|I|X8fXo&NBwPFE4O7gbd1HuN` zY+?gYeoZ-@kqi_Z+~`+8$o|WjEeWdqx-DP;)b{X%`1(_*<j(<B2{hA**Z?fubVkjG ztji`t(|i`s@K>y(Gweq+W2E^5KcH9~qjc)%e+3MQ<^U+`bjHPpEa!o0=N_#N$d{<G zdqfzp0*pr+FxziHb;y?j=#CFWoDTxo(&NArr+)}7v-f1V^Du7*#55}W9#I@D1>>R1 ztdc-;KR_wc?3a{r2ILIxKUJqX!2w3Zx3Rb?A#)K(VYi>I%4jw&MlO}f*2^<nannR- z^7J|@Su!>Xn3hQPd|nD%;`!*QF<4gd`$g3EbqvYIHehf(^Y6H(o}S?N`%+6|Q*rt! z$;RWsLeScY@kn7p7M!2Dhr{osw+o|ppk0HY$BAPa)Wq#lU$%Z$RRg;B#PMCw{ilCB zaAcj&zaO5h7i8vJZjaTM)-PqZ?nOM#4tqvFP82M?dDH~4_TM=vf8A_pDk}8y6L7w? zH*82XFi|)HDv0jC`n|$Z6nr($pNuSgvghFj>RxBpXBN!{|2A)yzzJ(ErA=Z)blnHt zJum5*$SCA*-o+&}@ie!H&d!@z!S~rqXGcu8)T!BSdY^6GKI6-~cV*_^ozK}E%9kv5 zKIv5#M<#t;<Vhu%nNtBLeMaTUJ*oJNa@YE7xAeUi?QCP(?1$1q4K6|^b7sLw&7qUI zW@09Mb|i6)r_wFC^sN)U%QJ3NHrybSA@(T>Tb(){T8HJ%bs4kp{V>G3h8gBQs@UU6 ze!nCJzimn`DLXS}SOP6d*sNpNTm;hx60hBkhill`B|ypnyiL-CAkKYo7z7_<d3t|^ zur^Sia=fG(NN~PJ9XFGGtF*Uqu($IWN0H#698bKJUz#<FqQ6qE!T?X%84RJg0;9p& zR1j;+xX9qbCmAMuOwP7J0m{S0$Vi_SX-P<mc00b@2Bz)T)#d5&YVG!WGqQH8IUbFB zj_fBLSuYv&ienQ-eD;Q$8vAg`oH8I4s98%E(ndsKb^T@uV7X}$H|Q?I-E{#nh^C75 zD4^5r!$AfBoJ*CK?D(A?1tNkSC?gfpt4D!$VjrGi=~9J}5Z5H`&%1zxxNN{gZJ_|< zGF8>MJBGPOXeg!1SB{G6Z!~8**6bgO9w_aME@z!<y1&istXH(Hn0lB1MqXyTvAk0* zHLo+1?dAqlerfPWyT<e4hfd+0E%~$^t-XJ4zOa@|rmmran?Tx4&0k!*f2nOo66O*w za}#$t^z=J>UyC&v(>ZcI4Cz}vpQoyy{<PE_3YM3*Hb2X>>Nw{$55_mQZ%#1m%vNkv zM9UlG4?VkcH}X@Y<6^r=ac~IXCf6$PIz??nyF6-eu=?TbU1ge$Yshjy3*lhb8*^YH z;9$F{a3F#$%%6|@o;ot$gt|m?^-E=ty2g%b4#|XWxSLus2OacoR8N+b)5+Faa?PJi z+ji%E|L{CNA*;dMsl8aJ7FBhZX<QK1U2-~{SBlp??_xb3Y_ylXD_^R@^0Z&Fw>mg! zWBqkH(RT7T-{vrEspBnY#da)v!Q+m!OFzr!{mx*f!s1}8Kx;nIS;vS9VyPSVF`<}; z(%)(!@}rIc6{W?@Nt=|NrsU9ERUEVb=OyL5%8SyV`tGlW_EB)<ZW%R#=|1H*85M&0 z0_Dg+bS;sl<|vK3q?r3Ba&2a2l=s6{i1q$ea@?H<P4jP76L5lYjnOyv9jp_ej$Uh@ zl^pQp<AwuDVuVeihb3sEgm)d2h7@spARib|S=W9#&*>)MxAhUv+6p%V&QJ_!;)4`~ z0(ehRrw8F6m*Y3>Qn{2F4<OA({bpa~4_=LMC@R~|@ThSInxQxg9_iXkfYFVQH~CH@ zXq=GechbZRosj1DfTFH$e48FG&t8MY<!SRK)PPN(zK56>MF1$;d<Aly&H6y(%*$$K z>nC{J3YSYacMcp+LJTVD*f4ketSb5DfDN|8@3kxe@{pIOj|_?7Pk|KpGGPaM@2tK| zytU=&tKqpSkFzuXOZht!yVlHw&#NzW-Hslqm~0KEX6{>y5x3|)Oh#p7wAoqfo^y_# z@zc!d7Dtcc_2aRkR1+ZkW2TMlDRf7BaxU(MPYndJnwIA6K+4(SQO)v|O~A8n$lT^5 z9mz1tF?w<K=xb)@&-$_jMTCqS`McBdug|ZexyB`(7alA8{;$3sI~9siY}}TI#iLIK z-D<H@>CsUFzcN5`;+Ufs*O{}H^+v@cB1<V_s!Bd2b173UN^m*T8pBdbQtJyE<G_aX zt-t8G0=jD$zzA8cPg3e+uSS|FUxSq3Pyi?~B`K6yjd2qt8WhzO<6XL9qwYTTGy3$M ztZQ!xb*tEEjh>H6;j!}?{rXwagvDi`nP?>W*qM|*9i_I|xs)MqrKfdOPVx``GBQ;( zG@dz!?HBvDo;(_}jT$Bw?r0jw=@Hr-G!%Q_dw)tX)fsW1oq#A)l%l+N4%iLVS?9P9 zI5gF*kSfa}y|`1^b<5Jm^bS7FRdp9LfLnMDI91iju(=PojMSm`xN$kP)G^Juak=!= z5sOlUef&#FQr?EsOGq{W(vg&Wn3qzfIF;a-HAl}Iqy>g=aTQX+V6>PJGONw%Tvdh{ z2P7*s6vxE}sK>o0{?g9YtQy?v-E})W!}#dGjq%7>SlOaWFy@C5WFBV<I{M1Z9RgF7 zS7$BaIpC017cu9tT<t6oAGBvQ6Sv}AFDvh6mlmwQ-hlsR-Q3Q^`0!aP8I&UOFVZY% zXFZpk|KC+Yv}<b-LwY{<fs3}fh^Rf|c3z42xjo}<FZo%DR=~>~+iX&5%Kg~*gZkZN z11^^_(EbBvp>2Gs)5|o#q_7`7h#AG1;8NZ+c&rCVHU0vm8i#QyB>Y7e86R_J$V6uu z52*4bom+kFe#=ojQhDV)P8^>--ytrRFfQ9XxtQKWx70Z_t=q&U%3G2A19XqD|A=Mv z+iIq^pBbp3Z4)yT!73d}09+!#$!LKi0xB^v`QV7$Bnl9h9Enm}X;r}AKK#Az68qc@ zbfUoxfj7Xj8Kw!5Ho&nNZvkG?n#{HKYlaA-OgRK;l#a27_@V$sx)>qwC;-@}5NQ+u z3@U_nz0N)<<&X6cE!yvob*$(>xvFu2$ioMs%LXxtb0qFvx{$$~z$)MLBE{^i*@-Oo zYIoUmG6W#^1juf9@gS`KnvUt`LHPb2p1YE+p_vBGZt|_Fo-D6^)AXwH{qsg#{x{F< z{E=QjrEPpPcv!L{Z+9d@ui~=Im<3DK0{)AbG@M3?9DJ%OVXCgi;TP%5qZPzI0pM6- z>#cwWvWJIUzd>TU$@tjTsDJ}b$flay$lo;Avf2&<yHmz=c%iZ47}A5;KKz5(p`!!N zo_kk9GyS&M+9nM(n2uitj0W`SL|dr}VK)b}18uIyI}UBPE+q!={D!O&IgII;Xle4k zT!Ol+xXLLCaeD`|+sj+T2|-=;6{`l0PcdOd0XpGS|8TcRgZ94NDM*!HCW-Ng;Fb2$ z!4x~l6p$?<%?}BN!0WJ0V-SBJGBr<9`}?m<3|9oZbWl8mND;p@h7jiN66jPkj$;$7 z3xmp`Ux0at!%*De23OAhwSedw;{3K_NP7mG3Oe$KzvfwDu$@#Yg5pTr5I-q-;!Da9 z#?E)OJ!5JtA~Q`)X`Lr5KSYm*ZqOgCrvi;x(uP(8MrMX|B89Qy-g?(#GoQBGOVhU7 zx*rDc%Nnc_4y@^z%_;Kj7tLu3;f_BP`93+cblZI&u6DwL2UoFN1g#3ed+}-u3NeLs zlvRM=jEr~eto7ZvENRfR4n;lxgd<g4Z%NG;hM~e}F6&f^nR{Ro`D=h!H(A8d^Kl9j zc%%qS95Ewwv_Y7Q_}lq5#!TX?i_t3P>X<|htm63k(bIj4l(%(NACC*Jes}$5R5Upf z)B9-)S8^E<;4)4UOD{f}gsg3^$ig1R0C%{12Xn*T*5j|pSPO-X%-lbR&mS}0H#P%_ zWo8c#`=_Uu1`8*R@CT;I%`IfcrLE^rih+qce_-K586si~z6H6=ZFEzOVw(B45C)Rn zbi31?VG}+XR!^6GQ#u(DPiLHM<alxaNmbAp$_ypRVPw1ri7m-NB$_r!8~<by7@OpY ze?&F-+nK<r-I=p<-P_ojQHgU|4>#+3Rbl)7&<g*Zcpt6S*}LN1*Ru^^&j5{`STUYS zr1|X}F~c1EQZP7<*j74#nN05|bQ((4`yi7@xS)<5Y`CR_Uyy4K3_?DNBY~$P$?1{o z4pTgL_hF=wB7nIk2^<Ds?pF=&BMIk+sDg?dgpurZD2R4h<8k8Me!7HGg(Z`;J*H8i z|Kh)P$tr@f=0|c#EBbh}?9IJcFYaz2IF$tPBC$2t9^R&uVP$pM-{F)I=_ZG$1V4b6 zKl3~W-)#)|N((!f^bbi(!}yQNMotk#)sA%6@uaOu*`)Du{iqGcjH`+za4_#5@RSzz zpNvdkBS5STOTrK$jAEZ71NDMElOCt+W9i3DB+Uh>;O7WjuHdQ2N;r4Tk*J`hxv<PQ zsW53*!kp_Mtm07vlF~S|>_0g2FvDD8TM5P@!5p!V-np3a&jf{_1Wft+(=XmSw)j`{ zH|C&v5o!88;N!D2{T%QiEbY&10jd|0rf&ew1pP2Xv{t12<*x_|Z{soJJYoq9Ec*v| zq=gNv`-f1aVb(3E`&pzZ*P9VAO_~g;ot?p$;T^Fy>*oF2B2=to?BW+q0Oo$#;0r=T zm++*IqN`yauaXR@-7m4JkVLtDTxDQ}(Z#lUk4A#?ey{cqeUygj9G8tuik0*(e!I;C zNj@$+F}hxs2dMsJkH(B!BH$pHh>S6>v_kJ7ys85yLHQ9P)+Ho;G)Aj>FJz|GojlzR zqrlSE5&rp>dM$l!(!`7-@;!WTsGj{%5|0&c1k$Ic`=~<~19f7FX%@yz>40M`X&&YM z<sU~rGd)cjT=77C!MqM$3fWkwnuV@P7BjMZs^ypCIA%(Jipj`cwJR9khCB-7@Z<XF z3|izcK8qc*a%N%0B)BfBQOX_*T@V3H%t!12LYF$l&fv`_x?yqCPG;`G5r|18vq5Z9 zIC!T1@+ylNM)A8;B%kFM8GaXOVP<@`0`q=mUHb8~@Iew?g7MVw7@zvf%QR;HPL>#` z8(^kDizsFsfaBm@ICokf89bzmQSrUcx&)P&YiPCN`xIKy!jN)`yD3Gk&6k!uCK~CT zzljlF=gN<*D|N2S%(FHDL+Vte<uW0ktH<Qn>SU;BxtjN_7Ztgg2feb{bMLKoP_fd@ z<Um)LVDZuvzVMNl1ii{^VNq15)%L&{gyW=3di*1Py?OO#U6VV{9vb*+`kWVX{uZ{D z=QG*cMXAwh3?f30p58XaZ1>gxr4;GawmJ3$PdW*c4w?vKi=x=_54yMT|M8iDL83Bp z$OzxBaWg+j5SfS!^CG6>mPWd8`B@i974{(DdIc>*hUd0#jwl12=89uRD1(`X-Y`_e z9v=sec%<lvm#^BEHK&n*XLH-%HQJ-I=D2pwry@t^N4iWb!bsqcz6^Nf@L~92krW43 zrV_0^`9pF|B|{|YVsXhO!%F71zk?_vlIMz30G{aV{owhnEn_$mEEoHjF)katL|BNL zk@OMalvsbSdV@5pIP6}ETMkSx!#^I`iz#hDV%guvA?;6MP2GbiEuLdR4QaXmgd)8v z^(Vt48Z&$@)+Wcaf18<#wcE76&qvzde@HeMITqA9EDKV&|76f<hF_RGV=0YO&HlqB z6*J5}wzYLK5=<QX*g7H`tPcQA$bzcwKM8l*;TQIe+ey<Cn}KFONz=cZ_74yNT51YX zBbBBfG6j7nmZq<$L)bA-F$7JL=W~i9qEMx@v5PYxQ>9d}@0#LLrR1`U+agk>B(RG| zMShNis#%eOK@cKPT^@-XWR*to<k~eury{!t%m+CYbT^>Hfzp)zmgtoIHXX8mw(X^H zCRc=XN;y(7<x>e<IN~tT=LoMj!jhoAablU#Qegto$C(&85Qk?v6+**V3}v`Ltj)M( zzX=%?>!w+M-x|QrDcN9#Sdb1tyH^BHj_=79l{35eK9bkTJJa+o+8`=6oTV3_M4ynu zqUxPF<nYL7$3m|SbwB8Qiormzz}zX|ry`;nK>qW3m&lBRHYUCo$&3;|3K`(g_2y?q zCa1H&-2TZd?#~qS@mD_~hqn}<Zk1oh#CKwKl4G=`&P`K>88Y#Gf@dhvIi&Y72l0fm z4xL4Lt+JzrISU&4h`x86>hqul5~=+}5=GY7s}n=b)8SSo+B?z-H1CSv`+3#5AVL$z zvM?$p+{9VLoceE4u#xU~8!jG)RZpq^c$<c)XmqxBjASs`WuUvcBf7$av$djWb^VID zdLer}a`5ii&LXm2TmH(~T<2S!qe~VzTZ?8SS<&w=`897~R1`0F5>uyeqn8wmGTYX( zn!fl>0W&w+Fl3upxAjpEkIZuzG8Cvgy;jXEewr)=>bgT0uB`Dh{mD<&Sa^nM{{z*r zh51hk1#(z!z4KKnGyShbxMIBe0u%|n6r17DYg89$8wp@Hj7gFHtX*nJyp)*XP&F*w zR(?8Uc@>K<KGDqdhDmUiEcFHZQaPBb83Wqbj^mWS;&k_+<D>7u{FK)p!O02hf`as= zGtw&d70qbncNfNPwIfNWz)ohdgTH77O^UGJn=5R-$I-p*td5G_>x!9bZozSD-5U06 z7l{s{donVpL_IW0{J!Y;K+5y>1u-t{z2Jl8zuyVJXE$e5m$b+S-wW3nV^8|*V$b@B zVxJ`Z)p>RPrm3HtLP#}n81Y)*3e8;<Flh1kH2=kN=+DohC3@EO9*;3qUd-87p3D(f zdb~9pFD=~5zpv&q<(_S%+EroWgWY&B@FU)}=e|WXW$XDV*bi|9x!9dKvXHv>dl&u6 zuf!=yWr*WLmcP^DZ%||yXH+L@@Oz$trq*goJG|HPic=<buYII=yTUC(5*_@?E@$hf zuMcB?mb=mPXgl5)v~UY-V&dg2fR0l=UtzZdyL)_EjpFX?!Jn0-CO`Lg`!z2xPRvyG ztLUoy--5Ky3^Tn=k0Bgz)y`dB<tJ`<`G~f??Byc=`~}UIHlqX#%APfAa5OJ8-Ta<k zd3Ej7NK>KDCg$-D2T0%IP;H~HJiq?lx>FV#WO97H(!%t@&g-ctK{FMs(JR*cdf-v| z^Dl!GiT0~60LA^vIbhhhAdoR038BvJpHeZAG?i8iOil7`DY&_I&Ysp?q1Bi%m&VEK zPZ*UOZm6n))vs9TlKh6mCm#!$c&^fPab`ltVO?1I{e6ON!-3^&s%fXoU&L2aSZQxh z|9#6*_~Tx5_}&Qd+T6wO?7$(Hs-oF{iU{W@N4Os7kc@wCa%JUSe+)OJ+ntJhz#8j? zOV`>qY;i)j+<_%zzjTAn>)%RSMQaX`b>-6ENu_b{RzX^h&{Rk_0O>N#iKz}xGOm(C zZV{#Bm?R9h`{u;U-`U=~p3{=l!(RkQbJ7Dosf2^?gu*uek{yIV9$cmu%3oU0oNHUu z&~fhfLk1&+*_Tt{s&ZM-;!!IRkm#<(_GHOa&J*DSXD9C)L-|#f(-4UCZ+c7=@Tkum zNHx%Lnt`k8h1qqoK9DlnTDz%z!J0l6LFYa{2<hEmmR-%v)l6*UqC@9CJPhgWq%JMd z+>&FIg^649F>$WAJ}C)O3M1-5-x@bidrGN{{&_v(V^V8_krkK;-=r*Y)CwDQCa-<$ zt0sp<Z!iWMR{>l_gZy1JSD{1{5ohu+WN<{7ogkGv=R=b+m`Eg#H-~lYpmETBh4mn0 za7LKDH4#p(FS`Nhdjuaaa1Bf|nU@5?woe=D#b0o@K`u_Nz?l}ETlpxYw~SeqC@>?I z2@!|v0GbpRAkksg8S5u%P%>{${G)}Qx!~@dPl1atI!e6dm)XnE%Fv%XsXhgDjOZwy zzfg~;L<Ih9Wd&XtxVfD@-P<wU59RucbR;bG-~9@KtO^%|>G}s1LvJt;q#LHXTWGBt z5Ydm1!wT{OY_fKdnJri>E2T1~<Lzi7r>?SWx$hHZ_fFw9{M{5ZX)t>W0XTC!<5R#v zfsQgrr}XQ=C;i99NkzX^#T#`QhyL9V@UPE=R?UCs>Oa{&+LBFsW-X*~`wQ{GpL~xX z)(UXcn^z*rOT>$V4Zi|FY0*&v<vaFiQG+ry6S;w%3%S$wLwf%;?(i4L6=7bG-^P># zoj;$vcLfJM2dOB)e!zkH?*|&>uj`L^qJY5+1@+%AE)*h^g{7OVwUaT2v6ri<v$Lfu ztGAhjxuymj6zp}ZtTlZT+iQUrIW!cF3AArvoUSH@jr4#Zl(BFRKKkVVY*Gc`J{dg; zk+i~2s7c|k{gVAd-}LaL!|zUs<cvT4o&r`Yz3HBp%o}Mo<Q9fZ&`)t291dE`BhkFe zgEWJlbV(#8S>e~Hli}FmKyX}K_e7@DXPVs%mK8mZe+uqiy(ShMg0_tM&Zl0rhG@xO zhLG>KaP$07ho;T!L?7@b1J>-Dm#&ya&(i9ye0KScYxU`zPZyb-;(bgWz=uue=j)J@ z-xd0OT^>H$839C|OGNQ2zc%!rODKd8u#;P4XgC}ZvV=B{e!T|_C7A9k{hrSC2=RTo z8gH-rCWKrgcJ^rYG2$JvYx1#S*W~f8YA6@HpYf0U^jJxXSE;{Qoa5W`86{Socac+! zWPbFo0lv^e`(?wUtO)b#tyGWWLSiKIA1mU@?O$!LbUMF=r-as<`m-r3%1fIIrqM`B z3wl?ce;^*wjzcN=UY*`9F(PFV_6K`r+x9B1r;)a(u<*%8mxzccG2$JA@OZke9eW}+ z?w2nt)xvDQ&&0S|_=trqYI=3CIiRgbGj!KFq|3RAWYRI(@OU*STYh@}R3xa6$}iG* zTedCi+d_`S$dAe$@W9zz>#q6=7tUpFbL<EIUxwm6UaK6HkILD_(HD){rH{(`meF4= zlhH17J)?2*N2RY&E_3f$dw$iMN?zsOBMkhiHx|AsJH@6GN!`e8f6#0HE)$Gyxu#;j z+fnD2qUg`Zi>_UDrzY3hT$a)a?a$Youz+P8AE3r?b+9a|Cg(4}i-GgsK$v%by7_OA zG%$d|wmVj59vduFt*21Z{A$VIBk3>Tx!jlB(8dVf$WK>d@R9K6ZH}Vr|5dLbZ-lXh z7|AwB_IjDrT$-|fv(u-&O7=aWQUCF&JtT>)n2LOCx~1NQUR+BJ>sc!_*mWzGv_F!V zfUulh{*Es!&+k!-7nN}IT2t-&@6<>86)~kh%LRX*)U0V88^6fW03&6Y6^o1vJ0htM zLqlD6m$zw6Rl=n(>{!91P=8Jn!_*aQ8z@Up|5=<SGisQjcuMSB0)0Ld>UsVq!lFrl zJ``q}R%tM#5V&3@*C{cY)VGe`xdzZ=ejUVvfzy#=IMiRERWB&6`1#?c%7H>II5own zJJs<TB$rnGeHL{KDUqCxocDIy)OF>GXS%>Vf`s3xak=!%UUHoq0gSf9f`8H<?X0Vj z<u6&m6KZPKpv(jNbc+--f9`t>S~CmgW(8*QI8uwS<OfC;{)5s8T9gO~eU(3#r`NTJ z)B)Am_5Q2Ovut){u|H#n<_~{HKkA)TEw$DBQC@9!k+d_l0P?YFxvoc@X4Jp?<|}M7 zz(Er7J;8G8a%QOBl<wj;9@Vz;+E()SKjtfC-@iYUIn0l|e8(&B)a-lfu9q)+(Q8J7 z@kZMYMP}v4-^No7)^}$80o7t-3SGjpf*R|uy1IpQ<iV;%5$sz-Q#GPjO-%5VdOsw- z7qZEKzvdliq&0L;Iej&KHeGmNGikHhG(~DtELv4~6jPFCu3IV!E<CP%3B;m)7q@K} z)}VUIK=9P`EYYPr@M95W6JiV?M~Xx6q^p*~|MI!L`otH+=Z^Wai0QTS)W%QM0UKAq zs>U@Un!D=7t>%~q9{XW&AfylsdM0$DvO|6FnXD6P(DupVp-0b(*Wb~_%Q~6_q_C{* zNvpzDc+7aNmWgU_cD|9M;dU+{65ey6d9H0KWI{UG>impE^q1{MLR3;zRHi868qz@H z4bhrLb?9GAKG|0vT}@BRR-}hk_ivVZ*(ln_lap;3X(4`JW8;RJ?^2U&ua=u37K23d zcegn)a)j;YB3H4a^X@f%yV;6E1AK(k+^-%!I@D}#xoiudE(1n^qF0sAKo?KTrt@1c z=#{0{p0F-Oc-xP{72?NyM!fY$WJ|5x$X@W!@?^GKmGQ|vQqma?Nyii=;Y+y5BXran z?(l$s3t}(&fcBMj_|#L8ID1M+(MdZ#cYC!EMcHr~>I52{1Gtu0vEF@VnsGPPirux5 z@Ba&?3)kT(-dx=H!S~z-y}y(S69jsBw2o3kXp`c7b7DFu#c>P{jZvx+s7JQ5P-#YB zxk-!a*(nT(Z?c53odU+SMEJHvGH8TC&g+Rq%slp&t)_$11bSKgY{}f#JdHYWF)4LL z;VFIa6R`uOh48X{AGbK>IEp96NeBgtk~kU%rDoYDoLzFQe7na9kdh~6)ul@~S1Jdk z7U{$J*j=q=?Y0gnB!1<@smUKP!J`x7q!u^g5HSloXjy29JAJOPW4XP3@ziQ+t0@D5 zR=}iAf2Pb@6?M&~N<yiaUWr}o7mBEAV|9@pW1nG74Nr}U7*5qyE_PX459t56iTD%m z?do;nAV}J-e5==qJCz(f^z5^O8DGsExRhk(UYx8n#MT^}qoI80BlOz%Qp+^Pg#4hd zVj%id;@Y9IM>`NCq!MHtZ@>KNWbcRSMZ#*;H+l1%A%Kq_Fw}NA8pyi%M6ep5ZlB(H z=2o-w=04TMq%zgCbsVT58sTK_b$<O`do>oAo4m7>J*X7Q$<1{yKX;|HJa+KC%XWx& zAl5kR$X;<`CA;dYZPW6UVzU3re5aLQX{`V17;U&u$lmHe+r>i<tFTu=>(Mda(%cd8 zvwh47x$n-See=t*>+NqSpXD=m*7Z_y(MF-5AoHyARuZKeQ7;37Ie}~Qz}8s0#j1@= z_owv27T7ipq1$fzYLAfh`v}xMScIVl<PQ(|dm>&1%IFgU<iwk}@yBgr@2(X~n}G&F z9rq7weE1E<H7)H38x5;g_~)&KkEwnNHw7K~9W`Us!lE^=mTt~#p6kDjYJMHx(Y%Kb z-er+-PF%H!YIx<P0@^=0!=5`7kZ*Lv+HqOwj($4nR1FsC%bk2Bxw)*ojbAeK>0av& z_e1UInmU=|$+q9qedFYPlkGO47Zh=zHa@nO?j9NJR)t07AZ?kwn006VjX0F|WvD7J z?{Q7JL+tF?`J(yT(i+Kw@`J$PQ{hrGgrjQ`gL`Y4<W=~*kF@kXq0rap;G6Y>)^EA> zGLkm$=Bm5wqL+Usb0;mifh2}x<y%Xrc6+Ty#(!21qO6~c7kwS`k8Wuxh{42dWP+$e zryboDW1g9T$#xBTfRnP_Wc6~|%*OjCu;N{-;|uzb(}XAgd5Ga^?ov?MQp?mgy|YXr zw`!vuJkKES-HXpmPL4tG`6YXNhfm}ZO)u@u3&p+I@6#j?`mYj~f<y1Kx~&hb-@bx> zBY3W@k3r6}RrGoGiu3lKj~?wkb6;5g+`cwdoxg0{cb~+)VdhyhUOs<O%tFasn9h%@ zC3=##rg|1UdgRJHvE{x|G_JnoHn*C1%4(a`K2FJf|B>8nQnQ$mSA;6SWq$F!i}`g{ z(YOx(RDI>iwhFiC&_9)jz^D67%9>We{y1YckoF~g*1vap`NY_U+b!bU<PG^OrE6B( zXH-@_@iQpgs(aQgXJU{fOL;6OllMU24Vfi;L3*jrWN+<fO%C6T0|nE8KsWN)UE|Dw zl8!y7OFHLj!Zo%_fH)tX*qDop)co75V)kv&<=otdsv7wqN2ZhR`p&n+vo)h7R;Tmp zCFh#(=RH?`?LWn_anx%^yM+lS-~8fBHWmZ43yjG<f1gz$<4j$9t)g<)@hTy81+sW) z4gbr~KZCz(+v+~^m^_^1Icxs<yF%!}cOc;R0{IfX#N{(j=j7+lPC}?&ivdBef)V+i zLFfEl0lKS>)}D!^ojkk4r8(*fF1hoTlfaIwnqL<xkrpV?FxId`c`K~yc<oP`o#Lb{ zG?ZtaeUv<}iFo3V(y@k2_)G~FBSGww3epE8#Aska9!|!SRudf(nFi#A(&cbF7p-cR zfNGWvO5Lo%r?FW<Q3tljMaC`3?$H(vg6l>8(bCu=Sov2UV;oIALIv{FJ=D6-RIV6b zHgz>^v<waWfOyihbZFmiv*HO1iZ9(M_wdr+In;}BG1u@u(v84}S~Wp0RG@xDnel#L zpPQv*)6`3HgZI?e8v?(=o+$X}`oc47=9T~Xdt`Y`QW#*t^Q%V<PW?`DCg9K%)dsmt z#Q@HyEwrv`^_~xJ0KG5A7dF?utne<?w&mX}Xhg-VRlds105@U}1zaW>NOeJ|Sgeur zajJWyz%%p@j@^J`ATO)5@Bjv_WO!}uVfe*|nX<&2>4k<3V#xHzR%}VIsZBSr$Z_y3 zv3|#wM!rlH-s7PW8F>vkS3Gr}@;nOgiSmQ)#E8RRRUVmUbh=zr9_=a_dGw{yl-VN0 zC7WOK?8h8oWzpqUIBY^>&W^;c=C(Dv<r(Gl1Q3mtvD+`P)KB=;juh|c60;kkbh%~B z3ivqbt{UPlra#;%c2);Nk$ec6WdV2AfkH^U+Y4lBuK~oXFe0jEl*>%(sj5#5PLxWz z)Vm|%BT|Pwv;<B5V6;t^evlZ#qFkCO995mToJizi4B(<q;*wybOWl)-&rv;=il=sU zEpS%h2X^C&m8auR?CGUg>?fw>vn%>O5=UjnC$71yHv5ComP332qP{*jENy2|6qyng zM_8#bJg169U0fv*r$JxdJEtmlTR8tI^jA@?THjA;Tgaw`Z=LCM21VF=r^7~=xffeu zHG!>?B8@p~Vn;J7GE%5%Y-F@*h$tJ=(&xWM+A8Uz>1Na;MYa=EKB-R$`}T{Driy#C za>mh2@2IivnGKD!_a||NCs`#+S5LI+t*Q*hqnO#tzaRvf(db?8vy)6Oq@Fu>!%1W> z6#5218^;&THOB_0x3}vd>yxvWg1^2F8hqp2XhxTBN&ZPDdEF{-cr0d*F&<`uGE9DG zs$BxM$@sR0d+677pUE@f=?f$AAv7y0IHjRZcqoO|&oTWbyxeNSTOyu5daShgKR+&+ zS1C$ii87j7H?}_{fM#}x(sQe+!0HFq@fx4tx5x`ULA-gzMpe5Ef9`9gl2p>Yfhfcc z7p8v~fd6$%(s24L8hFk#^~}cSd4}FWw~qASv*|IC4%2bn!b39Vo_~}P(B5~Bd_)G{ zq*=sPY}N4<aQr%4;;STXi~Uhl@?YP7pRDg}-ki);%gu9|U3KGR5rG6hZNfs^X@BDL zG&(xpG;!blBd^b!HDW+JnQ?;|qB?43_t$r2TFXF?{0HHpeB@D-X`YA=dlDUVf~73J z;dpRk$L#va>Yb{3^GNeddFQ9@8Q!bde^4JX7A?LcrsTALh1r*cgkFD%M3-^$)*?ts zDg-!pH9a{fL@Bl8k-G%q1JTWU7^dGik)dy*k*#_d^7RXOh)Qa!Y2J^Vx8ky{Nbq|6 zN!@s!*iqqSwM5{U^`RA&yt${NzPZPjgdNSavr|X?W$mUTsqy2E{RU_v%52Qt=#8lw zb?8V?myn(1meXcot0c{&_T8>W#L1aUyNbpy$lqWV^>l^PyJu2Ao-+*9aW{IGqPYA+ zEhhx2XFMJWN-USf9DyCY=bS>=#ExrQs#_k3WH_R8q^L+!-lJl*{*zl5g%@i^ZYJX? zwAZd0>$_6i81u+tsRSB73WK%yXl9f=MhYP}iRIupN=%bpnMN575>2$}us}v0523)= z3a5pEK|`j%7>8<9NN+*$SWY1uv=MAQf!YT+W|JP6+SX9G;$VDs<wU4YbTGj=WfUqV zaET2_pN=rdsnW#Y65XO<w+qz1!!n?3?|wi;!hlP}Mj+?1fF8ikJ<5$B841A_rUO>O z^b4x(Z;ZJ<`@)%2Nq+9&ZK@<{Wkl3pntPOAo&C3|1|o~HIKoGq=d;pJQj!>14HbrV z1B4_g(K|1YQHPvZfX(ZM4mgVM<>#R>5qLkj&J;r@#Z;pJw~Ad#90?Z$vX(?uY*+(H zmm3&P#uz*(DO~#V9^6D#!s3BgJ6dXnPeq&p(0DPp=RH3@>}!3{Dqr{rO_*wkt`c4X zYoX!E^9i>cLaM{lkf<s!0ENToz#=AnfQR>k;JjxKmy<CY>0@85VsPvzEsO>oy4UtB z5^$|%Fa<$-X`*T-&j89+$Pg^37^hNam{JN}rAZP_rI%?lT3q@d^&=AQ*D~)3?0S?` zQ%X9u^>LRg(#HrW&gfCeEV1x|R`C^filXZ{8z_T)A@(F13k@ww;16a*pYTz3Of3I> ze}o89ilWkSDQV|Ak}ut`TR6a(0~Mtiyv0PYkn;ovNvgW|v=y^6f>u;$VlPIjxus5s z&?Sm-?{I;9*(67)9;32Ac@HT+x0#<@<QHjG0lWyDql1s<J&cNxXSmStDgWS7hQ$Xu zKb33ku7u;Z!dB4XTi`JcHP<5blIn!pev!6hM`i~LU@{Mvj<<_PYkqMK_*Mz<vjT}- zyEo@&XzZwDNurrV&gibU!%qx1DQKjx7@GN>aN+_XErYa=jZBGpUcMxmDsuw)p8qx* z7Qe+de4wG;p(^7?!xB+rL}=Ng0@d(kD&Jd!C^4XUK5#H=P7Y;Zh~w#$e1RIn`Doy1 zn?vqQQqkOq4pB1<p{i(hUxmd1j%euet7z_tu&TlXglG_qE@)BX;?b`n4ZSh6F=d~0 zuF3Qo;kjoA%BvM05@!pBQQ8xGWz;0}4vQH>_saV3Ga^HD${9rR>|`I-4Mg?AdhPxM zp<%7*!@wVm9m28N^pZ3R9u*KGi(uvJe(|!*LsIa7Co#;-EBnN(iOZkY_9<ODYIzfr z0VC_l|3^V?Z+)(SZ)gI}ZySqP^U#ET1)dK>3%bBS8Y42v8X=+@lTkT|QX$oLF;eKB z;s^4mG)!I7vIu`1?M^(H)qxR^X<11RhhoS!)eMJnL<K|*PT(0@4_<O1@syfO?6tFU z6?>{)89@X~p2^aPL9crxrCyW278-Rs)B@MY$Byt(wvOa>XJKFfj{w8gV7^T(GGZM@ z81Fg<Y2IVlqp@^`2n^#<E`8;a6Wof`_5s4>AQfEX9i4#jDC@Y4G*N}%|MR&@w~%mO z0PRt>a+<kboJ$#2jpFlCy_k@)RgaA8%(A0Pxz7^vqbxrO9&b~G;hmOOE|Z8F_$aVK z362^H+5op)ARf0N$2BcRvrxC{h=;Fls4nu1LUlk!-r77angI<epU!~_&W@G_iz9d# zNkS7^Qj1_w5;OGR<B}L~9CpkLp2BW+fjKxRosCY}Wd!X?e!NU_$YUNN%`!2AqIWLV z+odYgs#1;8$O5&BPb7ZqQ?Y1@f0U&d`}nwD?!vrFRVTtcSswW)yN@C6|MQawmVdRD z*l=m7*(=m9_wSLVnIz;6BEKT38=w9m9ewn7T}+&iQPfNU^X3zh_#T1uzK98+MHfel zYwzhyIcvbpRwkyGx#Vb*rzoRWeAOwZB-f^lIr2<oZq^`-)0oR*#tk)PLQ|zby8PQW zw(yH*H}c>5TGLi{oXekVMULj!ham)TxpO%g?^)iBen(+hlje$M>Kt|nWArX>s-bA= zbpb@<vsCw@y+VaUNBL<MeBEbCU*cr)4o4^dWI4-*#!8m`BgP`m;jq|IQLb{XoP;7M z9q~3z+;Yt}xVUkSXXs^UC<TW&!Ae1gIHCE9tORrAE~J>e(w`sm2dF-m;c#(rv2zi9 zfa)WFg6enCNx0!I=EH%4Wp_$cR5UP9wDHy;2$%T6DT@OS2P4K#fbmfxM3JB*ge*q} z76QF)E&8%YXSEaT?r%YGG^2zND~`0R%$JOcQbB(uQbGAUcxlNR4m_3hq*La4D4CtH z|DI-|hxVtfD;hN#!=n4*Lf$>0-KS!zE_&K+x!m9lm+&C|+;G4z5DIC!0CDCB<2a#5 zoB06hrou~0#ojCFVOh{Z-C|&&Sb^PD-PZ~9D~2M8+>jI~;BDT@#QG!0{Z90iM|`2O zLOE19*vX(7y#v9(POiwuu?8=PQjrgx!b{?K_)MHLyyJeL<pw_S<VilMM)clqrwZr2 zL_-Qs9KF9;3wFTU*Z2J<_XPsgk4e_jl!Lpmkv()UQo335q<%eRl=rP{b1ay-7;VZC zdO1pzevhT^PWXY>^P$M{*uL?AykwdAob}bRiS=$34ZW#qz3gXBqrY^zAH63WQ!v>l zhNj+PbLc(4#@|m!ShVd+J5NwQCs6ISlIc-kmHwsL2{j1Bvr8^%640chp7mid>{`^C zw#$o93WveQPiI%BsL5TjFRQiRZcdM@FpTiVt}%WAJMryb`5Jh8eD*2b6&JzZe`L{E zGOaVcr8aam6kmwi##Y7b6#Ck*^2whx51U3ELPd9jO|bfx$y4t;JR8clAqqIzWVr?P zAB_m`;4q_#da=md8BaBTBMhs>PO?$`jJyYax$&esq#p%I3ms_)B7tVV77^-Me0Sst zzuQqWqudKeH95{FbMDYu#-2peg|;T^3#blwmU0&zf5Qu?^p(ooN!@?WEpkyr*Ew{# z{Br5o0JUzpyRDywn6O|%99oP8VJE&XDYT^NoEh$(*6t(bAzrtAf+t_!rF>AoO{Rq* z&cK)`GL$gKM9CpHIUc67)%O`X^XS!qpTE9z|479@>=w7tJNSx^8DJT#ZF<*<f<=te z6~3tT#;=>1MjgDb(r5|u^de2c%uM{Jt$p7W3R+@0)Y)UjwgYC{KxT->^M;GwKBUom zCd~N}$NcEx{|bBO;L5hG{eQ=1$F^<Tw(X>2bkwnJ8y(y1*tR>iI(G8QdC%{??>*mp z?!AAE+Piku{?s$(oNJ9$HJ>#mmU)`b3N|*f1;3?8)DJ>%D5^pF4R`l?b^p2y-Z@P= zOmYQMNibac0I5@AqQHX)8GK&6Uli&j;OpoPKC8U#`-=3>sjX-dHQ!P(Px)Fx-k8D_ zd!Z*Ul%#vQgA<|@6Sl36!i4vLhOYt}4f%-q7W<XTfZ)8AjL<v7y%|5i$66V^J9{ks z_%mO5;As%0JY%CBTy{eZKL+lUq5_T+Wa_{92$pZZ116LoDxIBzuUhT*T0?@b(hr5z z&*Nw~2N%9P$vJGRc(s1bc^D-F5v0*k)(k@F{YsZW$ar>9Eqw^gC}drpspG#_e5qFc zYV@@U)D_?_hWwi(-L8x34TWWzK*O2rog=1%Fa!sk=R>tKxh@aFihNVVgoJWIZ7)K7 zRnK=%{NzEF>~fGZ2}NO@o?Z7zt+%}qRMveu(TSHHJTH+)O0PN6<jKkVED6mg7!Af* zptgQH#tda2JtYcOoohuWG-I(<A!#Bgb;u!6!vOE@H&tRDxB!JaYb-=&>^=rqAl8pi zk-^$SxT_U)!iB2%{a;tVwXd6f3gK*vu>+~JN7@%5aOnjCH4ZI2!J(;%#OLJOp1|?! zSVjC+f*^@{wfAijLnTH7Z+)5UT%_+{66>nsJ~kc2)$i#eQ_nllX<$hhQ~DYLA$;;! z7c*&jD?blP=xcCY^oCG%$gvk$sg;)vL#|hOh^LDjA!rT;-}JWVtBd47TqcphZZY~9 z;YZ0~FKtUzBlM9PYgD5;B$X^Lh*Jj44W+`*vS{I)fr-wIxM$7UN*#_H(u>@o#XYwi zsMJ-Hfo@A2v+E$h6e%UUExrav_@lPNSAV37axo|r_Lev*aTtOQPRP<&F_ISailali zPvgv}b=^oIlOn>k0uPoA`&!5Z<U3H&4Zw;!DkR%j<9o5j9XEIFRsEVd!@Q*<6vo$r zPfxVtfQTd9amx;nL)sc?7O9ajjb6|F0PiSUf8OUytC0e>Y?^vhSE%~}4vm%9S(&0E zHo$^|s$O+-VE5Dzkq`N?K7pcQec9eZMzxr|n<>s?r#Tu*y}21#DC`VIL`iemLTUMW zA!%0ArDgu3o0gWXN=;Fo3?`CA7*n3_rGupRF5r0Hc+=;V!ls}FTC<7}HiP{}NfjXA zLAwXb63kAK0iqed&;`FXIWeIV>i15Y?Pd}P6kRowKu$exlNjm4zg2_R>Jya|<#2J@ z%=rjtF(pRgTx$q^SNir~D(iVD2oY_1R1<8JM6^_~=&n?<Y=AbZ(if|V$>{0$%*9@e zKLO;M&RtnrWa7W<G9z$qH^9}aW|CXdl*}qnF(NXKY@lLw!98veh1XpN(fn3sb*kq# z3kqb$l{rUm?1mL8^4ux`E)>mthd9F!9wHvPgUIS5G&1zm37`MqKzQIe>x8wTcgYcr zaak(tS(uGOSCs5|(JrWUkT33I!41(|7zxdg)`5b?)iL_GF#KSoh?y4t5?-RN|9a{T z4c7*-z?m2U^fAYh1tR*9Er<QH7{$coltYv<<~|Yjp@e`k4@lOCa|LmMT9NBsu&d^B z`5Q#&B<zP#>|uRWP1nz1co$k|9gefibf$OQvEF5SNHa}*DWhMq3{9%>sUB#gXWe#N zi}mOSLgf6%E#Gp;jN5JUc|<5wih*LLP%c2->`564!wZ=Gtj|GK{4^{>7`dJZA>Azk zV&%ED^?@<onmN6BU~f1YQ@=+?0(Dbt=20pnjE3(C2=UcAiK{WA6QmfJZ`wN}^@V&v z2&Mo^z&oXSFG6_cf{OZ;Vm;T!%+y5vDi9~uX2lDQU*tQ8BJB1nf9*2tk(-p|e1}JS zO;cmhB#AZvn8qyZc`gqnJ&^5W*%f)!pHac&Cor90ib)PsRtsp2B(@_aE@PZ9AoJPc zOHtCa-*yxmcwKjgh{N~ZSJ8Y{lb&H&A$a3#0E~P??(q~1V2M`BN-u%Kdk)2;JIi{R zK99#zsz}OJ)z%w*kU9kK#v+DFW{YJakq)9p@)b6@xgr;wTNONk(so1qM-Z_mOtuoV zZQ7iEPmiJ9J%<`<0Yn=W8#0zDZvxj1>4yK!TNwRI<vpIhrlC9jN!16%>^K@?CsnLi zn?N<0O$iG4>o95iAioq|wV#zc40h^XyWvGLYEn2S48Hg4bA0H})W&QH8KF`-kWrdU zlP|wy3XW2&IMwHEDH2cOuTweV2}c~rV4XPeBFY9mMRYAF<$w+2!pCYXTYu>SIPr=` zEKcfn;aGTg<^AJo9QEX}XsL28h)8w2<NX^@FpaG|%$EDf-&-8Iq;ZUw=cW-rxsp;L znsVGMIOq3N3|{8EhB?TOI`=W(=4_6{eNMzpxmEHQzJ6b%3)A82>$HQBM!pBvz-N$e z=9j!9dc<KcZ#KvT+`O0%x?57D`x8|+nt8_rkRMwAG)zLJDW}+I_Mi|#IY?%gVZ8b& zpup(vr2xx$iCJJ`DW{6m<zm7uADh*m$n1L!jEeR42G|%Eo|t1YkXJUe$6kI3>%||3 zWuEY&OlG745x8TR)@K2&-7jJSrv1H!NO>BAubQTJMMC9=q^+zv>sFb1_Sx8bK3^zq z4JNmjlup53rXT)5MU1ERi>-nElPLzC8FcfAPP)(nf9ei|XT~FJ$H6fdm)jT1;I<lV z6h8TFtd60gaZ+bjGbLr_dr(w}%<12cbk<}DK0IRI#)gUQ9V)&Ou}12w=221sdj&f) zcQYQkJKUh2kHJ-tpgQgcfnMWPb942WGoPL6#7z>G)2W-=lp<tk+d|Wb>UEA72FMC> zpa!EtP`3b8<HYdd3=`(2tE{&8^!5uKPAWrL#vy5FuZsv#s7gyeCZiFKDD$(Pel9pD z5zsIXR^VKIGC$|zExEA$R%i0uUX=L}&L&_1;l-@uOG~{aJ8di~TXTB7&~+};U6E0X zVI-Au3Lnq{s^$X5d}xMQL(yAFN5*GjxJD3H_Q8hG`ySY%`5-8wOL!e5zu6n$T<Xlw zh04~R=us<!*pmU;F@tCwD*fHzl(36%s*R&H>kh)LWD(gGijpZ^f{0QRwhyRZXJ|0_ zMh+Y&PnM+;C4O?+sK@rTD2NY0pa_8Q6{Z%v3NIF3U??hF$}RR8qBq2bmF@2MHm*oQ zX+1zoq!@e(=S>`)N;a;US+tTv=P>m&ANs`;_1Tql6txq^a*)zK6NH{A^yvFd4+IGf zoq}qS0vp0YnIN^=>}7-@%_Ns~$F$n~X+HyEI_c4hB+g=lhc1SgzkA>Y)TM|fZmO|i zI**aZY)Zh(i1|@c1NiAVaew8_{qhnnmnV}?SaD&nxaxd|=h|b_u0j*MM;MmNJK-Xh z_yPaI<oJ!*y6i*KmS1sN8q?YbFkno$k<LxH3_NMJo10t!E3G(d<dOB(yOtnG)I+;- zLgTr-&*BZ{O!)PMcm(|-mB?Sa^aTQiv3$rznq2hGN#7XiV11NlZTbT%5bxJGQ8&JO zrqn50t&xn?FQgR%Re|0dWEQ&ICwkTqs9cL-iq;xO3)rV(P|{GduckR$g9h`DKYFPK znN+@?-RUNS40KzQ%9b-ngK#iH_Hk`j4@_r=2;L>{&x)F6-k~~aY7*FRHAWtuUgWY4 z<0KK5LPR?1qaCQx=Rs7cy5MlDcdzCS=g{w+1#6*6mJp<tbafw&u^OMr)1tu20yC33 zI!2Bm1@MNwU`P6}clY~g_J5wCB6bTI!N#@knpeN~G~|<hRnk5KxroOe>|fv(0UZHf zG`tp*UgPRm5Uw2I-`xUv#dW)1zPlK0Ay`5W5b0$%BKsNY+|OnsVzdgP&bmaZDICHh z_oSj-&0Ef>i>utihOa!nOS}Omo)HqUvFvL;kwYl6jD6XiEgQUCs$V=1Tlmcy-L_bV zxxNmN(b(Z~ycwT7>Fk^c0;TmMc-M;@GL#?gB%2qyC4_%WTLP@@=n1S{))kpmLn!t< zLCNs$UL_qfDY*1xSaBR4LnE~b-+=&4%q6WB%R$!gBP*NGY(G$Q*SfQ)!wGNj=g$*+ za_uOunyr{4Kk2H<ejGg0W62boU6;Js{`{2u#P6jpM%H-ynoyGSs?^fDHClGHQF@%b zbR!sX-1XSFbp{%|Lk^jm6IMkWh|CLBj}a`0$($)`<ZIc?@Aa+5aTaOU)RY>DPhtLI z+NG}sYso^Uj$8CWQE13l{?<uBj*I?t2_Kf>-@fexebr#G3|;vS>CNZmnRtpGy=Jz& z2l-8FNNTBd3;m<RSTx`lkV#L2&imVtVQ)TQQuq*7R<m<_D(FH<eLdYzC+<?;4FgQK zil`*d?ETcq<nrF%X3b}~=^SXR%8Sru6=9@^*I@12Px#?`H|KsYIxjt=2swtC9@r(w zrp>G%+{M~iXR=3XpT(Qc&((;JUk=Au)e_>>vP4u95@-xfeTa$LM8lB#dYOlN(Nd&) z11I~%`UvE-h!(N@GFkUONoNL|w^0TTXeH<+<c}&X?lNBjCFCpPv%59Ee<K(d|2?t* ziq9N=LOlQDAknULxTbt|_gwXUtYFCtmbFmPIcBF^&Bcr=+&G}U0s|W5vP}kCR0H)} z5KWt#Pri_ZcPHf`xo|D$VsG>!?Q#=^gRAb8H04c_iRXqvu|T3i7*>M~!50c~t|z5o z<HTT?$%b*JX%x2HK1QDB>p83pVWcY$YiQxoLr(np*j&3>i5iJ>gtYb8#o1Df6+BK? zzo<u0WTkPc3pEL0qo!r&iwAg&BB>Sw4D4!KNjNUBMB;!z9_pJaJ_TtODD`0LypWqV z`b8oK_AeQT)fF?blc;9C?j-xv5Ee$o;}+}*TCu;6R1~T1s#E+`d`x&d`%1xGTP!#| zRl^9qV537$RzBonKm~Q1B%XY7=lucHJHl>ycR3plO3;fT(}gsTe65Dsl~)ePKmdm{ zN_6xN$F(!Hb?~dWUT%A!3Bf2(TgOY7(Jil*-NMW+iWR(h_UU)kvT1N+Oh4+>)dmpG z{qloSH)+v?SeJb_A_#IA>oOP2nX|3g5uFXVVVE=N${Ke8wcTS#s9s=0mL!7)JJB{l zf`WiRjmtC53+RJJr%W%T=$?{E@0@&3ff)(w1fT{kQ>>(#9<79$kYvP*`x1EnlhIX_ z?o~z(upNUu)-C908=5vC76C2EZBrE$&(q9!QetjEeET5!1&S^;sD6(eqXJu3*YOIR zh>CbDgQ?kRa`;oF4Fmq!>?Wdsb|sWQ5Nf8Zj+j>x7oQyw9gvuh`-NR14~OM`SSh#J zQreRM%j7~ryc=*4W(x`hj>!}YLf%iE5nS16Pj-heN?~cV?qmFmG2V*v&HI8JlTMV? zhiH1JRPr=wcD#@bm1O8s<Y@GTACa?=C!2n|Dbx+Br09glKPAnfY$&h>dDCXalo>le z3~j99Dqz)1!UcoZB&mC<l*D6@Eyv+y=@AdC+%R-hVKu?j4!E<+vv4X%1Dz-3Gw}d@ zQIkK_NF=qMcS1p3kRfZ{T9EQKQ39yl#{Jv(BnxpIfQ@AW*NtR@;dH#|VhK2MPU2;* ze|YsyG}2_ecTAxc!%>lM)eTfJ_)Y-{6$CkvX*8SDQLqHlL6u2{W%MEirB-u-3@-Yf zsV_pGGSa#$sXSnn>rf|zs!V$fl>=CR*c@I(qbB}Hl`dZQ-`WOkPjqJ_P30W`%@jTx z0m9Q2TqT-zN?2=?Z~4LQn2rmOuErn{*l#F=%_~m`#t;e(=__O|pVmb8l=MFr><|GD zPA3DG`6(*G-h>rhZ^@i1DjqR4_N}3rEg;3yX;o;cK9t4-lFBmSOVDm@)-~4_<F?d! z>bk7~$`^VI-1-~fUB;gHUzoT{fn!?!5;`@x&QX)p7!hG9&P#hOfZq}gMb1rzR~WV7 zM^kUNc8D|R#S;hwNd91zb}bhKBt&|1UiBa?+{hw%niU;PuFwvwzq4aK*^cp*m)Sq$ zFn03I#q@U6z(Z~gh3vuL-w@>ri$=*wC-g&8A?9FKXg0dSkBuy#n9EY6CNwEDAm)^t zXIU}{@M+7YQlnn>NzJf9)5{y)MM^W?#!DPl&4_%t-G0j~9U@~<Nb@IfWu7eQ<Jb=0 zF8n5pHFz)DyT2<VaO4N&)SiAsc<~y~(l1FT&KKW-cH~%{0CnP(3bOxhK<@)65&2N3 z4|_JVEpL0%cbapdEbvbi<ksik>mjk)E$R4ReAv|NsALj4TxEMJA0wUk;YQscDQi>Q z7_r^N(LtwTo5NYo%=laI^8H~9*Li|4NZ}t|V#xpT5-Sd|7ASxEilaZ{Z@t8pw#JVC z@Djs}j8BY9$GA$;NJhGj(T6{bOVdeB$WBm8jysHv(9=uPGR-ryGbvHX(T>WDk5ov} zjEqm!*GbVxO%BsYt1_^tGbk{C7!mY>M=82t%v!|40RC!ihP1`lqX!27{s@%6vo<@L z82&@eAI@e2QB+z+K$&a{f-r$yCI|o)fFgh>fC#MVSAhcxS;uTG65m|6#+etaBvE>s zC@%7XfBwP1HSnQPcGR8wW8VW1#(PC9ran<?g;2Zl<rr`TxB#S;7i57BERZfDZnBk& zis2@J+DPD$Ofi*9i-f6ScI+(9#ObDW*mL}XJ-HdCvGT5(2#P!OzqH^)pZw_g73T~$ zdE$Cf%0z^04ib+u2|j;pP3PGTwP@eb7EvAJQTFvfvYMvPGWDA#m#WKNZ%*TrR?uBJ zsrq1!@?tw)!!ObuoJ2f_*DcsLbzVJqVFM7_ydctqXi20y5&y@wax)gNp+e(p<(rHR z<+Nu{Rfx{*M|Cu?{EQrN2oVi_7>~gHpA?gxM9i2)RNmpal$kZ(tDi54R%LQ)BL}?} z!rpi?;3f4=<Cv%9u+kRrbdng|<?zsS0%mcGv%wqVW+F}1F9VE2uOsVMon-3M`xiT& z*LzqePbN!;()h@&3$jO=$F1OQ<*+6rYmpF^tksMkbs+sL=BX9a`(?4TLWW9N9N~V* z>s`y%a|M($p^NIK^>1#>>`=d=9ARfHEURK7HDujBiX9^s>kV@<mCI73K~rlmi|=Hb zxj?9!{(kTx@51~wWjOKBt69<9M=+_#m1vU{bwn(QUo<V*Kf))Gfh5r%M}$wlIbHEf zVb+8+ef*Zwuj;jw`(_g+05ztiNpqq{`M&%?mFRN1g0B@C0!+(Kp9!{jTZtl*Q6>Xt z<HT{qH9U1}zaYC`hikf;tkDx`%(@Q_m1@r}lT4l-UoD{+BOH3Dl$$=iZYP8D`)@mR z|E=&Dyl4`@ds%vWR}!)X><|TCI1Z4mgXS>|+9C<4rY3^QkNO`ZLT!t}{8L{QZ(cN} zVQDy~bWW-?RMHZitknWqe~t9mn4}eAx?1CAf<@;^wVP{!A5K>!%XLPLpTMGq6u=5w z&;>>^w1`<MPzXyFC?bqi{HhVOL`I%WLu8*%t^HneVD~;?f`y%8_){xBFVQ|~!U`I? zSPxSCcKbV9aWsm%01qi1hYzuLJWW_EG)pjP#C>+87Rm;HZN8uP7H(B;M79ACgTc7V z-39~;MzA4P$_zNi#ZQ%7Op}>!+AtQvQmTo*gO9eyFJz%mw?OoBQjRv+528p`G>UC` zmoD!9E&fOz{*U-fR4!Cmx#g5EBQZI*x@Q}JIek^cN&HcZu|p(PyGd+%b>!(_XQM7V zk5ob7?x$6xw-?N%`OV*;(Rq>I2(EoE)a3=##jxe3to-H9QVeM>m!}`1vaN+<%u{C2 zhIBih1k+~Jpkc%vp4~bOxIR3Jq{!_PYQPn?Fp_)dI@<Z4v3)m76iI~!3D}s31mpcl zj_rZtkkYBvkO_bVBljsH1u6Vvkr;pofrUaDh%gA_NLdjM5OHcTD~ou{e)RV6yWXVr zNGzSMFd~eJ(D5U75^LzTRoQ=yN>%y@9S6rud$D=*mL-fm=$R=eVCjyUimvZxL#BO~ zn|g0gox1!WsUz)+P9yxma$n$>qYnrG_r@tErfMTdihq`oa-0`HKEi_*?Ux}j6|y2i ztw{;k^-(HPoBmb|mfxKSDnWQ`gB0<73Z_eksRRS3yBAv%m<KU02*rqbvEBkD!iheK z)$sdALef?jN<y)(i<FMZ$E%IaW@0hdcWxJbTc7X8{^d{wN5E|0aRNxJ{q=_!N*m?g zC`@O~Mj|B!`^kra$k_7f{!Fb+u=;MsHyyEsP_bV<8`W5$<PmpqzfKMa#AlvM`CE2R zM98lr;(uY=xucVEmjR0Cm6Z`SEqyDY{Xu#*-o=Cx?pHHUNmclGv54xP32|RJH2rmp ziL=kkHE2Y)flqwCul4a(b0PZsl6Eo+0)~h%`2g{HQXWv0CeHK`M?v{Sl2*LW^?>%> zS9tb&Otn@w=j$R-;fbpU@b)W;$rgr0<e<Rsb-hS@OE~O{WWHF>1><ZyM`EHJ&*ZRt zaQ8@vC}e)WOM_RlIGQ;~Od;{qts0_w{IaO|7wcMl7l>;d2ftb^nV=GeKTXR_l~(qm z^M6qbXY;OV=&NoW*oYv=aWu&G>3I`HsGQvVPzL$mO7myoE0kmg^#%q2njruH%)hBL z`k$4C&dSNo_8;ZuJW)}8jRA3J^9iL`DnWoq@{7>oUF9?!nIMD>SOQ}B`lA$Vbk>TT zRLJuNda)>+sX}(~{y_Lg*UQUyz86b~vTD|T9V(E`0hO{y3^LJj82LuE(;=oD>TfCO zRvvF4?<sP7pyvd>Ig!j)E&7}!bhjKKd0;HCiUm}0Z8gz#Kx|Zjc(h>>vAvwqiGh5{ zG=gu@(qDbD<}{?>)k|)f))rBzp^_BZ7FX{!0N=_p^X(C$p$92(o)wqF;0-g;7<zHG z5MOIN5nTe{GarW7Dr=56$-yhD_aW*6p>GN<1gC8vs+W~ZPiG6SCv(|yp$Su40w|ox z#svuR0yD$R`fJCTh)Up;T&|46(>KCWA$t$gE$u1hOnMoM`eP|m>M@eyp)>WB2HHw` z7dn6z8Y9d_7GSs^w4mLtmD2K>M@QQguJNMoqfPTzzPEE6yPKO3y%F1Ka>uN7jab>2 z%UZ;ka>jT~Rl>W8a(ZKkLQK|E%3e1$k8Z@6hwpKgX(;ZjqM*@JX(@jj%XpO@z35kp z88Jt0SUYHhh#Wt07IQM3wYp%RRg?WZG?dwmGK4`3t-RN6k@s_3FCX7Ppl(%4yL^F> zCAp#pzxDGe-Uxnx@(bjG0JLq}1~#E=g_F#3B4H<3u?%HQvqA>UZCY=C4e}`~+kzn> z?3g{fvCySvZl|OUf>=AXjWSjjt!~aw%Baoc;FQiT*&KUL!j85h)sHO0FdXnnb@M$P zyMUp{-QtHWW@)c+*C<;T+#mN7FR3;G8z<Zpbx>5X$_%AKLZ@HgQZ_8R2E2DID~a_Q z^2T#E{bhH>zSv5AtR3P$;#=g%6?oaYqWS#eIqA>U>mP0Rs0;!Cq%i!O=OkAXvwtky z4XtzAH3rz%uHssmh=x11N@Jjx`p@&94mWX=$VB;T29%A)BtZJv2HF!u!sA~&pPo)% z#$r%@mTJv|<&-#G=Ol#5d4#WkpRykLe_e>&B!^?$(p)5+T-=rmT;Ok(T<yc~m3*Fe zdGw@dqrx8|5$r{K1fL@usR6rJdG;p0UCD<#y0v-k%#}u7gV$xB&DJ0CS_f=!WnG2M z4#5`mu$_P@qYgZdeHia%FWZ0dSg2?Q@3Q@+-IuF(pdFyc{7~6bo%Xi4yENi+dUe|W zj`f<(X%F_ERkwvjc6m*JC+<2w7YE;xk1bNptou7_LN}vOJ8~B`7xpZHKkp58Eyx`k zuT5Rdel2H<!zp;$FkhbmL4nnHmS;ryyIA{~6xgF;SlOf4Bk#FXnCtx!8IsooqmAy= zo?LXkcnMIHc8)n7Ba73Q0I17IPzxPQOT#ACDt}q|iE_vm!SITWbhVvmhzdqtU5Ax^ z`k2fn7gS|qFC?V5FV3=2)JD94u;*f!@Z>D;9pLNzMpdRR1Q^?cN57R48!IWMOTR?@ zfFdYD$#I>+i5_&SJu_{%mK%XFimJ}fZpP%_u_e#3u_l|SmSoX|M-}Y&&DH+2Kw%sa zyLoa|F>!vl>>*NW4#O)zD?)ss7{kP5p=8xwWrNE_h2PBAPN$lYktGj@V`MbQR#n}Z zbB{yd`XPJnkT1h~)0!<q>`MP*aQg6I!HX-pvb=7rwJatRYhl1?qhGZ<r=c=V#K9A^ zam)6l>8@q>RC0jvYiV4=7M`al%kF}E%q0N}-kO?4p=?x?IQk7iCUXo+mlMlmgEbFz z2+XJCS6g~0LLG>$$fg`Itm@wxxOL=Sr1IZ?oV1mB<xAk@_CK=3qG17ha2__L7M`LX zlicFI%p>UI4Hr3U5GMZQm}u*$^g`%;y#q>Px5MU~lnIs_;3|V>#xG41c-cGb)4Jl7 zCRMZ3>$r^8>?U>6uWEiM>zlw`zr&Tq&Cav~@D|5xh>E0nqN<;Ab<~s@9XvrdG^hT? z?N7qTIk%A^*Qe!WYM39<**^5Re7@*&GC#Zc&DIXjoUL1ixhVqogP`_pzvJ3Rwjw|3 z5R#-@N|L^DOlxpVS`Ld8eim*U;jgs>tU-|-92)@0`V6$csU>t4t|q4ccRjkegUR6h zy1vK<3rE#hhe2_5rBKQoptK#Zi5uA1*vK2$&@2>ipoND?+%fgrQ98SO=(;3*`1QJ( zCUY>%o{%t}wr9fULP}!tS-yP11t4$cHX9M>p8Wv;SOQ?ck<S3Qk>y?3#@LLYHVl}r zGtxuPs&L;5ZAb!7w}epgn`?zhQ$i|Oba-2WGsN{XuagOK0wi>_>|Knn#ZpwG>@Isj zN|zmey~xcM{j8bZle4o}N;0DPgO_7#C)srPmyb+>U=@{p<a;MV7_1$%>HMS+AygC} z%EjEv>HaQj?4Bx3@oxSFS_|Xp0y=3^4x5MmXSD1{-p0zT3*ymZhHw5t5>=9WSXMmf zKayV?!<>I6D6e=vwAuNXHMLd5CaoT<z?k4)G=w}g+qq@jJ{I{j|13nf3O>eT8I`@` z?SQ8gDp{^8Ow|iSllB@&ksJF^8Itv(IJrYZ6O3x|)j822=SvV3bsBmq-cvv^;pp2u zLIP5zhb{fRPux4x4~CAOA4g|1EjuSgcy~G&<N@kt>RhRAS6Yt)=Qu}M&gv3vs-}uv z8<$gko>I(Z5LN~fP~D9s=*bbKjy9U->r2!bV#;Vj96<5AuasALE3QRdd|u%K0V?bE zbMN?JKAcYORy^buBVLcZEBrfD8%=%6{Atq&`V0AjEB7!DNK@a7VJnqGg4-ShbLbGb z{8-`Y>Pz)?t>O#f{D)Z*obR(w{Rv?&Qw|g;0Iv=JkO2U7&yG$ku8ssoY=9dD0J_0m zA1qi`0exIb9_B364O)#G%U1@n6^ph*qnpRRDNLlarpZ<>NI7>#dw$?fBc3q{3*^E( zPMsh?z5>1;IKXz&x~43=I2ZkEq+o7TUhdCtv+fTpbvJnM%sv*373LwGPIA5r@VJ~| zY4cf*-3O?8RfgI2+CTx6UvVCHz9~-)eGB;cQy_-DMCHb+>u7ilyvY_L@z5I5?RAvh z(FC0HrW1Us@;iO<hDIdF`RDQVDqh|&n{T$7C|oWf3kP`cUCAJ1ckALPA4fNu4a}>w z)aS7^H_`C7`n2|eG77kvG_=uFK{D%)?hjAL3vO~WwtGU=uDKCw#LW9c4EEJ}_}1m_ zd#(^!iRkZd^5qa+q=Z)!Y5`(?b_)+{O~1T$%f2>7LHMcfXgBUNH)Eemj-$kxnX?>* zZZ!LhzRo57&S{-iRv+Hk8#;P*xG1&6^YCRdRwEkk0%rUA7N6g5np#K3>z-|iAd#)U zyjrHdd4tU@JTIYVtumvHC%6|kHC$MMYP-CK%>{Z1(>grJqYG0UN-z;uF5eIktfO;Q z!*k6*-J^-rp&518%>E`)$B|ISkts#7U{n_Dd((C#9O%woD$>Re6Rh4fZUPfj0Af3Z zw$Q_F9DY4J9b*f5hR_)U?t$?WEo%)kdf||O0)JH)#3v+e4p^>&okcge>>{%#&*4sp z`&&4NKQ_JY$~_$&`OA9j_u7n9MBo!J_}0ujJdxFR9(+&-rO&?m>y;mm<Dt>|eH?{I zt?ERAeo%{K>chvRff=NW$q0|$gK-;})Q3b5gyxUl<Q3~t1le~ZXUmYMkvYG!#_p(h zQ`OSU$0te+P@2-~rrY0&$Rl3B`-&@<bGF9X+HvEye{#xCMqCTZ-OEhQjq+}4x#}gM z_K%qsf3pjHS(xjq6d1mEh$@aa4d^7!`1RQrEJn_pxr;E3qSOy)dgw=3AbQ@<JD0LG z%iXMm{a`u2bx$HYa?yob%H0+`6Atuh$*Jktoq_r8-@7JNW$R@I&i$@!bk20f=99nk zdyJs`sq6Lt>+RlR*4a(m=hf3M;>Dn+)}Vs7=$=$1GX*`=&|Ss}kMxi1iZZ4~ePJ>f zVJA{rfx^$hrRx0KY0Pv>@M<8KcJp(MKL;P=vp6*<fQ|G~S$y##B;Sleh0JHn*4aS= zs_2UskakRj6iK^If-;?Qk(lT9hTtykSEuzFFgR}XEoitigUS3~m9jM)e<riHdN*_~ zcM=CnH<j@vRH28UJ!me!;3;6Y;3`a^P(4V{ii(}U;<2agL&mM{m8OJ6<xQY!l#T0B z*dKp!o|gz}D3isbYwD1YM1k=Ox}3X!dE;W?`g$!<7q{o&kq=TUl{AIITg;hGyqRSH zxf!);3&yp5bN9<)Iuun4{vILR8!3_%OoBCGuropBqy9*VSlhqPh?QeY7FU~3V?uKz zx4!9>g->i3-_lzisUBj)_*5FKR?&;X{Mp8R_1^f5%+}&jB+x`rjH6vpJq1CQk?>Y_ zd7Gf+ZYJ5eeYm@nZ}K;pO-5%XfM{<!t#kzx>~+<Y-e*6)loEiHA0qd9qM!0s*FH8W zs|+^rvgZsv>0bY?Il`LYHC*!b**O~TnM?A{S&jzs<mZ*^CiBhh=I;v>><<BVqg?mg zyUr2Sg5w$G{yG&4yaDxs<GZ`g7UOTH>b4>)l%>-%HQ~y?-wE4Uf%ecX-s(HPnh7%B zp6LIkKBN7{2sB*17d3?_C&84UU|!)kkJO&}%0J!76M`tYeOBoSx?g`sxtGQWUJa0Y zWg*KuBig5Ej|~6?r&q0dzd3c3p+s8}7?#^v@cUvo8`ASGOWmV^t;i-N!y>ud4v}&P z!qkUCceJHPgO_uQ)}sa-#@b4=vUDw91fO7{ALYiemRi%~=Eeafub^wjz({!SD^y3I z4*fb{GkQoHv5hYcay>;1@5*BAp+&1(K4YYDW=;s8<9Vy}gt)>NFB(1Twoh@i_9u0k z7_<K-mLG(>G!u7OH6u44oP#6;#=m7dEFp?ZM7#)4MW6_r4$WN;aaC?2zs9NX;O?~d zO@>K^@zsp=CxQ&%e^*8NY+tK0t`=eH5J;VPAqO*ZCD6M&JGSMrA%ou`g5`(Ls_@n4 zxR8*NUoec{7MnOQGbT!{(%BhC9ruR-atXUqkei{YC_zqoq?$a=j#UOy|B!?iznj`Z z>wr?B0}2WGPWdE>@rzbu%y7izcCDc^2a8*1I_bsx@2L>Q66a`#!FK4%h|}ql{>gRQ z>wRT+5}^v2or2ZtYW(kLH1^VDcOIxm5k+@Wb^VD4-rH<+SSSdq4IXw)d}4ZbN3*zk zq}=0g4!0g{Nz-4Iy=)Oo0wQO*d9H@|(ao_#LZ+n5IGSW5D}HI5@_dm{)tlx=^h^F- zZaIzfHAPK|%_^al5i;o!Ci=_HzNTC#Iiw3JE2aqw{5ij=f#3Z)_8Tosq;)H(i0qB3 z_s?m(aO_%ASJ9XWqhzl~Xy1WU7kT~VN$IL&-+9FGvV#W@j)?1ojov!9JFd*Oz(W36 zojlV-cuN4jgCSBl32c@G29~DFcdgX=!g0rM8g<`_qKd&U&Ed@{DUD`TlKe9?Icl<` zWP8MvuF;Z#uKgPHKXeLZF3i_G(K}Fw`{eTH-H7`e!?gwNGdYH3!s-=;7lx_tzFb`5 zBnEGuttt%0D-s2!bcEzcrBosHaa8cf#16Bar(b#c>oxh#6RINNMqb%dv+eL~DB%*} zQo*x`=uLu?6ie+Qpcra7MM3rf>C4=FJ*JxKjl=@G+k}UpM+9p~#6xr7gr72G_NXs3 zfDxZ0(us|PUXuxCry-b5keDFcx)oR|1f}yt+iOj%n0_ReV4M+@lXWw(b7e*u>!mt6 zruVlhyZYrk(-YAWZ9^T0vLxsP877-0?&~y2W~~JC2D?qPr@|FpzjCB;mh{HY*U`d^ z$PT4cfmF|vlOBB%0R%<ZG)<Y9e0=->>Ph@##u8`Go<mgJPAWiBQ=vlRB~=K7ig13! z|BErN={T=lA9<QXoN@uCP77el;kT@3uJnM1>-N?}_>7FxmjzW`h~(iHKcQXfid)-A z0<0=TQXLMt6TV(O=EoA-7P&1j=hl-Z-&~xr56gYerY=iH7qKiqw7O0lYl5e1-j6Fw z1jLubu<ZqUy*jCe7Nd%D1|ZR{`*qu{O?cmvu<W71S2uXCH1jI+1AaaSKdkc#!h6gX zWU)xRfp!v)Vhu|lDA<}Kbl;7o`lj&C8r~A#XX^_GYqVP^_q7!53^-?*jCW2q1KUuX z>J3t?CjysLH2%~$l9C`on?S+c=O_Z}bjCC78nO!{NLr8L%+-x!5Ue+LXD4Um07SF8 z0n1MlLGhFj{;iE_P*yFIV|)f$9c&bx>#r9DovwQARxSU0{>0HEy=!HUZGLt=38{W* z*p%K|nsoCxIjKDbM&~CkyZCqr1NadTUY|xpW=!pr_?A_32$6UnDP<wA_*B1m^k_SJ z-!Lv~#Cf+k@0YfTR{^ie$K9V)KL{L!FR|A-Kx1ydGoZ3M20UU!v|Uim`Ju~Bv$h%9 zFSV2@Y<&&H%G8SlvzfXQ(`8ZiQ9jRaBbx|3vG?VJ>d*?=!|)K_e0*ho)7w|IaeWPm z^i3siAr;(7kpg{g+*y9y@%3t7B|TE0@{d1tP!0UD9K<qnJb`tP)=oETb8&Z$=ws`J zk3$0?reY9T+J_app4Wao2&FvFx!r@~!LXQWz#in`Gnmqdu?oK&OHh(!u4Cz(OV&}| zw0=jnoqIyEJ?#6P5O6@(J7+Fh?cDn4_%o=c+ld8aKla2E7{Ti7WeSSzyTgr))xB{i zrB|^Wf1D!QYfVoKo*6Qy>FXWaLzd5A$5yb@*8uirS(sv~SG>fRNk$VtH<0bPXJ~l3 zydK@P+8)?b65=)Y<XVI#R2*Si)-9|=;e?4`xCv?D-o(utj{@_FalC-%t6i37))f{9 z!M-4(&a)9LL5?PdHL6jnuH=U|H@>UHSI(J)ktMN?vxbXn<<J7lNY)ad#xD??z3Mbh zWwzHZqss2|IRz~+-YT%#<*j`iLkR(3ldXQgR9hPxCS?$d_C|n+8Xw_dD|t><cWhX+ zX>#vE9@u~|1Mm{N)GdBmNLz@WX%*MlPg_I51Y5Nvj$xPu*K7%r=@smUuz_}Fj2KvE zZ+;mvUtaC)iZkFH=WHG_x7;`D+@?IwRT};Qf5&(KCR%*E7+(N<-R;{l7xpo(5;1CD zLC57ZS2Bajmz80I6ET7rFV6uj>={2jhH75A(X0yYoiLLN^8xCytBusp@cH1@r|<6; z(}rxkXL2KW3D&&wNNhjCG}$rLqOVCuZ9O0i1E*i**!nAmvsP;ijNiZXe3GLywUC67 z;`L{8Ypd}~)WQrgG1ai<+S2C{A9^FC)NmgTP+M`D6|E6i>ww$1?0ga_bsPi<EkmN! z773-6ED*56IcBBE$`&Xu3~;T$=TUCEb5NuK__Q3?id8mNMQ2ok$S?68x1ylSRj3;H z=(3wCjYUk?tF(bjfvQ6TFC>;z2Q<_8G6Po8oL|gs8hNz$pipsS&WA}y=wFtmCmsE} zj5M{X)emAIg_1pKYof%uLoWQV$1Y3UFtDR;Yma-BaV(=1)D3Je(}BO{KhJn4XT0Q9 zEUvI55o!ekL!$+px{BOqYU}kK9fY4KpP)TS#B(taiA$!e<FDIvN~pk=mS`b@)Bk{= zO*3u(h#&7j6g%L>O|&dq8<Ip0E`w}hUJ%o6-g~R#6PYeWZ{{;&H}*c{V)ZZ^nZSOb zwgJUgGsmBVjoiO(vnOUVFO)`I_1%s)9kSd>k<R!Q6;(%yMK$KN!nl7xHi9Ybu?0|@ zF*TMto&E8iu8dbD<1K9Zc3|>dkk2LQ$>t@28eQ_6(9v?q54gS;Wy;EM6zL0!9hCjI z7WwruWp7vi+IhPn8rKxiWz1ib?T+y##&Vj`&z^=B#T4S>y+rBTn;*e6YR6L^Y_fiL zE+zw%F}K?9o#s>%Hc$!4!0cfx5XZNczcvjt*f9DoN=IrIKLDh!2o{ne(XTeE1|zPF zST`3~J^cdO<Gv55VeiJ5yvTIWg5fc!MB$K%aMk#1lA*sfB*(qQBh~lHP#y=v99>Te zgv)>OPROkn)xsMm`JWAD*t5Ki?{s2Usv_0P)-FB?yty$Z;nd==okC+@_<Sg#bi2i6 zIMPJyf4WnZML|2<;zorUEs2YYjh0-$5ASBt)B$%Cn?lVB#ZHu6y%*4)*kLklgah5N zY{qo1fpkPrPh4TM;tHXuP0P5kl3&!(`gaOuh=S4nxc>&qB%lA=P<<s*Sg<LKv<bF9 zL;O54c5*J%Uvt70jvO^Tn|0)8Sjb&kIH+Im7Y&2Od@M6^-WL;?@wf-GJLqM|3O7fK zqLKAk-{m@X3AzYZ$M!yIQFzB^*v&(U2h&L;N9=E1Kh7c2x2PWBz8K!eBg{9faMFD3 zVgG&KZlX~gQbjH9wAs`~(181%sSU{GOEeFL%`XJ#d-L(AEtthp$ej)hy}(|oA>+Ad zPCAMra#~=xwc3*%u+DtG3=z|h=ZCdcu?bkg(bVkU!d5j^x@SmVX`n~BE##w-`qqw# z;>h_W$}<0bn`m{(owxNx2}CyXn$q2)^v4NZzk)qlCEh?y!h5ea*jZhct;PZBuETqe z*q2=hC)JQEBbOAKVZ276i}M8XCvO^i$CfZbKb0CJ49X4qea6YeJ5e{H5J4d8cPwrm z6MNDu@#!lLV<}%-nl?3G0LYrq5|lm9Z2K8si$QGPy3YWay?W2Ql~C2t70cmL9|yAP zz|1mvS3c+EN+@&#nYW#>V)%k_h+I$DlY!&W#%s%s2-ahIM|xoKrDKX<<^5^TBAfbo zvGXI|+m7W;_X}|=aJ{on*HjMkDVVQ2IYutQ(hM0qq;vp&^ahavp5U%n7PcYxNns~> z;D}2aSeL{|?Hp_g&RcF497A13$(vDGi!FEb_Yy;U55J1miQGYgv{rC<li}X4%?Dx> znvZ6OY#Wx?mPK~_UL_Zj!Im4XR^e+cI&s@~C<KiPx(?+i4xLMAKXnu0D+d^GofA1N z7)NWL(rz*wZZ{?;gTZ`kw|LGo)VX-lsL)S-J!Rufu<=x@DmLB7c~h-Yz`nh*9TM3r zUg_!A#3`ca;u}hXnG~1z*0mxe+Hw%2hwI&+HIS@|%6*kMeWQ8~KNeS$;4N@Du84NF zP7#LX+uaubSp#5<T1lpIrL;s0Q)<=Ax@us(I5QykrZz<&uBU5mtziwJ8>Jb1uqf~2 zHZJ@XIg6X_V5ez>nV^SjGXLcVqd^=2WYzrLQVAx(X!vCQ@y1gc2P2B~Gz;$$cxrFi z0BCSZS-PrD%u^SG8F^nuano~|+0(uc{pz07N-;eg`qO+<6P!pHMLTO#{CKJf#@_u} z0nM1r%_+tK+v<|@wYZuky$|wPR%)x?(ttR_4LdDS<$$Wst-~ugf`0uW<x%;`eoCu# z0U=JmNWQX!#3hgbv!o1B!6s+2JqawfopI-;>c{okUS?<M{T-ZHrNeH0_);(@j=l}; zg(U4<9P5rA+_OA)m^DrO*ZG=rrEISewXe$2l=f8i2SK+_)Fzz=Una}crxeU|1B5l9 z$D)1gfs?-iG2Xeq&6#h-rcA}UsqJ52VFye!fDh^&F&<Sa;)oK?7+?HOwg1q}bN`iv zgP&q0xL;QCoD#bE#cKj>@O=(BvcH_;Vmdla)At;Xe7^r0q-&ae<i++Jw<vLGcT-ea z94(Z`h<u0SX50H3!>nY`jjE^{rud)^?IZE(d$Y4HyS_T#N{{2J08rE0u1$l~vwJBG zM<(0vm!J+IwN!xz&-jt&DB?!-JnwNR@vm8(!pd71iZuK>DE3kx{vu76B}qUWdgPTP zKQVjJ_wXYduYLQG<oFer5wfgJLmZ^taTOlEadjMp)t^XNqt)ICi&x=GQsmmy;&iz# zc+if|+3Ec1=Ve-f2}lj-Sb||0%7Vg#KkuooMCRx+Z6fbt+Mb^6xMX{zoJH;vC+_xE z-Y@G^9bPOuzUR64d5tLiW4hzl#L2AlzTpAQs6@AXX%XLksnL@6qy<y(UW?N1L3_d* z<K*)cjJ%-fLzA9=N7v&{-R@hb+V?UI0gTw$uNAf`dC#lMrFJood{fquOyl~u28$Yd zO}~@$^YJ27nB`q>e^JIo{{&*Y+D<#MA6OM$3=^%*8CTagCU&gX-<@pYe}0#EHCJYD z&ztT5K>|#ZJysW0wf<Q7Se(b5eL>P54a5Gu7ATf!RY-fKc6ejWF=nyWu1?&LRMvQ2 zC`Gw@oTHDY(saIB`Pj*Jcrkj>>TUANhsnHTXeck9fXmj+(9`i(Mbm!dkkFhy0lDXM z<Lim(&J9p_*_4A<wYAaap8rVBjVi7levU0a(9uZl70ZpayozPG57V&GcFHk>AE5=v z^UQ(E!|f8ER`ai5QF?Fk<SXXf?jPj^Tq})`8pK?C1hk%J<((LFdzs?%zhCe8e0+gG zqSE+;gHZNfht^x_N2=^zj3rPsessLQiZisqm)tsw$BSBt*WqfalV=72g{rMmtO~@s zUV3C<IbxwHlwB%G!eX}D52!kRu<DM~N17CHj4Gi>z+;Zhp~2P7cZ3q$k%ZSYtK-RU zOC$GVe%&wlBAMpReVAZSP+d^v)$!xRf`3WKz?q>nxv!5*e{z1^4JD+uBP+S_bXyR{ zlP15?{ZUSie`ad&!}GYZz?(B<jyGHmP$4~ZoLy-a(_OdYYS4DD>Ki^y6n7zG2IkWu z=&0?p3QQZXh=ay0W`R7&Q)HT?lwxASFcWWREO9*LpWAi;sXP3jLc?`~e3xDhJf|M2 z9(C_L3co#LN8}BynVcnF*$zGS5W7CRL+9Vp>!)z7;hz|1U|ke0q8M?`be<#m%`__B zc7feirq=zp5|bsfuz}wGL+eP7J)22ycWVSq>Dywy5U5eb*!fVdwv;;^etnMJT|Lj) zGFC<vs!e#6h2Xy6j%U{Sj@frD>;h@x+mX{T#N)TdW+45z<E)0JIaRo>k(^?Nb6s~$ zi%{o>2Tq0szRv?;5{{j|3{i(md#o7suBLu>^?ogIqdJWJF5w^XqKtN<a$cyx)6d?n zXP_KDtVb*jM&G$h_R)b@%}n(3dnqcyjI#K)NK0E<#j|9a0rUYJotucFx{v^g!1ITq zipIxH(+3@pY0t;_d(`K<hUkWOG4EX%AKZl3)mPOR(moSibHpF#PdTa&m)Q#<9|up( z;0s9#3Ll)J&(yd+HjI>jZuqUeU`UOx?%c!m_QO<Krxw)1?d3PVZXHP*>m4@kLEU9o zavhU&_?3ygI?kK}@1I*}K)@&<e{?ndb4#1FtwO-zb4N=A3IKorz<vJy+3oZ*3jO~0 zW@2nl=VoKAssi<ASJOW_oBqE9tDCDu^v}P{e+K$r2sxkJ|F=u3zLT?y5#6`{NIaLS zd#3)Rv3>p@>o3IH&&~ILL$omY&oa$9c#|eRQyTt^|AqdMVxgY_*xx|y4IK?_{%h`B z_S;(ckN`k6>Hk4Lg?~bAKdqGiqSVyT(b}EP?T<FG|6xGexgMv7(EtFI<3C&m|2!p= z@c#<^uQ<wm$N|AmQM#XF_rHn%sd460^}lE|vNLov`L9s=!#0LjXaL|E^N+pPKQ;b2 zn#$z=3Z-+hFtj)QH%b55T{k4=!2#w|;UDq8N&g9qWcnMRy@}Dk!Tq_cH+aL#b+G^d zjnDY<7k#s=e}i-T^c($$8KL$lX=C`D5$ezQt2j%AzoD7_;R5+v+@HD5fcH|6eCGK_ z{1rEE_BS{u=TGzPf54qcZ#{5+j@2LW*STP}`Wu{yncIIEJPg%5Vx&(P!oL7Z{|;zu z{q^4h|4evE30e$p3;_5e{+jT^-vJ%}3l8l!k#YRzz2T4eYr_0&K>xC$>1=JSZJqwj z2>!WRScIYwUPXZboS#?iUxDzPe*-iz{q}Exe<u9bUeW)2d5HS}|Et13J4gTR6#psk zuYU1=z9l4-{|)a?_xRuP{?zwZ!}mYyBdYit-v8LY{}%VByuZ5o{#jmR-M`}g$>aAQ zn1A(J{WDXl@n4yA|Kq&+54gXYMgA}2bHC-5zrp?g){(ye!9H*4f0Q_M0OzNB5qlmO zKnD<IF|)9tW>7RSR%Q{Bw{|y`;$ZsvO-z(pT2Rr<k;9zP-oimrOG{GIRYFdX_x}U* CXpj8> literal 280479 zcmZ^~W2|Vw(l)ql8)w_LZQHhOn`hg$ZQHhO+s2$blX)k1@_s9+taNAnC_UX(wW{Q$ zfI(0I001BW(yeVZ97P-u<$(YIpdbMNzyTlujE(GR-EFK@ltBT24{un^hF4_lGU+kE z?;am0sAV_vndgY3E1FRC%?y|j06osf9qShBkodtCoO69GgqEN8#@emHX?=e_?$=J@ zF}818G1nXvibZ@YF+J5fdoQE1VC8Zq2&b*+{c6)oz`B^}hN@SVZ#eZtU#5oR-k4@Z z3GU8Qb}fC3=CI*DHjb@)xChg^LN+X$09+GIVVW4^kMMVx@efD<eDt4eYrxn}5Zvj( zOjoaP(k(Fh(ve$7xVIHl{kN@j*qo8Qah_;ZExxN^l@waXeH_0#t(+H$y_lzeLIO5x z*sHPoiGFfE)$wITD=B4S1n_7MZ^y`-P(;ye2e*xAXeV3)?kRny{tD!P(n!(9xTL8% zCp%P@L$lRoK7ZJU=Z55!2Q@1lvF!G;EvRy5gabX|%x<_Gw($lmMy*^5TJxQbT8s#M zDfZyPLFxSQCR5;UWRVCes>n?4;o36kKX{t%?P2^Fyv(2PIkU3|s*h+fNXKGt7M#@b zRKG}8o~!nKBma$U4Tcj?4aY0OFD3Mvf2CFcGg;Drs0XGBW{jwUqD<q&kg1|bFz{^7 zCs7MZ=}}%kwFC*gQID{1QB^8Q41?GJDQLgMKhVPE^llX(-z7fm?BblvF`SI|BGJk& z4(X>(u{w~3jY$R3tS13o_w*w@-|Kt#z>;YwWm3SSfs>2cCRc4Q;+|Eev#`j`Xi9!C z&RVloQDPZ-&X%tz@*rGFLzwVFl&(+3Q`k#HM&c0vsI8FCS^-UhzihmylSM-qPoHKw z`~|?$@%=j9a^ds?kpD05vwXZ?K?ei?So%lk|M5N(Ckr!MJqA5DM+19%6GuHKXBR_S z*Z=WGpO|SYAO?it+fTJFBWSH&^6v*?&wF`<@?!ajsPQu}Bcz0?j$fZd74z4F<NnO_ z-OSZnBTJpb<(deLo*r(VD6m4ro+Q;1Z9`jOc;xE(DR4k3xT{!dFOgrqv~(C=L&8{N z5UDPzeHv45<-oz}ysRgMasb}8wLN{4YD_XzD)vhvVrZG1f(9_HWYxfnKEQm2`h&PW z^XeHWla?!iHFG2{>nlvHO9s6r8}tkc`adCK;>Kj^>A}dn>r^&w5G^l(bhnB?66;9S zr2vc`MT`<3o?BEk(<T>9Oqcr~N8=nXZkgsKBndN`I>`v0dV-Bzc<AD_IyjGp8xD;z zTHoy;W=5B3+pmwCdt@Tf(5w#&d2gWqt<-DDh7YQL3T6EVYpnmXQq7J3Ka~5#3Rn&B zBZS<(qdHa1fe;yP9uYI3^c&W<JhfcemN?k2!**h`{PtkytIof$PI*0^csam?Sa3=` zj|hD{vHuktoQL3*i09oJ)Sd(>k?gNvV^_}?>Kvr}d+<2;Q^NOWuxZ1AralloVWkho zjd=bWy+~Z$mMbmQBweg}@Gz;X+RVD%I|rRwhV0O|L;Xl0cq8#PPf)DclwwnBhuJ(p z8|2QiDvS#P+5fJ?A4YtTg6tR3)DQBO4Ya(4?UgcVs;}usO&`ysUbhBl2w2&)wmX0T zCeX{?xVENmFhi@IAa<b@t^Zxs|Gnek8MOU1MfftPa#5O`wpBV!NFQN@{D_mUG0S-6 zE`lY17kWR%+2^JM2Ffq?m#Iyk5PYX4O$^9t!6%yg1MI(bEle^y*YWQ#ZTm<6tFG-0 z91U##>qLzlD~DievUgDg2tsj!$Tp|HSKBPM`E{f0c2!$*GLUli?ee=R%rsoD-Felm zVjHu)RP;cgdLvRyKaSc<G;Y7cP6nSmYN2~Ky-((QxCtFzy}Hr6kj^G;?(eZoJy5WE ztT{%T(c%r$w6XMka#V=@Ncx@vU&l=nG3S{hKh=zPV848dNbtKk#_>U`D8=?Mv#ODU zntP#N8|x@qmStkNZJv~2NWK>1yQ8i}OW|ysa_l&GN}k&M|6!NYeqR$00sx?v<o_VL ziGicF2d%rY(f`1=T65EJlO4%tR?XSur9VBv<=(_h^;1|%c}jCTqA1ZpMy4DeDFHzV z1RcP{O6mRe8jR$fF}-3tM}mXVjvtS0?(`3T(*g};A(h1zZi0IqhRC5kqKp{RTSm1q z!KWS<c6ahyz3W29%+?v*!ukyV>d1ik55rm1u;QyNXYP{hQHRpp`ompicZ$jAL1B7R zq^?=Io1?{Y$B>aqb_}}4eVx1VuZHve$1811?!y%}Mr`T!&EBlu4o_LvF0hTG#fJex z>}|hkT8ekR<mE@HYK4>KYCe~K4y95=_0_M<4?`AQ;Tzq+BdqVVrIGPD+B8vbH&>35 zwt^|r55h9nr=xoHc-^$*pjvCKu^+AgQ{8T!eDPK8D?hKwM%#}wD{Oy6_LbP;yAG6S zL>FFI{~edUu86o<<Gmrq$b6}N8%ES`a~fD8eSmK7=4hk&MxkC|=DN;?Sl*Lg^U`yD z<Eo!`?{5bt>jkbBO`XDRXZ7AH3($nIf_hnmpf0s=&ttkL@UskKLceuPnja=yM$uD7 zR+i!d@qynIB-sKe)dIW>-YnDJ^wmCoyD=fhByS(TnpfcpHaPnBj@;?ny%RJ%9WB9_ z8?%~ITG^C2#zgkOfw_RPsSQK~kT+8#LlrYudMn3Vt@|8DevL;y{x<m~SD<vN?A}yS zQ1Zk*0|^v@2^`2U`FZd<Fad+seiAJQ{qIb0xf+6WC@$>5aGtCwoLDVwJjQ-ac2lNT zaiX|aFkHRa==>@E_us!s`sS?y${=7;yiP2J93pf>g(fm3xgP%P`9J;8po8R?Q9<TN zBUxqLv`kgGgY69(1WPGMcKJ(iAg-C?3zB@K?J3j8&4?sB)UVW0++aWZ;$*VGGq8O? z31E|xgRY`9RvA<57Dt7SnXJKb=~W0tCI&~2t+_3+P{^T(ZsLH-@@XU4<jTI1B@Hbj zTyLpYF0fL%SnWkI#B&dW9+F3i8!K8@)B8dG7%dYDw5eVQDj{jZ4RO@r(hns-w}TqD zz(uVFNCU*;^Zp=*Ac1K!p#&hgf#!d<qJ-S>h!IF`3DV#+FxsnrIV9}}Fk%f*wxSn{ zL;$$^cS0T9o%|?|hWMU1!VmQ`L=6Uj#*e)RnA7szWD3_&7(#oe4(a=Y%o*{-2xNmb zU?i}L+|H?cMkg2h-)u2t_!{IL-+#bz(`FPg#1hG2!_GMLj-XA6_*wsK9az{SM;D?v z6lC+52^doXkeZ?xX%0xR%lIsaDsT=<4?x5Qo%2iuu}6cs9pZ<+>u~3i?DLh6spGeA zIJn;T&+>O7p7n&6r6P3}J%lulMstf-v{Hx~!>tO71C2DZlhd^+7EV-^IjPj-CtV8e zbJ8W!1TJ78DklfM9fpZ^7O7FYYbWP>mkYLkgY##e?4xXG0;NS(t4lE|IzJ1<%P{!s zma|g(cI{O}#fRXBx?-hokxPwRH<ZQ#YZq7uLW2{BCP_>RjiII|a<=M5y)xvsGltK^ za%3CgW<pa3Ye&~7Pa))uo>p_>5D`vgC;!%%9B%8u^FZUP>CfaKujZ)}K#^%4QL9cE zn67G`##WfyE0IEix9YYbJ(jRrcWdT@PtLpNU-uX5>9iRQhnq^$M%ScMML^dK#ijN$ z%7djE4#+!9W>X7PBiOfasI*x8WQpZi{o@yUr@3uQ6V0mvu}bBf1A8AK+YZE&;jg(! zkC0iq5@&sZ21NUUqJgIJU}V_cnk$t?Wt~)sW1XRci9SN185W2U#K~C_SOA+mHHdaX zY5u6XNA`Y!7{)nhce{*Jp2nZlrU8DUSixST3jOo~DcW(ZddsBD@a_^9ne`=)M$5Zl z+c>G@`zyJ(GDv8m)DwpAU7LH-!BtKqrF_+gtrb)k@i$0R!SPHS>aKynF%r+tR$1Hl zS@014hrb07EsUceXkx-N<d;=(Kuk1@l!76v>KN_RzlP!#Lbv98+=xdFluy2qu-x-h zjVM-LOT=iBw3BQ-_o%9^h;S_3wD!-@HjqxVA)8I+rU>U~|143WcAUC#<>N?A8F&8) zB4gY|JekedQKY=E*T^$Ul6o>b7J03#dkAEB%Ld7K{kpp(iw5!e-M4o%=`PV}_`nN7 z2ln(G#tLJ3$$YJ7(7vQ45ftTEq`)u_&1avgqo!TXUX2mM8&-B#x9Le}Uo^s(%+(Un zHHL$@_YheWqW5<&viPp6_mE9xz5I|eJpQtSUHL-Oh$m_1rlQ(0tdg7{><{m0`Y~rs z8q`3<aB|=it0cf@S8QQytFIC<R<JMnsfQj0shb288^MqPmhhrI1mI+vwnMXj3#Yl- zNKi3dGJ*IvsanJx($3x;MlNcE)V6}gGC4`e46Zm=(u@tZqNawBE}IA`5AwCJ0uhD8 z2O+3R!W80Sjh=&tHjj}=+-paubW%?0m#V*-ZF6$dw>8{PA?!R9Rx7CnvHf)MtF)cO zJ@H=|i)=)5>uT_*nwAn!_yjVNm2)VOKgkejyFt246hB{bKSl<}j6ZqnU-=BSC4eqK zvDn)Dtch&M$!1?rixt!#2uN>(!p}6mznlXcve4t2^?Hv*1cRBfv{-$oGVKDjn>VhV z)1LrKB(ezy0nbQ%EOId93A1wt&^{uDaJ8gwj6qJ_n&x!1FEm5Dd7C#bozz9#6b2y& zkOQ}7QckD8J|4%h^7lpiDn;)$alzv@%#!Gd>-531{iXKIkz{mwG#tC+7Qy4JzWdLG zV{Uv8C1`SbJKyO49a<>?e)Ex_0RW8c0|21>FJbI|MHb!JI(CQb4WYNMY6xSxS)e6c zAK{Pl;HQH12gKX;hc182g8KRGsa-~S>Z_ZRZl0J=dhrqztrHT&JUPk$BD4F`7~b2) zJR?aOl1My~YSb(mX8h_h71%CxbhAZLgLYUxIX=1lBzeEK{IqG1e*E+vh$mmeE|*t{ zXJ0xiPhCbl2Osr+EkEiU<zB(ZCu6U+jd95;-M%eS$Sz;${$a2sMep(F`{%^(nP#2) zXRqN|szX;6eLTz$F0Am*@hR2sf$Y=erAwD=k~<>N`p4vblaCRVTx+)A{oyg<d&;k9 zJnT1EhZOv<q4Ztxi^xMl?ec5zSl^w?bp1(7@#m5=eFRU`OhA2caAyY>n{S5cT_x(* zX`+XC(wN7`5b5yIa{6I3c$|QV!<bdFr`rsWnSIvRp6Vs*KK|Ic=O{=jWZ3i_yv%L4 z<JIlTgF}l3?W=b(SHN3m#FT1>QA%ynz2|Gdkz*jO_|XIm_Hxe-Ci0hzxArJ4V&?tT z_{#6iE=k%f_TkgdU~$&l(!uM2;BV~ja0wId)2cvZ@3b0)mt+r#oU}IwTgTgq^w-kC z;=^cY9;d3jJbKuZ&L0hzewsxe&1qjVwtsATa@SuvjPOk-nv-_=utgia$jU}tiH-ao z-Xi8EUE$#Md80C|dfc*KZM*#}du!0G$%VPRx!In8ANm1&{28@eQpanFiGKdjVbzsO zU8hd>JA@Ya5%-RN%5BWzx!WZlALe{x_3=z``o?~>W$=3I{}{*flTPh&V$G~M)Kh=o zmgCd?9lHM0^U7J}cR$)okEX>p23E=aF7JLZuri=>%At4ZJ?1T}w8DoMJL9=sDK~&< zP}uMktBH4e@J?;Uw@!cN3pF!auz&2Ijl*c;`)z!BXfPCp0lSvl`%T9xE@ijQKDIL> z0Cz92;nAC2`6iX~z;bS0`F8gm&>r#qnsa!DfDMMxKhw$jJ7cz`fx*YDmxq|!(!w;b zAi);X^twvaoQ5{)O0>;FgQn-mOQRiWk?+ul;fe+Nyx$#A;Jy;!=z?ZQkn;=1-h%_T z64q>=&3pO|1ry~X#kSGb(3vwzTWdGcj}IeC(3#=<xyq)U6utZtN0&Q9Xy%o=I-h(B zQ&^AZFzU|a^~HCO2_|$bFGTzIT7N|=O=#wToZ2dCc;<K|{|v5GNvt5?u71a`zufNJ z<x;fr`|cFH%CrsVF)X)u7IBx9^xg6Ev2zC>t5d;m=~~n~1)9h@)4<toFA9FA&kJYG zgYHJdwrAD}_s6j2xNjkzMK*%zM$^(%#Dv3i*U!aQDJ!y+6aAfFL<qI>wN0|+$f1>6 zS4_Y`0Hp%rw~HsDG~=X?J{tT%zI@H&v;4%08#sFu+@mb`Dp2f!#sdx|M{xp*j*@TC z{;H`8^OWc}MC-u0g`H9YoH!q;L#}2w<w%|(%8L+PcFzN9kzmZ2%x+rx`z7&O{M8CO zi3q0n5sY%9UP++}Ug~_yXw`dnXuu<dSH@3YN3nb}+dhBUV(;^WS)GT(0cEx&@lzbk zK7VrcG9U}V1Uf2G^1?P`srlr<;1o@|EC@M7Rt#7x=w2mE^63V@!TRN?)37nWDcz|c z|1T>Bb~3<@P$NU+=|s#yQCjS8&%V>b>boI5{8ql=Q2r@^_bzTpJ7pwP!W)E8LBa^6 zaf6*hS96*kHM4DfqpuqA0rLR+2*9;7(#7E&EXdWIY+qZOcJQ|p*vylV6opk5n!ua| z8x8K^Ft=u}aHH@%nwoLO))?JM&;m#h5%M%}$zb&7zRb|N>j2L;?zN;ZMpOZbs02wq zGWLXWagykkNV;K?vLjYjhfAh-ZNji8&ZiM4OAvk!m;AXqbX2sotPC_OjUX;0`HNHW zx2@&X=%zuiav)Y#pe<=6>ScQjb1o!$&`Dv!N<4f9ou32>-Qi@Df1DbyJo6yLbyIUX zJp>5ho?(jSj6L=PW^e(fu)A^T)pYP{32Y{WQg$80TIIh@QFBZ+X5@%BcmnMTj|In8 zm3q81MxKHQqZ|1S<<dl}Izz6c7|OWxJZC8$25mbodLD0K)V{3(=W<y!yMI?Ot$qJT z9W;I&FEvTmR%%sF{r3f8av4|vM8rmfrLC>BHU&p=TA6tmqT=6+>M{7{oEO8Rk(;81 z3cXE!?@{Fh&X#?pSCV9x?+viSLL}uLqH?c|IwP@!$Zt^_MUm}flxlijN{Mb3p@I_B zwv`r=;YHd(?*d3sG17AO><$u+q?6338BY~qdp$?#;>y=EpryhW*=)Z6q8B4B9h;I) zq4THkZY4IJee>@%+4;=#HmClxC-d$xH)U(^k;c)KdSNCVfQ9pU&wKZx|A<QM%)z2~ zE#vIj<oh3Kb9hRiv;vj(IRH6%H2G>;am3vW(VO&r)<EIZ@lp=DUq75PVBhhKbQCEl z-85t?|00$SoGhNAvt-bi`d~s+(9p9|QXIoc@g;!P+dS&|rN&B12kjMY7wp7n|6Ph? z(J>f2ctU2RfZg*bud`wD`oBK_x1HSbWN>srCzORm&2fLk95E)#SI2V|1bg1+boCtg zw!ix`t5($+(cct!xi&8K=#A0plfj%Vvgl5lQ+RYSh*r<^+%y_sDTJ_~xSK&RoMi|3 zo|j$iAX2lss3>HDjSwv<b_=&zIJ{W#Jv1|-lq*tp%`ZyH(+xWH+QfQAc3Nt{w+<0p zh_tBH=MSANLoCA$D&-BMxIRw4_BT5vAbagE^y~QGFr{g1mrR2xUVR6AjS(5!bYtKx z)Fm9fvf1!zc}f{Mo^RNVXr%|RedVR*j+dQ?ikn(~isbe{Dp{D|D}O!^TR_dV%qOd| z=hz#%^2NytDo5kMYv^k0VVRc`PBx|g9w^xA%ZCp%|7#mfo+rs5>R%E%C~ZEd?&)*o z9f+>Uy#$^8UOTTVRk#k;nO**X(-WNrs$YRQ-((6k<_Q^>Gf$``1}G7d#6K0!gi3Z+ zD4o-DE~sV5C=;?IoBYjs>Ju)oJ#|G7;9Gd1KPNEt_8I!38_iXW0Ir6m)B0p7iq;?i zrRudQ=pUJ-Bf>@MnljPg-y_BD2p5%r->cx<o7Wp?h~_7pwzI{d06FgdHje$X<l3>4 zL}X&u?M?W3Z89YrP_WkAlrCMsY0TT`6w-QLI~38NK?)Q{ICX8x>vXqM6(fQ{%(0UA zw}7N7&y!w_71{cvls;YHSs|1kIqW2rn~<;^JX{9W{UFqoDvvzz7K_&)Ox+=HUap)` zE`}QJBPgG=YgEbPJFU}zKH2A;K8V}4jQo0LXo7ZHA0_b=5FpB2q&Bs5Ed-Rvv=6+= z_+SC{;@4?SLZu>@Fw3%KXdlX&7+Ec53l}uuP6L$4HMhngaiV>miqG9!YUQqi&2d$@ z3mE$UmeK=du7T-gP4w)jhHEhri?0Qy$(Cfw(Bl(iprzN}IVHD*PL;J}Y3DRWuQU~; z?Jp*YKgf5t9dRFUt`a1{c-tvvi+Uv^<%8EcwfIMy9}U7`)}fMw8U)4A{<3@w9XyLu z=U`Acv~R}E$+ScH*D1~)<%0*@o(P;B+wy;lDjPuJ&PzoiGeNSXTQQ|YNs>-&<l2(J z6twLv8jZG1%d``cbve<CQ#BKox6coZJErv+Dd)c+)}>*EA`{mI+zU2gl4TnLrO^S> z>B9w8H?D+RF(qHnp3}El$>)wX)XY0zMUCp{D7#^RUW=B!-E(T39M~M5yJ*l0aaS=z z+zPJ`4U1VIBuTQ7@69V&%zu!|P5hRO)F|_<!GJa>{)3FPfNb@P30$s8yu@clfl{#K z*52A!%u2WYgs=Em`dHi+CZTpo72Nu5W}x#jZeG287gVInb2Q8QX2J%=IyC)#meqz7 z1*Rn*pR;;s%N|A|gJ{bhp!%z$V$4gp^uOnX^QHykBz)lg)Fjo2S1s2{U<`LICA18+ zj~T&h-e7_X7N<qNvx;`r&y&(TR1_}@#~dcZ#s!EFV#TR$2RncOsg)u=5lViX>M`5d zE)<ar&2HhBsp@>Q`ZWy&fZ?WOvov!+h&#aM%Z!9zSQ#Jq)B_*|<)709Kal~UrVwM2 z^bSoOz>b~sOE&55!ur%K^8ms`FuWHNud9W}8Ija4nNVqO7=wgVZOj5$Mz)+{i-rw= z;-aVlTakh;*W=EoWoWeXZ}#XCYF3b-OODZ!G9thTE=k76DL27P0szg2dXgOf*-14< zO}$azzot%8w3`DE&OXsX(Q(`@xJa<lb1i4nY1r4nyNZz%x4TTtTu#6D=!jalg*MSF zgUm71OtRr;<{}v8tf%$_aWyQb_oDt2L-#tExlgi2kUZLJm-&9U`yjGpu9ygV!CSo+ zVyhY8uKe@UV$*KNVV^(rJR_eUnf(nD-8=>b2z%*7$o0<LO}iVt>Ra}jm(W0*WG zAkLM#3)ZmWbRAs9F^$TO1J~ZJrpWyCg8m4TUGAE&2;)Je3kJmhF(FMOiw0IRiW%!7 z>c=;@ld+eO42BgD{9$10u}5nErtK2l4#j6eL<P@WCD(OBycFx2imamT&&deU-+I?( zOcE#>r#<8X-Vbz|Cbu|!JSQU*HP;|5&$JKlRK_ye0~|&tys934mn<|%C^8+4Y>rd2 z6u5Fs^KvtN{9<upMHLrAnTHu6)>3`GQZK0XM-BpKyZ4S1A4`Rv$seY5MKYdBZJL?A z387s|HQWMX-sJIi7Ar^F<G~-RRgZk<wOD^*1)ofXnJMs(bjnOKsQT6lR2K5g8U<c; zMP301ge2<xmJpRfZB}hcg~I#Zz&ovmLkVK=t4}p&#sPD2;Uu>mP{H~zgFcySKFHEv zGrgko14iQm`2L@=rf1tyWFu>LFBcas<M$7aa_RUf<W5r+^W!gg?H-%^*3Fax%rVL0 zrl1e9E*tgd4$))SzP^39t?i^7cN_49jlXpN@CFu`tqwJZ`^lD}`#>!P%`zMBphfns zSED^oy(t@UFeF;*)fmk@$%>Da{EOG(bDi<W^-J&DKpxYQ6Y)1u3Rb=a1oJvLFWn)S zQFS&a%uvXSgC4P;Ob}P&zQka&g0YsU7FQ5HTc)YqCU`$y#Q`hdeWSU5AuuzQ!xlTh z-PIG?)sW2jpLidHJBWA<>(0?C`%l_j#TGf}ZZ<ehXktt}%nG@IXp05bzy%r?T0|Rd zHZhVgS{`;n9_4g<1|DZNC0*7a2@2)sI-8}KhI7!MGG5HR$C`Qi%9@;jriBg_g5{X) zBtfyt?kOg*8S^7HICXzqpT{)LtQCt*pb?@Z*+=_~mvYBZMhApW)hG3mrs+8w5@cKb zks06=P*@OwQb&1qOvCh8fzPju8`Eup)@-xyYL)JmjHIzy|6TE3(CsbMt^bfhvEX&J zFj$e{j+<=0(@e8j*_-FAh1$p+8q)(hMpP-rY#~$B9oHn@)wSSH_jONAh4?QDus~h= zTWot(KYl@x>nov-;O8^LU#hP~Rp!775-_H!Vk-3fl=xO+xA=A$Gx>e|PF9QxA%46N zq!jNd4A30mqnIGW&b)$ODaY(!m7A`-KU7zk4UYuX)c_m*f+lFF!3J?Pq$v2JUJGA= zs^OCz`SBfinf|!{Xh_O<BHMIl+PU+qtGl+68JOp4+l%>Bh<X;I*0&m9XvcX!MtScn zAm3`J;F6D5k!PZWu%!5->Azx2jm57LSy4)B#V-)4nF3)6_8qMLWO2bhZ`~@;%H+0) zG*q;(Ef>zC2i`lGr6RqQp$zp^&l_EjyvR~rZi~$_RX`GMQ95EnZ^bs^7hSHY#KWvT zEL?4Y4$o|)Ymlgl60|@&kR(?s;wcnDy%7+VvM)|#gl8-*Bic?2?2xBwl=Lsss$e(w ze^)oi?Qr7_PYp?T6?RfF4oL!Q!*Ll|hzV2S8i-P!m&0@78sOEWm|{F8&(TbC1%Vgy zG9FF_!#A>IOmXEd+dwpFqHbK8at|pls*6?qX(We52o|KFN&$fn@JeyX$)NbeH?dTd zj7CYy<kYv6ic6q8IA6{6S(6y2DavtGQ!Er<M+{S!_6ii+;b~x01CC>6kvN`6T4z|y zpeNE9Qu0!_AtP#)lj&dO|0BnA4S{?0N*$@q!t^3AvSdshv+p6h979i}GPGFRYuK0= zzj>-|LVbf6Z7VIh4rg2x;r?NJ?bDhaRX$FB&EDZq=^Bh#PMG6FkG1#0p@0#&s^<W? zj>f8j9T=xfFbfKijultS*<LMG$vT8TUJ>mBv}{D7>)jl()g!<K@m;|%pK9!3d_2nA zuMj&!5v}2<di~c)ahOLf?{%MbA%_^X77JpCMrJYP?6F9wBK)-C$74bEKYS)BZY?rj zLog+XaOXYlzsx`2UG!5f#+d^JS81=KrU6LEh+}rF@K1!|6RS);*8eDu%Zl6LU!&AI zvdL~qvPAzi6&R~X^8w;eN>r+u=<tD<5l4O1-m@$>6%Zbf4F=JTRxHqn6RM~?B^pb^ ziZWR{TrMM?5LZ3ALhgchXx{u8mbu^A){rsn6LG>f2A!?ppI9nNqH1u{DNdphko?E$ zpDB7L=<@g22Hye;VdiH6)yJzpZk1R&brEEl{_e^SG2Xi_Ufj5i-@}RH*N;y!QV5BZ z3-P0!@>4o;q?KuVi4v0&R?}%Z2#;$`C7yL+gVdUVY0CMs=0WY3>T;;a&7>+#M7z0j zxJqp@EP#r<xk~_%toV2;MMQd#aCgO|xm&(x-VLxLW*Uz*>9ydu1U%o#sm(8sbJ}4x z?cJul_P!;gdez_dycJ`lAn$E9P1s$QaDB{#8E5#F_ikW<C8R|O!1OebLW&|B1Zh4; zU<<UA=%3LMi1UBIHKKc&;^<i3k7NrC`O;!3Yasb~Z8LE=vLayTx4<+4dX?fBSTI}P zu^0d2O2R~Xu>karpURJHsK^Btunix+4?I8sx|Vz6Wle@WD6v&-yO?OM(2M5pQHG^< zn(#8laVjsD0f|g3cM;h=7CacSlo=erg*LVsIAo5Lq{5Au#w<Uc|3S=ze#r#+W<Zp3 zy|n)=<&qQS@%iCD9+)VNQGa9wdX|nVcUAhlmFuS{+-Jf!MR{@+v$o69;CV6xRo7~v z4~oHbyTJzBl@X=UliY2wSj_5uke!DE%{K-bn)I=SpYD5N$X)6p$TJ1Pdu$8_MTOrl z@Btj&D{D<?CsN}uNuT<sLgZA%6?9j@-V_sST~QCbo$Fz&`Kno^ZHO;|&Y^Z2G!3!2 z*Swb(*ibL~-Hj1pFwC76%hn^V(_14z`-4O5v`8922}zO51ISnPP!(8#5z7zKaC^X- zGew@Q5TsS!j6o^A-msSLE>`iQ%+N>uv)4qB)X7>{uwK>On!mVzAG&f*9+wlU74KuO z&{;ES*M$ah8i`GF)vW&2?F@(Y3|M4+CLba+@wP7oZXdWawDqFjK@5MqdiUY6z)i@q zKk__JOB1nK&s}S=HCbauWY2%@fB(C_OmPe`Eby;QLI?Q&@c-J-&cM<5zpBj53JOw# z^a#GMY9LQ8(aWt}(=FH`^~tg#h>#YJ+XXsGwC7uYe%uyqVB&dDVF}#YKOJ{R(V=75 z%(3`eNglZm3Y`<~oH;Y%v#DJ`t;JGJL4VZQ>tamJcltE2($Q!zO%4gN!v})ho)l_B z7@IC^hZ#Vi%gUeSAydMd<dp-KBR5VvIF7YdZ@+~69<22E)Q&<B%L1bl^gv!K0{uU} z<%or;(2#<l;z$O)AEY&*BQ8Gx47BQoB<(cS8e_6cEIc#BI^oW%j&1+a&}}q49d?wq zS=6CX>8q`?r;k|tlpgesMOq`~yM6(9ch~Xptrt91NC~;xZUSVAHB$e5SNap*T~AQ% zybZd>42C0Bx<1HLZwNbzB0!!(HuwfJf4ny}k)l;uTqbVZMMCa;ePuV57dw)vi}gvr z{bKb0Z=N7y(-eDw0swfx1OPz$-zxl0<_7jA|I<B?tZrqmHH^A);o<B;QmxwJBm;>` z6AR#>-f)=qK<=q&`9z=a$C`YLvqfB68~NbwW)MMg(JcVrcpj5fC@dhrwL~8GSb@`I z1CRf+aFOp=j`P|%l#gC%qNup|DQnw{q&m~$TDqX~bhGi4>-37FlkK&mZ1nMl>fj)K zxOn(DQ}KBwx_srQ9XMOr;AU=Xr#*RQMfqm~m<>;RtMyl&k|_aK$|N;KQBhk0=c>vX zZf8zot!MrA-hF1sFUJP(O9DHH`Ne(4&rBS)!4F3@h!J|=ubVC}A_pq3<1alTo$;nd z=Umkl#mcp|10xhJqOGab%hyl)unC-W+M;5XR(h`1nQ%YVK*&l`Mof^LFlBU>js;4@ z@|88r*FanY${~V%iPo@b{#t~PyfBt!l$4_c#9wzc)mdWyaIQmKw3~B|Sz?T`Qga<1 zKtx2PC7v<iF_lVIQmS_lVUeZf1aTxo9<3N3KnKLdeBwJ^x8*E<kc##ijyQgCEZ1hF zI1~kN#W4zc{LqJWRbDM}v@B<rQn1ew?bTx<NTIVcWi+*;5~+|C<4$g)0a8GuOzT2@ z$iC8Te*eKb`t0<F;zf`wLTNK<7Nk1{>5xMn%_`;s`wED~6bTBzOotr@0m47BLYY{1 z4hAn)L--_7wr}n1S+Xp9!t-Opd&unDWWEdK80~_6m`yqypAU|OnsJ(FSrlMP*2T&f znc@Q)-I4+qnoN38gX<Lse>D11Y(?1Rk!Bnm+1Goz(kzZP*DaA|Q15fdosdHw$&riL zmp51dv_Nm)HO8178<jHkGsP{Fi|z>4XoE|%DG9h?xQ6yLT{s#cMTHyC)2|PL;?N** zQcsx7b(!>yEJ30St6X4|RK<F>tKj<65J0mQ_t~$KG%D6DY2gkPZ8;djblS#myp1}h z4FrKC0R!l>=zt)kQ(5~<g%iMRI#X<#BV|TM5VY}w2eg*2ateUS_5`cQOWnoF=#lLe z;3cSz3=xM*WhRStfq1Kl&WW`Jxl~&okFC3Pt2Wx?B*tDo79EuNYc`{{^&c29xYR6K zE0VqAg#^-S_(eDXMEX1Z8>zuasJA42Vx0@J{Uvx1tREV1AqY_&y;}I<X3rqN8Zv>> zui=d`Dh%uJE-jtPARD#Mn$=(~2F#~hETcKAqXdL7j#XRy6BV-v>*HL8rF7yiI3Z;U zYSyO>DfG5!R1)SE=v`u(&e!@Z(`F=prPD@;!Y;7C84Y5Q#>UyTLz9o(BPqfMqr&&b zVDW((36(9@n3PPqsA<tf8<!rRp^&_Kd{<D%!GUW~1el58t1F+TQjzp8)5VUQl1>%< zPEMUcn0nQEzayRnF-}DCIJaq&2kP*}p0UDW=#R&2n=_NS!Cacdj~b^-w(=x-U_OQQ zOXjC$Lk)T%mP*Vdkqy+93v~?My0EhG0<D5;m#akeC4wK8EiTaD^x{U&Bc@fb*Igk} zLg4Atk!w)>%{{$Iw;A{O)%vdVPN6WfIL@Gq$gA20K%l~k=riKMYHp#-_rTQff!R8M zFx$paNj1T?r~?(t((NOI3T=>WlLy|?n7@W={6l+>pfI(6OxnG@tIz^!!{e}IhxC)j z(W9JAfmTQNT6huNzFkR7T@|+&*tf_Iu5cu`(o6CR?o>j(VVhZ@+$be39N(~z5tsX# zt$Y5WZz77iR1^WearS(aDaxBf4?=hc{mru^1>806&Y)bZcZTwg+<(7*(nXF9F4(3$ zbZW5fZ^g_BywOp%IdWU9-Wh}KcS{o|&)|JyexpSmEYlS@`PD@Yy=B<9BaHL!yaS~U zBiNMf$FOHZ4*1i9vy^BLk6dqU)ska}Mz)Ntfe~}CQ0`rf5#*)PV9!RXnF>4TBMrk` zvf)}B6J=vO&>*#0j1>0CpkleYco=drgzudTnNy8&U0$Kn*vOQOX6lZBdzMdwetd^d zD=O9UIKl`4&(J~f43d6FkDP!0apQT|(6MT$8xFo-V?>u7?%tmopvLz#JqzTWw%h-P z9Oe5v{-!WucRWk~gK?PoE)}IWRrrNFl56rMIEfrg<kl@1*$seuQ_oEii&d92YUj>F z_I)1+Ue1eOvG~qq9@abk#_gAN57g^lhW18>=_mZ-6Nz|QtB#jETWoj(Fy0-(t^dZ2 zOX_Dam4?Lyfn*o9?1y-N8I}6x6Gir}(ls^uW);OZ`v&2ccuxwSK&SRNGA^F|Mogha zMnTF6(d&0$!WjIntMmPA7bM`mXVGNcS82!dWFN<9+ILT;H%idhB>-^K?7c$+lWNN- zt9zw81J))@#A#rCA6*AIOR~fG(dC&bYJVg)3KX#y|C#FwIe<+ZZVu9F1wzO3+9g!? zu{e6;3K0d1l!n!B5WRPLyK`M+{@hM0U>pRZ5WNQ%y|<>cjl%QMCZ%s1hhKu&^?G#s z0RhMNfs6R&@b(hRpf&YCHAx_}Z99SVjF-HG7Mk)EQ`~Ej@*_Q&T&(xyCneqZ)MI*{ zY^xgkdO_IKpEsyEN5&k;_oUtZC?av!tNm<Q^P|(~{6)5n>rt^u6ad?SwV?eSCVDrD zzJ=slB!;ks4`>d8_k>*av)AwSZ!2GGz?T@JDu_QvLmXl2;F{=7K63B5(9e;O-M6VT z(>e%*H+pXus~?*u(5n+<2Nvm#B`}sbkgXG>_NOkBa2E^`hzDpW$o`7Oqdgm2ndc1j z<f$YpdAbd)5KalhC1;>)dBsq92dc0^4P*ITGs;!epved+QLD&+ylOrkQVqi<SW_&0 zmA=b!^=yorGU}m{Ua~B7Ke<IzETwFq%o$a*xxzsAu690tx}4rp^O%e!KGdWCzPysi zOV(g15-D-I)IfLcIFGXxMf5cNpb%JkunR%6kcdt*WFE9?Wj?yn;E6W>a_m#dz`2%^ z&&wO`B;*bY$AgA4sz&PX_@}~Pm;OISTC)ev(3CIFHWumWgjdeSq@)d;+bQ_YS^urm zI;7*gB>=C+db<ep6y=Y(A<G{g#%18gsv*WfLW(zwEGTs+<x*416HGw=1ayo*73{I7 zbgC1G<>5B=A(lZ_*AdCDP!BTjlNgHp3HTUeRJcp8;HWAik{{M$5X)n2Jus#zxzK%k z#nsG_WvE%83zW(Zp>oxw0Gy}<8bJ)N2t@CBFSat*ERmDB9k5VZ&KbrDGcT+PMlya? zAGi;YoR;LYT*6xcfMi&HTgdm05WX;@KqJOWPCmd+{2A|1<rn4P2ajSApLbB{wscjl zn0!&-*Q~w;B!bwR#|U1H*~9(Ue>U|w9~ss3xX#7L*6TN&dNVa9(d!I<K-Fc&<TDO8 zfDO<6>Z`0e6G@g+9FM`s-Z1{VZ8UQ=e4H$_WtY7TAIo9i$LW^o@FHkt;;`G0-Gc7+ z=jy5vo%7L2%ITByWeiSn!=YTcb&=Z~b+wSEqDe^AfM)}<7*^GnX9FcRd*7gP0dGc@ z?9U))DV{8s{m=%h{*d|Lu^AR<#tJ5svR)i2M)QpFo--as<BVpk)lg`_P_=e1JPI!6 z{>qB4`&Iz*N=o&JhEGfV)ipM{ox^lNTU*rI&SmY+Xh`yTtAGb7w!~$ZulrB{c-8f# zL#AVxExablqW{W4zU=1-Fb?h=Htvmxu#Yy4sXM;)oY~C0!VH2c9U#Of3=w%R%~Bhm zt#At3>j{^X)Iumc44;Vt+?|P2(qa5D86L+c{QsM@0Q}$M8g_?6c!~d#mjBTI+7x4N zZ1_I`GkG)Jw|)hn3=Dv3yZ{IPFgcD9($&)uU6d_EZ_V9+r+a8DT?xr@SE!)N9%RHU z5&p0s{TYlxp0Hs}N%bFB38Ksm(t5=Sb^rzd8i0xkU<k}yvA67=Iyu-t`0ltn1@om) zQ3{arJ&5Oiw+C_DiO93N!8`tlANu6Jg)xIg#qt^1SwORCWdLqVJ2Q?cK0T_0<k9Y| zQ>$c2kEO>PXe<nB-a=>y1u~urtOAR2{yd31s$y5P8IK-mVa3>Vj#(RY+d=c*2|un& z;hSD(Q1`AO7^8UCR@`>8DMwX!yiF$Xiuwla*ou1J6@9KHiEz>mnZqkcDCk2<X>z0z z8!g5G#5MCaCUD~!ZkNRwjQ2(`F8ny4a>@em<8ZqI0a&4|AZFgLMg|e*RJM}1Cv_Sk zcNS5+&dj`!x7(d)APRsp5M&Dkqy9a|tKn%F^A&()Llj)_0ZBso5fAC3K!^|Dm5jHl zI7bQ?k-V%3QACU?RBwm7ov>jdvtxxrt!Y~s<k1k!Z9`j^kmx>$M5<fB|JR!T&+v`^ zyP_N{*1u7le}w$MB|z@B|6@!*2&~s*b!&H326hoa{C^LN0UP~)-V7Y3^j~ShKHnw) z@<l7+=AUB0r>XaD@{N&yU+PG&HkssIxtWQS$DrQ_lgT)Q5GLt>3(8cfG36BId&^oB zn{&OHFI*aCis`4Z5I+kgieQJeoz3Sf@xvWAS!@qZgzq;h!<L8^tT@K_+Ooc{K>Sv1 z7wg+sQ=ZF|A||bL*hO5mQ=xXy%8xk5K-dPkNa09>Rf)z=IUBO`yJ!lkac=5<Uk!10 zTSminfhmS#Z!Kvgb=vP-=Lg@iY~K)CQy<%Zz!i)Sm%P%-Mam=QekD8c6pia9E+pQ9 znhE`#td7gHCBi-^24*7?zd0;C?VP9`A2fd)g+_#Bg4l!2VZtW$mmmjmGqigS+M4gq zpmENq7A>YBxat|SSA9G=<vGD8uhCtpY)6M}u<@=?)%%P+9x`|UMPw7dR4SSa#iB+H z=0#-Lfm*KG_u670nY8@`2)HJ7-6=n2%>r3=MUQzWcBEx&i!e}ukOA!gKV#_5{J5Lo zieI<o3!8E|T9W7PM@J(iPHpXRJ4h1d{-RDa=8Zi*-`mo@m*;#I&z-^a5STs#7dtx3 z@4vzlw1ziN4p=wS>^p032ZKUJO72dGhoCK|k@PYJMaDk{%%FiTtG+u49C(W+l#|XM zV|F(N4^AJeUC=+h!F-6Az$@L-oq7s@h>aaGBXjM&7_-buK*a}){lHGWzv1Nxd1wjT z6iF&mh*qiEBAg?-$m?dJk|Wib!9GJggUfw~7XobM#eXaSbf=#m-tV<7^8`uPP!aNn z#%%<QJoRJUZ127XOh+vcom+*t`9z_q7vLw-8}(_KW;VKq1{D+w$j{muHR=jA0kYhC zvM`fME9yk=n;f-{2B13OFIUP?ZGiT9QpN@Ec~n!jU0W(#u{G9m7y>2X5th?Gn;v&b z-%-!uHFL(A)R~hHbfmPPetD=meGKfQ*rcNi$l!b`nZB<9C1Y&!SDqqD=NiXgd6YwC zFo3;)bG-)DZCFnUoi})!&ZWxp8T=Nhn(mZ_rEPIYJ6Lz`N6YDSP`IM(6cnoW%(+5I zBg-09tmpkXpgcD|MS@R`BXUQB<D@XXR#PSJ{0o?%NLA?MRS)%t-{i|zQ2NB^rOJr) zXtvdzT2VDkDs?GB;6_^Qz7-1xt^nit)yWoL0(IEqS+Ne1|Gv0iH2)!hjrnQjPOuwx z#>d=^cvPddcEx8|gpwhzsdRjjOuf9}@t&rvxe~D3y>Q2Y1n&6ZkZCVu2%T6JM{)+M z{xTur<lE-wfIhlHwCbBS@*^|wVgadF4u&oD$mL1L`Xt(y@MFI#@WTO&AqLOR<`}ut zV8}H9#%!n1rqg43VRQ(T@#cuAm0bAlI>R||^z-Gzsf<x<Sl(XE^+-QUFIV+3X3Vn@ z43)|l4P!t5x$UTHCo0CBQlh5|WQGPrTJ&G16LYytCo^4R_U%(m`VQ-%=5oh@H&P)c z6fn}BZ(w=F-;)dPoLiOv!^q{^ax!QM0na7y)olDKl##zwGifsWAD39amh~yZf||z$ zKwhIO%;aGpkna%SWL5C7Fnf;3IfftUOCqKI5}Kr2RKcFFq+{6#h%==pP-?^eU&)E| z?5t{DZqjNxor&HGurF4Pbz7ZnRT=J!)X?U;!K#~=?vjhe=KKU5<Zb-nGk<7(<1;#K zC;D%-Ob{W@zE)IynbJ5~7I>CTTr<a(J#9|5R9f?p!EGA}JJ1vY6tpVEY#fD<J(Y+i zD=JAdq~pI#7l=}Lj|RUYhgcULrr6XHz=jRy%U}W-=(*02uq3)5^=~fpO9f>bGtseE zR)kRiLS{_ql%)=I8!j6{44P{HPK$O}^95b>b10&xL=TfIMo7E&Xk<P^xwAO_5ydoL z$L=Hw0M_oMQT209H2FOPJ;%|z;@Yv{0*k+9ik$-#aJ^Yzmx=J~)@b^G2TBF6&#Rmk z(Ecou3`3k{rWrD(2-pcI`0;~_KM%ISsRzRRH9Y%MhEqTsViypgSU9;XLLLt#_uKZ% zoTE{CK=>Tx*-#Yl1_w+b4Z9Kguz~J)ClZRW-c8i%TridnrTS&)`0j<-S7SK_%v4V4 zj4qj_ZX=XA-@VMn0z6wn`Z_R3p0gOax$9mA1a8_1+A!^1P$2`UQ0f}qqUiCYPC@?# zE*gmltqDPe80nvMk~rrP|5n@SN>BFs@`m&M`hBmQX*f)GRi)ln0*SfwEIlSu-odsY zDvdARvdB`LGVy*cLs(v7*bw%i?A7-Xdn?=e_A#P%kxjh|^2@nCt|efyk!I>m5e(r6 zi$C&9l+(wGE_N%GH6m>OxKDf-JMok(EWp*b1?1yJWW%Dcn4jGbwfi@Y<upefh9RF6 zyGGx&u5!&AT1>jpe(9nxNr3Dr`ktd_<8F17OjI>3xrt4YM2~(AHl=(^Hop6tdu~j< zUC<`Hy&#_6K<06I?^wTTn)Zh$Gt<7ehILR^4&yDo?fT0J?^xxhZTgh>oW%o!|B}WK zZu<Z_#xw|9R^0u!l}V#Sz_5}u1gs$jJJgnsBKZY9eoD~ngOB40ToiQy(#3RJaQV!c zC5W_f;b?|o$iuB^j_lZ!l6nd^ID$4|u@y7IMsSP9u{{5<pagFDu*r7Ow`cwjEL_S4 zh>zuP1`&r)Y>PUrs}0-62BAL8Bh@KYwLF`BSGoJyHF4HK_Y<<^_F_p{E*x*;5dXAl z6|6l``vEMsF^4(Z$w$}P_@!#l2c1Br;jG#;ag=ouMEttozMM{$(V_Ku-;#g4ZJsH^ zM2=V9la%HzBPFd2<=uHDE15nazuTZUK^^u*i*N$Lk?_9mKKCPD;@m~ma-^>lJiSxM z?_-Yr99*?JGv?k0$e>S@>b&R)JrA|<yIIv^Uo)}x3u5V2IVYwqG9C7_#ql)1Kz4XG zF?M{^5jV8-AEp)YqEG3$yyZfIJS7@VjT=`*#`yBFAO%EVDA3<uB*(nHqe>YyE@#^w znkh>=wgYPSaS78w`4y8de1z*%{b>d(vNUTyZ`jQXNOp_pT!}*}bH232k-SodD}dE5 z{mM53CTv0GMNl<^!q>01dbXH?R=(IIaW;Wtfj@CsE-Uytm{aL)vn!;gDXeUC?FUZl z@3eKK>W;vX5}U%mfxHWwmb4|T$9D47NyqB`188K##AExev|EAoe-v?F=<=5kJrMP? z+0?e3a8($Dj!eIgH*dUfgc1IBAhx2+A$ZSMeXu%hPKN&dmcg9YKB_D@?8=H#le`F# zBREf}aGz-4S#!{j`#WSyK>aY;<=+zj6(|q1Tgo{<x5RT5k{MX*GO;J`m+oFOhnDL+ zUSOBzG3tQtL_<AXrg0>W;>LSI^Budv4$g-7HKb*&E7TX;5EaIW$KM0RdnDV}4DW$< zGUOZv(sl{1?i@w*MK9t0c8+2{RI*W@tXxAlEX<~O;GD{e^3dvo#;Ol1=7Cu^>>|6w z0Xq??p5=w56u5vZt3N!&2$nvKJyA1{(r=nTCOpx`^MP#^Vw$*dC9{_LZz@vCQgKJq znS+_W0SR8iN(h@3Ycy;`XjgvA#gb?H{lh}!LqKKz-tK4g<MHW_USvqFBK;O%kcW2! zI8uL}ePMZ+1UocR&R=Jdi9g7<l5!L5jJE(IJD;f^REn>6431l``LuKX_agW@5`USF zj(ny*s}w<)_GsGSGK|j+hVW~V!`C0sOVH;)S}sak*-(tmcmgUFJnKNqb>(~z>tRd4 z9yvPBoo8$tQ>CTW!+*DQ=*QK@(}q&S|GBJ~>^nHrK4U7ExlgJ|Q9&Xi8kUWd2yBpW zp<?y&CmhBv#ncJ+ge-<BDO;z5P)w7!Vl3dONLO(@G!akqqpziHOioIoV`W08(qt?8 z2Obk!WU76ro^C12$oR}+efYhZh^o#xzzWJ4jisv(ep<n35L!!<tJ8O!(dm=cbS30= z5hPzb$-}&vsWOjs$Z&8;j9IaN$mkw)ce9ntf#vu?bu6sWZT^OFDc|0lsK5apuCT`W z1YkdOC?-;BGt_SmNa|NRLhD<F)N-78$yJ3Ww_4)v*myDp)1KBW*U-hd{6RI*!w>(j zFKp(_y@L&Zge47ImqFt4vKRWi&%w>aM=dG$N6n5O0vuTGob@^+v;<b2Ao*y(RQF$e z8VEBI^+71VsNurlgEGa@^E7lhq=--eT4FnUnVGu9ww;ovRvp}-7XAF>$bvY@kNDyX zO%QgYn+9_!qr5)3oDDe=8{MfdGXO4<$Q_+gn|ZNtTk~&d<WJYd(Zpxo>lwxN_D0K} z-F`*3<Yrsk(g4w8!+`v##X1sPg&fPH2qnFE^x7DPPHNb)s=-QMMh9Rc+t2Svp%1P; z0d3_ciM`vWP@&lvK^n4Xf&7g5YWw*sev^0|xqwNR`L!SH&*i&w-%x=c51Lq6H@GW5 z<68Hj&>tD$J_s_v;jht7g>L_`e)}PVJWPmrKlxX>yzFK-uznI2{H(}gaLn{Rx0XOU zhYJE6;tk}^R9#?a4~ZE-5wr~X3rhA@f@%}^AfuB8O}0otNlS&_na9QyR11T-28|7= zAYuv2h2ZZWa@ia1CIeI~sseRW!^!hC4`V%{4u;tT5p8H37;_C#OtB%E!v{=4oa<cb z7&c}Q*|mK}v%Cuxh;?n2Q47k_FP@CH1`whc=XZNv*C9k-oM{;?8ZZFCs&}FwRk`gh zfNaE<&&rz9!vZ_%O4NIo*8=2=T6FeE*{N2q)$8B-sr1)Vj)82z!vBko_YP_*dbfrN z2_&=-Is$=&A|PErI;4OgMNmLdKtMo2dha!mpddvNQR!U;j7o3PL8OEtNbeGQ=!k$X zzkBD-cjuk=J2U(F<IFj;&)H|MXXdQ+JZpT}x7W~YU4DGzv7bH{8NkJABs6Ag*}Qed zIpg+A@Q-)a$-fzw?=JHeOLe|735$w(;I6j%b{Bp*^&_R-$6TBX;_;2l^dSCi)pyIL zT2;R#%i=%sGW!pzA~c)}3x0f44~%TMDkMZ02Jj1OX^(qPboIF(2KC)CtTt1&movIq zesJ6M`<ptC)I$?)i$_bxn%=yQE8bRcLtJsq21)o|@vl$z*|IAgtABdKGOujlqYVCf zn=6(7rh3BYRR1-0ZM{vaVT+#1uIcc^cVVyPWBhaHZPqLA&2l)zab4?b^xE>=nvAdQ z5-x8JKEycA(21L?UHKftncSGr{q!MLll*V0?Sb}{&1zk6esvU<Jtz5Jvi;A5^zS$E z59-=}x7_}Bb_tN>q{n%OHU*Eq;%H!}e?OM`sDAZf*8b(c^`(Y0rK-Wk(egTl4@QfV zNgaI}D-P%H&{C$hcja~ye#rhTcerH-EdCW_lfEP(M*8E)O4GLK{r%KA&w%YU&zH$J zVSIFMxE!m`o>IhnKJ78aV8^7Y*eOTvzi)#40)9y4B*E_fvDK&$8R?#1(4-mYw{XJR zUv<<xrJrcbyYs3sF3Q?kmU7-<CEIT0n)fn=?{~eHn4#lhN2_heR2gk3nAD?P)Gi<Q zoF~es=$*=7ou&o+GoPT-1_1EOYTbjX_euC>?6;r_Hk+8;<QOf(=jQfzUQ*$gZ~S_f zzW#FlP^VC+xk0+pNW-#QqCt?!({6E67-rS@QGO1nkp1szBaO_~=9}*RI<}E|QUtfI zu_(8ca#hQ-BXc9a@P{!*G#>I5LVn*Lrq0%n8JYJbyL7<YoHZBaN6><QSM=?{l?#q_ zx}z_RXMXjmV%TVFV1M2|Yj*wZ+`8y!K1sKgJdD&XwJ{WD01WTY%QcNL7ncR7I6fV# z(+Jd4%|1xCj>$;8!9g`38pjiNb>s7=h0PsFjq<eY(C(2ZzZgJ#4Ph;_38xEU{c&Zq zMJa1%f|PZm>wH^0WjIchDtm?4?hkLLIw#3DNjcy8jo4Bs1(%)wyuIz-_s+;3#kh5Q z%ggKDC6k91M&lKv?RvW-j;MAyjB>XKUgfTkgGERW0G~MlL*kcNBFUG%Qg{FKtLG=j zhv(}KVt$;rsYNv@Z6)jI5Bw09f63t)${8n0^U9nnQSf>a)?Kdo+dDF{TTgtFN2BNG zI6E<@DL`(uo?7vaBg>1QQPxH8^8E8}`PT|z<>^BF0e{5k%L<l{>T0217etXRpCm?o zOI1#mm1Te$tRH`J#ioo>yB^f-x}Up0`XLsWQ@obGg7z=4XmgSkV41PhVg0Z)apUd4 zUViscaovHVuAXtb^wR@bt;n$(Pu@p8Md~w#!?h>L-?B0M&H;_B`1o*bq}Q{wJWTNL zo%@xaMdh!^Gki*(|3D7$>bO5a?fm{>d}Xin#U<VK=?osTW<jaIdb;n{1<N9W^KF~C zf5Y6*#tg^$BACA_h;iieBVx8)FUt!HBZM-To7)WPvpM4G{$$>zei8+9ZsGgXLP=VH z>NJ+s$YCe>jiXc<i!JB6cXi*g4Cg$Vey<&U7ZYioEec*OSJrIJoNIK5Z$OmvxQ=EI znd&tm$JFQrih#y3SD8l4Iv3f@KR2RgELdAwL2vf@?=U%IJcS}RDykE;T;yAuN!M4@ zlsigGZ)vl0l6+=C4#A>Mefy+utf$a~t>R8cEjHmkhLz0HFyoKL7V%pvry@OcS16LL zGE9Y9{0+pOTWa?*KQ_5Ge*KjBC9&h9d+tpsUPF#b;ht9mG+w$ce_RVf#l+b+HuPJR z2CUg+H2CwW7|d)%{WP^UXbvnTnO$c8S@85MYMM?}s7roZH(eG<if3#uv#7y^gr`yh zrXs4`p{INyB9Yw^T%&rp4}Vq6@0M|T%f&u>-4K$|YGL4adCot&A<XP@hTU?+!&hhf zyh<3y9pR&L8Q97r+h$1G4ba=;fC`>ji6FpiYC#Y_@CIvma(0a_&=73X#x$~2>iwqB zuw1lb#EAIo3e@qBJBtXKOQdqLPtnoKG}o%NcUhV-zqPp2>rB$L;&suZ)9XRR*(V3b zMSOZ~2|RBs7G0%E=^rKEI;ZV!dQ*1|*DorsFW;TeiyxQSTQYt_Y3-OX{ne28tE)5q z^@8!(&xUgP2%oFdUc&xv-+RP%T)p`OUU3Z`v7l0>>fBFAvYy5FUT;P``S+K4IDI*} zf(xN2WPt-7HhjzHsOqe&%lMvR^m%3}U=PE>rTcY?RyAF$PN!g9QYcf{g#)!P${-=! zka9*`BdcBe9{hn}ckspF0#Z4!G{#$NTTwtY(yH_Dp3wt({x{h54NT?VYQBnzYCDr2 zvbOD~ufMC5qMAk5hu=eJs1>NJDiCja@;-m^S)S&MsCi(aN(+Cv1j%vg+ZOmO>&Rl= zdc$okwM}>IAE4!Z!TAr_RJ<P#_LD?)%r6DFi+;5QalQ+Ct{ZVaEWPugUNOC*eZBsa z(=p2_#Jqs5`9|AYcQaColmo+6N3mzT3~nz{s`UxZUY!9uY{EFeZQ<;<w+@W^7h9($ zCvaHT+YcUhefOIPI{q^Jn&7OgUJbhb>b!IPLc)PKq7QRRL$L_rHG!F6v`OXEuj7d5 zXKvwMa$gYQ8)pt`>%x2=srX52g9l&7A1%LTy;tbg^(5Bq$|?KTt9NH<+t_F)Wis6J zhy5sIv0#UcqOoA&b%onzR@l4K(O(^=yZH4LlLbYRTfJ-^{b~lzhAdSjgM`22&zKnD z=M9a9y1GB!dGEv8#@G>!v6p=npPxeUJDG?|rrv)pOx%uk@B5H&qS{^)3`Zs9|9wS& z)!+>b;xXwgxptd-`rVPUPuagHjcdeqF#i$D_s`2OyFJS~-_GzL5*2;Am+M^=_1=1l zO#jqRWfcVelZ+?)VccB0$KV}`j}%eY;~sQtd3TJ#*35YUTV&tDZ&_R_uA~)wVV%d} zzMb+g(nGTpYxrz}Or#f1oicDE)n!6cpU^%{_m*O>6}hID)*GmuA)RFJT@fAdP{cAn zn?$)Lab1QavU^wo?hB){mBBg}hcPKye@oUHqaP!Ff`9#5WFTEw53jEHK3DX&H}U8Z zNgzWQ)~^EnQp65q&CxQ@ohh?aYtrzNOi=Kq@7@|~9I$bJ<~dIPuOt^3rxm*!X+4JP zQQXuO23p5`7)V5ZdvwM#+}pw=IY>Mcbxz3COqkbCeqVmTsx)Tsp3!fYzwi-{ey)r6 z;oC9diK~czNQSVUwEoBIs+ZTp7**L5a9l?WLxCO<n8eS&t|z(0Cy)DKz4o(O3-3Ay zeeG~7`&;re!=ET6veL1^Y@xeAC>UdsWpNZ1ZMH~a%eY?PGYq4RC;Ye!KflDKV$t$x zXYmQyF8#}u5jpiRf9ztG2-PFg&%I%0|D1%9{a*Ke(ta1s(W?|8__}5W)1*ghZGafz zoQx>VUN#&^^xb(%j(nC&bF*D{z3Ulx2zyN>>#4Mmz_J|it)<nhA=O75z83s9?8l%l zpWn4Gw%jS7Q>G`bAC}i1(_7y()hArCdG1dzrImOxvRidyiTaIUX42TYYP^WGeLgF_ z7c$E3YN*tNUq!lSvH{s4D$Da@1b*Xe*RQ1Uy?4zGgXj11>aRW1O=ZwG*$G-=KlCBV zn0q|<dsCo|{rxAa*|qvR9?tTe0YkhiH^pDccCCN$PSg39&a+yz$p<bXjB}svnQ9a~ zpH7%7i4omxFOlD6apbo2I20cYIKDSBA3hnNgUV=mW6{?U-t|!txxwf3Aua$m6=x5= zbK7g}d)%w3=zN*#e}Q^&=@jVT4JOFt>--0LP*pSfzwMVmI}^lzeeIvce>LAS7mj5w zX`N$y^(!4K_@?%|-DzR7dJn_Z=#su$n~$!B&>OYQ4n56nD{((%r4u-M#tu3T@V}(` z=9T`(75xX?N2~|m`+{prpWDKbGN{q+LCN${nqCWft@VKiz|Z{7kcLM0!#&Nf8>E3& zIN)Qh_dE5)s)40$&e~Kt|9o>7oAb&XK||wF%GY$u<)?R>GS~{PA3VDGKQhvPi-Kzg zb4Mx{21NfE|Ia!)hev_`mn?`-jRjDdQA3$}d&_1xXfJN$rb19rLjbgp>H6vermg9J z|LTvb4wx=Z0jVNwQ8f=78UJV2{}!*f&2xoVE^cK0|C`m`)#ZQ9y0{p2<i4qK>l!UB zEgdZl?f>m2^tYps{i55S`C|M(g-u6?NB^G|#>`1s{f`zVuk_zqn7y+7!~fF46dx)5 zm+JYW%k2}@N%<T?s#&imm^($;hpEEv?Q??^7>AjAXvEyOZiZe!ow?1kypoq&mgSmb z=Il(Sz6d62I_gb8x?T?}-00pA^UHn8<)&G_{(sP7MN3Y_sftb?mRh?WGY&KiYi4&N z<JE81GJ_9h&>HU?ZRjP52Z@}*5Sw_^&XoiK@ekeNGJ2#`uL`PLLjWr~{PUz-Ju0S= zxX9LLy7Sda7PH|ymu0s<>lB(^cd{Od2HoT0NRiYt0ftv32tUP$=#@igqIp;;sj|zi z*6izfUp8y20!RL4ElSQzUh?1h8_*Ou@WJ8xv!dCZ&Fyo+YXl2*+SHFu&sb-E8-{-8 z`0g?3UlsVt=X9@MhWD@AxbMk=k!{WM^*65nIzqNNe0ifIn&z|eYtw?*`1d%aIb>(B zKTyi$Wqdbt@xk^)rXN*Ba+AgeD*>ucO4#OD?tgU*_Bfs;Zqn2YKLA`ipHq&jTZhZY ze>3(?$&K`Mz5ja-^_5S1db2m6W-G@dp^a6Ap$g8YE%>;l04EwH7?io~NkShfQD+qM zow`RbzE=6gEA5jLnBIT3&B=WIcA7ff#&%y`WUegf+RSG??gqN}*5=liN6EAs3aG`8 z+g|?5epl04)~EjRZfmn|A2p79Ucw^bgJ_Y|XC0=VJhUym>>juv#ub&%nrgENNu695 z?YTW&<F?<vD4oj8NRa<VYzc9G%2^NH2#BZd>}<LAc17@V)uTz6<-=xhc4+2e<}8e> ze6u5jCfr9kJ&ANHfN{I(P}*uT{w?5Z<4B4$QE}@GKevzfQ>}7VXDiT8C)32e$hj^o zVpvN(p7HdP8<g-@BYL=EmL(&`iSOuLzWoZUFKu;pe!pm#_l0(pg4lZSJ_clfxc}0Q z({0-Th^YY;9X)C}>7*E}eA)ENCLwAJ4}-KXHrnW)Zxq8ZQH635qI;V(?AyN;h||r0 zrg8W`<hO03E(+6decM&O#(JoO2eCY2HgnOn#xRO@#ALW6w+dE=zEM=_XLgJ962gwW zsV^>N>##QTnLIl$`I;|+knfAnq18_a)Yl^(MZxS7WP5JdB+9gjiIy?`&6-W?n~{{B z{1GtzU^3GOBFT?MH&fBU#Io?;)~$*F$Mpk*QjXOWi$7kZ)E^)CKMD%@HedNtY89EL z{mT5kZ^uK}J@XM+M=2c+>+cM#Bgvn&#kpl~jR#*b)qZ1Ac}IUW!&Lv0eZr`YIh`ui zDsWWM%e~tIyPoGz;LUMM!PktR=2=3TuaQC`WA{tfTVgZ}kHP91nJy5fVQ&v!FyO%@ zk5f2w{#J2Ds-K3%!y98lPi4#b)iv(KM!()yAOn-w?WAf2Yl9JPM(p^at{xIL2+><; zf6;?nKK|vXWb8^F-gWs#xfsiGWT8MwccJ|tS6`YO_v=lWNsq~X=}BEz=;yDAXXSsx z{`EV?_g6mpQBn52W^>#9uYO_W?jLw%{|)g%pV2FY&v)vi6YLV$`nx({I+04!dN5yY z;M;`Bt3cjSxdwUS{8fVX3h(Q{)Tx}ZD<2I1C_-mav*`|aNB|q4CXn41WMs^7Bb5dj zMl0roFL}{`fApi^)I{fwLeK|7o)9_3EJe|g37Ik26JlSwdtXANva(-AqA*oo^>Nv) zen@`8&Q)!TuMImGrh{0d7)Jb4nQssGqMvp8?|8XG)gzA<lYePqg$+j!T*}HWR$V;P z=cc&hn6-^^=f@99qn8R3x4)dtD8G~XyqHR<^Bw|k&0fuKy!AuEqZ8E`QueCyy8d#; zt)4(+YL<P*?D+mS&uND*(l^kStRHsc92oiKJMY^+Hx>@cT6vnKCzzrqd<poZ_9hjJ zXBl$j+w$5hD@)Pyr1<ghi}G_;b5-PB_J+~xmLE$~Z>oxB-oEoaXVYl%UD(7-UX_xY z$HWZ#wsVNR?SBrEiG6P~T@D})wZlK^I$miKE2e;?(}7^d?x;GJ=8Yabuv|^u`QI;< zo0y(wM>F3zI(o6SZg-X}hfb+2a~Ed39J*ijoMjEf1$Y$FmlJ5R{!wl+gz3Oz(Dl;j zVNu}33_mlyNK9aQ0k~tYUe`0e%S19KvXhytn%3y`2Es&5+c7LllR$kvRe&I-<P`VT zS6C-Y979U^uDJTN=hc-bq*R5JJSubj-ZU?6J8GquCH(rGbyOenB-ytE)Nu3k+n7eT zNz&w{b+6IMd$Zv-{^rfywgr1~pPu25W}Gfoc=SITssBo0g<K~!ZNt%Zm$K5z3#exL z+z~H){tBq0X7VD<DkXZo?qTd(6w~b%KWlFL1i94h%Bnm1E2&qnuLYb1SbOV~#M?S9 zjV<PRkH#fn1#>C;Vou;#rXPWq_tIyr0ACc{^Lfq9Y84ECPdF3stQWide)Ylg)yr4j z42)Y)PagMi<Y>?Gt14Z1<J^6B(?d_McwF$^%`QIAi#*!-`SM~_+=}LJxxYuH;<bSJ zM!EYEj%7Z#FIKuz-+BAuiQcmH{B<o$dFjmd;f3Lwyp6<v@nfGGy)t6+48N2+IN87Q zy?MnYAtlC>g`eWJSUEI$PrUH!O=m}8@thsr$e2z=2G$A$dd$x*;eCerdghS@b9kv! zo|l;pn159<=le5d{`Hn$T@~)ezUlq-U3?XNGN(snj`}k^zvVQd+kWbp?`u0s7~f;H zGy1L^-2Ia2ld#F{@;ut^PFuKPmoW76$4wQ8svzi#(l6if2is-&Uc8WYpZl{+eB~lM z$=QAi{ie!R24W<WoWZy&rXa@~GN=^lB_}c%vi*GUzBmvsQ-bYMBy(RCx+)|nbmIk7 zh!YhltH90r-V2ei3t(%eYRBX{Neaem=h@1_K(T-p6pZ#3V=DsICdaMq?X+q&P4v*a z@lfAh;3!kv%s*v9{Xup?d!V>}#EBXw57b;q{O6qD4AI(&)%QUr^VhJ6Jl_(`mE-pY zs~t+!nJO2Bk32?>W={rHbJH~sl2YY$I;yY#tiQvO05N&l`nOJmZt+(3)h?yNZ5iFK zr0UI`r1k6{gOdAhA*YKcE8Yrsxl;@xe#q?^-(<(peP}A7;E-y)iSE&}y&9)yyw0IY zi<wpBGoFd5&PuJdprjqC%PYzQB*v}bh|7O7wry2k4`&q3W&LxQ2yhYOQ>SC8)K?6c zu5Y_ldkos3s-vOxV!1oF>G1Kz9!x`|olPJr1h1hqJ<&yRqm`OyUU4dJk<W-{W<C(h zrV<3{G%&CZ+4`}l`URRBMhPfQzGgbQj`Gizcl{OgEw8M4w?tJq>>~YKVF|Ld_6Rfh zJZSFQb1QVsBy`OpbU{*cSxnQf1fni>l~!0k=^g+suWE+aVN_4@xFmLHU+3%{2*~8n z-uSjEYcvr^JNPNZw;4Uiqm|xpEe{*1V%jAp(8?ikq82lM*p+7)DsvZ7?Z0SVM-Mik z!uKWy%BH)FE)9A4UaI)hzZ#=j&y~PV|6ck}SB}JAp(y`ook~7f^4@vswbFeH@7fMT zNy(#wR1UGWtl?>&zML0%>m$6AUsoHJ<uKHb44bWEhaHo<3Q~lA(MK;Wa?;rj0)%f- zXK<~2Ujtocy__S|%n0&UOHSqkD5`Xz=`LzLyV47W>AhaGDo_#~GW0!@mWpG-1nrV4 zUnpAe8`S0%ZC^frfp*``@Xt{S?{KzkPHSOf-#H!dn9AP>XYrUa5y&>7H<Y~eHk|V$ z{r45>2JYU68joJLQzQ<18ExY)D+yb(BYo~u5oZG~idT6*c>K%%_fv)Sv1wiG3(LkU zPBT}Od`l-ZpMLrDvks9pHObTQzW(h?k7R7zb>wVq%eH_M;`X*>ghjNOUJ%i4XyIG` zx7GF%CV`^o&P9m?x`b7yed3@h4jyJG2lC4jE=8y)7HWOXmcpIhA1?QPZ3AsR`t#{+ z0=i?EMWbz02JNLZFn{lOid>W!S_;4Uu^{sJJFB1G?(YIsMAKJ88oCCR_tY`QX(gx^ zSsR%d986itRe45p@!a>3k8$+MngEGcGtc<1Navf~@`Gh+XA$O4DxUK=;^u2pkL3zx zuGL7T2l}%9IUycvT}{uqs(@p4E~;WMBDflTi@413Z5CpC33)R`i2T#g*8CW@@y8<V zi`Iue>NjkIrdszWY1mKFUV97&N~S$Dh!^-c#Dy%=Pn-+^%^{DaIvggOX9GQDl%8p4 z@LFcYr+x|*)O?pWsQGxf>ep}c&&3U~fM;(ipQv4(V*hYUsCACriidU)rGv{D&w3RL z7(cwd-<53w(DYSsLbjz6c(%~dPzN)gxtsyVprXbPcWbso?}f*PTW6n(2mpUU*`B`V zh+>!g;dyDCLCU7M&;-}>VXfHZ7Iv7+>EUr5Yx*Njk%Ngj`j?hPGLJfky6IWrMH%sr z%15>zhbesLwjEM=ne3ow{_@RPesRqtslh6jv5i5I%i>AVtgMB?>z;PT6A>FH-&aM$ zaa8G5thN2yxL?uw3Ueh-A8tCOMheIk<nvMqT+bP04Hx`j9HWewMUFT>X65^~IL90G zBt_~qn~4HFLEd=osSZdfv#R5dsX1qCjWz)(QHbP|GvJwoOIP$f*;C{-7rnyZ%2nu; z^&vUd1!rP=<=%aObnh)6=dx&Q>A%{I)Eu@@)9>^*7tyV~<?C<Ws3iX}`hK`=k-p<U zVv{ajlYNW5Sm<T4A-KRu<|k&?T~1WBI74q1W(+q-Hsp%=X>}WnPdtH;Wgj0$@rpT2 zU_}gGl{%9!YZY5w|9<)k)_uP4R(?2G7Iy3Pb+$9>0im_)4+~T~UdspNqE;H@*wzYC zu4|yLKU9PE+Id~m4CxB~RX5{Wd16Um&lPDmRzCx#y6tKF-Hv`9zOu&q=N<d6&+YK_ zyg`w~40ow)_BGiB78Lsp(kAS+=`wPEW{3)EKDS?Hkj6QJ9*KOZhG*x(l_6VhW8-}N zX|A!cRQSZ=?5?d<zc{&Sr&y8jQ>DXUw$=?UlJQgUSL~^g&j9jtw4pfpoAZ`R%<S7E z_Fbhu4FZMtzI%Wn?m)4JdD^qDOD(J)A4^@ge8o=!b^0@R?%g%{R2|`h&C3o?VVb!Q z`Al2c;Sat{r)Rj0F{mrB=^d(iRxCf7aoVD#QW(syT*qn>;RUq!$qG9;N%z@|rBjX` z<?<@4=TG{+evYx;aT|E9P?GGN`g0xifL4=w5SXCbKTFwRlfM(6HPoN!R^bxTP<LJP zihX$KmxkVzC4j{vxdZqHCOlra>|jzjZTYp(;EJZ^(>v<4D$hf=r1y*-!Jds8)n<tf zykxEOi`7ib{(`HPw4P|^w}+lxXxpBT9JTliCZ$79oC2>sOf(XXd#Jpf;Dap0{}>ah z&GSv~i!4718|1ucRn4K=Qxlu>$u#k<!zq(b)bKf;SG$D$j9%8mVPR={LF#AMszcoU zDbMKVMI648S=}F7PukV5j2SJN+l4*kf3<!&{juP~*j!wPr*x)-_3vKUB*(pqmjKyU zNYNsZu-%>|EE8u>^Z1|pgD3VJLN3I!!3}_?R{R+6DHnupP3@L->w#W4)?nn8l9+gV z%%Dlm4xp2UhuJ}rl#b$-xC3E#%Brb}GLy}Q0gf^aJ`E}M#;`0>X>$k}o)os>djdP1 z(Nj0fx8|-<5>+?f`>5H!xWUtE@M-(r&-3SR8#*IKzCZbQ-RQxh)|!K#?}sSEhn^So zfxXaWTMyG;zz9xIx|5*@%#1$%^f@0JXT_&a0v$HNd4MX$ud7`iy`L)KxA*D<zY-SH zeLcHH;EI#5By2gK%boi&uSk>nVJ6G<R!?_+`D>SL9^RtR{LW<zW4)^KWD`UY%~!wu zf=R}E@CNQ4X(!J2{1>=k_?q=4Toog;MGJ^w$aaZ$gck(fW{PyNX#*z~BsBn<{LMaH zO@4NiP;s+Aq(b;N*;koLJ5}f}T7wE_B^s>k+XPjPL(bnYp<>JPHJ`}JE^0~}Q6RBB zyEk-Bz2{qgB}r39xLY)W^{dd_9qM*T2mR+#+VP1Roc&JH_Nq@)AE~AKp|u$%8G_Oz z1|D6qY%U|4$uH{ok+(~)$U4(9s}t^Zgz{(DUHtd)$vo3vdh)7UDsRb7$DhRpM0FOk zT#-DVkaBi=?M<F1pY=lR*w`)myVsdw2XD`%$<kkEr9RaLr5b)uepkW8Z}0a&^z|1T ze#V-Q-5KiJmtq_EX47br1d?{<zgDzlZHK?1?k;@a*UMk9nUwJ1P4oWeLon<i>$7HN z+x+ddd>50W+x&~6SJ?h#lt3(Z>kTb#D${Z}3?}$-+mA9k8;kMhE%}!Ezq*^j-iMvq zQQHxE`3rZSTY4Q#@2ULdCHZn;AF(s-SzozYFpDsjZhr913#6F5?^7u~&6@@v0C684 z=q#F!#xp%(u+hK<yWS~)iMu9+CL}E)#^PSsFmY;bx5MSX4RTm(AE)l(n>o5;c4X3x zIo=R5PX}$*jLK+=IieTJq>nxYL^_XI4a)uM;$*H&v}o_hul*uEKy&k}VqQd1{SwGP znO!_qVGwbHuKmWj-km2eZ)`fGsnVyVQtW#cpHZ~p-vxI(VuH4Pxzulqe`omIndRm4 zkE{XL8@z0nSk8IU|GLCwQ9f@5S7zM(EqCWw6g4;re$Iw(jjFxmDzh36H$Ig7h{K8Y z-sUqs2$+;@SmSDxD7Gy5pdG<?xU;eE`q1gJ`6czGT?Zq7)t%u`sO}XSo&{>&CG1m0 zQ|Vi(=P$2jZI@Lm8(Vmn83gadJm`8Hc+ewP`S4kP)VoqUZoL;Z8js2qo-S;{D#T$` zbP)@nuO<C^{G_aswAPPzfV+f_D+VtaDmi{EKNI`MdZdyH_#3sriXxl&NU<;tzBCkU zdvemJGHb?LM`5<KeS8%Bbg|d3Ql{j_WV5K1&$Yv(-&^m4QnDCNs+Vp~#xWjz_{@Zg zikX>t?}ooQ!xk%l=iuFl*o~gG*LPxX7ihk2;mPOgA5Z<|{jh+)*bg202KNp#xEM)z zH!2_W$SUDb;()FmR4*~OKYDMGCb#d%&1}{%k@ZF8k1du?r<%6xZ;Y)IOxv7RCkYy3 zB97tW$y`OTyx|6%;UUShe}8WztIbU=f~Lh7NT32bF$(p{i^^`ll9^AJ9jPaOe|Xou z#pd%~A~h&*A#JWbrP^5j$aJRYXw5?Uj(gz&#!S$elJBmFh?x|c?O;o&*1y5cbx8xp z`kXrNCABuN;^4+pll`bh%fL$BTe6YD)D;+_9Ioa|?SO<#TVki7w+cP;B7us%)>`Ow zpX9@rl}8JqTb&IZVp5(7a&`T~E70H<ENcOGrw{LIzPYNy)a1FUA8@l!>y_ZK@N+$G zIlv9eW_y%!yS^M2)m|{-b2fJ4S>AUGEsJl@3=7Y$6qvDIL1N>w!#Fa|^`8^>(lQG2 z3OwX0|M}gs#SqsGEL}bmZ)xAXL&K$RyuwE<eAV$(n)-jp>Hnt0r30?ky>kIj{b&3? z>16N!MNR*QG0q8m1f)t8g=`s_cB)Atge^NoU9HItHOC~eh>wG}TW^=&F8|OKJK8ot zr3E}?q{ve-A75f%)xbnQ);Q42S5Hb0)h^K0(-hogNlpq3)!$=D=HDT+BpN$vwhjvM zXhdHGfzM=?gd~&wk>94NS*@YkdAgFC9e*Glx`^QRTwU&9?Oa`0aC?p}Bv?B~S6Gvp zBm@)KSz#e0QT8Bhy6l>H140mimKBx|{@fLoAbz=Hh<Xw%v^`VzSCICc5S75r5#*ch zzDD#mOGpwaM0-Yv!tZqisn;FRh~8rHNlFgUo)Vhl-#LU->sDw)Z?d=~nS^Lh2$A@` z4k2H4Q#BS&AYXJ7G%y=1c1ctr?PEd>{DTLOa$Q#q%sR`xq~_rEpF*Ylw<_aCb%@dr zhXoa+1cwD>r51(+?{L{Uv%KQWt&1Dc$&p_0Whsgmvj(jSUgtvA#gTL(r5Aiyvf{~B zpcO$esfRu+Z{xeIK)(g0x#XN#(&9_3VhVIjq#t^-q;Mi@<GOWF(hFWJ$(%b*EQ#@+ z6>-<&Z7bqBbtLbD76sX*1P28<xpHeD+VRR25Ny0y1q2h%T>(MILn`9hb*S!x76i{F z7d%<QIqhoVT6OmCf#wB|B_9q5?n?>|2-0#zJ!XlHulWj5i-%Z&<^<0<=d0tIbSP2} zJy?R{yDdR8f?J$+)p2z?B&mn)EZ*^PmY^xY8P55txGEi@)I&FxC-Higpb5c#PP?kO zFFICS=&HESI&o5ht}M3k$M<8#E^5$QD&xv@oTLO@SS;de?#KKT`~V#chKyoeRbz^< z4yvFctd(l}8d4ITH-t1}P6>vLU^Dnz_hE$ugho3Vsm;t13?X4d`CIp3nS?oYP$AZp zAF~I0LugiSUq)Vrx9-AT5t4l&Jy=72!6BqDGfNPp8>`MwJ%r?kx9-3ap@U>t0(3Ai zPKRKt9+QU^R&8HEvNK1KVFaih85RpglVLb0k_?N2rUXJz1eAJvHkOaSbpXl8d@C?c zlYmeMWn(u~VzRJHD(!Pf3Ll073xL|Kz@i9L>g}`0Q|Mp-qzStL$85ub2+eBk)5s0z ztpG?Jwik}sf_V`l)!HYKQ_w+wNENmej@g7c6HsdH<H%m<E&sT6WH(gKAMzO+1E=1A z*$^nI+GEHX=x0Aj8P)+#y$-ueAgXHrMBE3rsKy!LND6U=xMqbo1DpjsrVwq$6SIc6 z4USTc8%C!?^L$|iIBs|h8G!)<RO1HFfzUi3SOzXfA+8VY1QqmwrQ>S&+6&MY&^&M0 z3tF^F+;_A#Ps|cR5DZX>>q4V=+ArLNpsj-l9;WDv0RBvdzD00@FWhaj5v)uPy<pF9 zD1|sIPF5ifgF`69p>Z&UI22BA3M<rwrs4rDEFsPfslAjTS^>m{-h_muDA%%0DR&qi zC&s6pjfO#^2M~vhm;uBt<3kENC<_glL9)cD;fOw9T|NGDZcK$TSkMy|i8!a7uO^^i zVYoTo_8G)JZHf}40X@ak>JIb6<?y!iWozOIdAMX=?J2|@?am!lNHw}*vxWVD)m{iv zg)U{nxWSxpDBkvQL@(_v+J!o>k$w&i!Q1`|(N4>94MIeRGPSzEtR-$BAki)`OB{(u zdlW&W^-_d<M4M^+JYioqeU~+~Z&p++tKql${%5hIhWV=a&*IzJn6R~d@!?|qY{9T6 z`{IMem~85>wLS5HV%6-0&}T!Y?~I)_U;SbKZTi~SD7a_$eNVBlhR&anCDW9w7ok^n z#k-67v!nM%@{9Shz4y)Xi@7y^9*^V|b7$Y)H_I#L%)T8;KWIwGy1H+cTMW@qB#Wbs zX@alph@*^=ng=WI+lo)s9gofCO~bMTL!S+phGY%xnPnBzX1DI8&Y1>eec3acGmSJp z(^x(-n>7tJ-qa{OGMh0CG@jOQJTjX$^)>F(5IHiNGW9fW)L1?=n>2MbF4HJHG@CGW zGS1X+JTx0O9V_n6;tA2;cuy>D&N>P1S$|(%T$42stiS%gw3wJx7u>V<{)1M<dDH>( zyYrk?<~Qe&tIV&@i6>x#h?0HqPpyJ;zyWh{gzOo1RY32b><M^KE9M-q&zv1WImNCB zDE~vPGQW!;onn6r$o}*EL%0z^JjE^x2%kmmGQW(7+XsKw(mn_5GABhu?h|w)JWp}? zS_&t?i*Rl?D8T(Mhs^x+oOlfG&_bNF<!W)CU~{!#Cv7=ekP~c<mhd@%BmnzISz#tb zQ1-xWTI}b!0|JnL%`40y=Q%6PLFcl^VD$*tX<Me&uRqv10jhtLBk(t^{j;cT=8y=| zU+jzk<=pcKT(3297PZCf6OsHEJ0&o8PB{cuYgL>@Z8Ez=nEb^~2$0S_55ZrxQqSg3 zz+bcy&d?job`ey6+r|VM&PfO0axK?0^g8psh~|^Fp8}=lCY6Ly4dRyFFu%eka+qIs zbAE{b&XKJ%^Q*(0I>LxX&epsyb5V%cG;o#w`VpdzK+=fZn)hMO3L#GcSNO#??R=Qu zhICH>fAdQp$vQKqg_KO;3N%c%?7W#%4iUA4ZVlAdyccuwA;pO~F~qZia6QDfg3zfU zIRRYcXWv8)@^c>L)PS`^lq<m45U~m{CWN~Jj1GZR5ZX1UCV&h4=Nt2$%;AT&HH21; z{c+$t|M7<10RR35a)6)qDDp9LbV$utuv!RY3OL7qemGZ6Xwsl;+IcVshjdQ@XZW`c zZL0}&8l+7-cV_R9xJlp?|IFcB6`@LlxM}Cc{3Jwg5;(!%e`s4p_@ZHTgsLKZ)`;6g zx-#2_98ciJ`0EavD+y&9PMb&<W{Z%T3EWTq4_l;Q@Ti(=9j-{tp$=H2W>wd=2A7=9 z9fF%}CkKN^)G}sT_MwFVh{iTDTzeZ93?`|C&a~`7GXv%tfQ4$VGw40&n}Ftqwq^L` z>6TsStAJ!*aF3ed3~~rAe37%k-D>JHfFU^lbjuDjaf?L0sGuVS5_AG=8*q7Q!gXy6 zaQ5v;GL*1oONPd7p~#nYV$4`x%&mUEt;Yd0HZjC=dj(&OENIJ7yGZ&uYQi%B5*)To zS%DG)C_dmeHTId@0XSs4c?BA>m9qj3+L8?fs|Uau+A`IC)ne!1RNIsQ@He&n>8NdJ zNC2rGI|HX|c?N*%)kdbHwxB)%$@SPN_}mu7A6%_gF&(uDbqO%3$4<aWTb};luWG5& z^TFURY6;Wm4X9lJRejqSykU#v2QF80okp)i?*%m1wf%&bZkZ?&MpcOYcEfxMeaK-x z*}nN9zB?<n&d^uCb5sc<Dmnf0zR)5cu}t79-}M!QDuJXD*+1_C&GI2<09W|L`s{q5 zZ+*HmfWP^qS7e=`X+9+xxB?ZEemiey%5Q`Up<4yjKko%i{!MX$Ci-|P5U%^!DiAtV zB;NuT`PloAgM6GTIm%#dA7uqF)<;YMjPc=C0Hb{%3WRnQs<*%ezVqIBPiXjWTV+D4 z%KjVRJl}Dz-2mTyFLHp7b|vyLG}`B)iCN7Dk^!9KJO4eWL}*f>^x1hpgMGT+0cZHO ze%mS$>QqR5cJ5GbpSX9xDZZKCbJqw}D#SiJH|P@|y?4L~zW(2~*9c!!tX5Fh2%lBr z`jD<rTc6{%xG}!E-_44IG8Lyjqzlx-r{*p0C*Ow|(h2RTl4~%oNXa1>SfpeX+_uIm z*_b=TYc`vFLOY_A(bTffROo>SYa{b&&%#b<NlKwjEqhFv9&@3<LM7KG^d8e2kLJ*} zW!}q;ExSywJd*cmdz1{DkVCw}v#>w3-Ad|BfFWM~#+DtX#2FHqDPe|mjMwq74aMat z2?w_=@UqWFl9>oIwq&N*85EfbH-jKEMa?81)1o|3p>5epd`&F_yo|FZ$9PQ-L?|#@ zX(I@irL+{(Hpfe8KvS3kW^7lOqCBWV+h%!BXGll1O-dV$=xwGTkLHlJY2J+)lOx(X zrQSyL7L%7pWJud2@6-(GkhV&xv=P0@<m`b8X&dM5oiRDYuk&`#$R5&uR*Go^Y%tk) zP=c{zyfrh#1KKhrhep6U(_If@F!m?U{jugcypap30&nQjT!A-mv9QJ!Dw^HLt?}F* zi>$*BE2fv{`Z5)`a9iWZJeV=6I{biQV0o?&Q-(`U1-?(wsT}FUl<rbv)mETrQJ(9~ z^kNiMi~p{ueIK{PBRED?i|<lI-EYfRR4#8B<l!lcx(H)LC#D1!lM1}Hi-i?#fd^JL zPvT)L%O&wZ%IruypfV(hhjom~i7C$I_$$6m@%SEYo`-&n^q48eW$r6p!-ez}ukONa z1<X=}l+X9`Y?LBBFNmIFOWZ8a$!K#mzEP1}hV)<xbg8k#P4ldcqN?$=irr;McP3Al zKug>t&%|hR6~0ojqzviC<m94ki5utX8TGUVj`MVmBC7C2#mKUG7p4a;<om!eo~qHD zN_?rJZQ1-2raLYrs@U~Q76Zgp#<%^+tBmRWo~w)>9}|7?`j1UCuxpo020VjlhvieV zqV^f{AHy`T<V&alN)YXUyl+<29%E`hF^INL-XROH$N2hjx-abyd9y6^&?T_}*&w{$ z<79R0(j~+IC6HF{aiA}4m%OqnHeX&=6}xzeGc#(3@mYT|nKAyci8?S(o=+8*E6=V9 z%#~+U#pTF@RIv+}s4@XNj1m2wfwWqWW!16smrgRGD2$=~#1+Qi{^S)#+~Z~++7|gK z6<nq~C<{PgjCf2@!_Hnh?k5J&Hp(w$0=5|g9uw8D)0fEovH`TU^6i;`Ek=*Wo@&_1 zOTYSw{<M|y1(|?N#>bCk)v)82${!=t+J0SX?}z!*66HfPTh<w^AJ3__jb5tgPxhlN zmAA@lSz|P}cY*`gxvxD(4|89A4jAT^es1T-nAU0H%=p1x4-Q=8zS(7~iXW0oPPFr7 z%(I7B>%9_G52uvmIp`%87XzKJ$r~oo0AI#zdkP<Rg<H7`<;?ibp2Ua!%`MyI`82;f zsaTXvKVA4e>%r1PQh}UBVy-vii%ygZ{=1wutHagyIC{nx_Hlf`C2syMDrd%|&N*dT zU3(`5+UxdCd~JDhvWe(HZr0~^UX0J|Q3`mhy{y7Z<n<4r@u*x9H{`h;i5v7BN#bVh zqH<!4vp<%{x5*t}!p(Ejcaa`5#@Nrv<2CF_@_2Q7Za!d^93*kRpL-)A8VnV|GOCNz zl8$3_Y{;k4D_oM_WR>yFa#V@)9*p7kWM1Gb_hDy_623tO6+Z997-*AzO1;dz)oH7Q zuahG^w{vIowvXclPI1q4&RxS-$q}F1xiLPm*Te0{>b`99RH0S4Zm-OX8|Uun^n?S) zxjUQYj$`%EjWSC+VY%B3;g6hbj!&r5xyQN6&25OSLnp0w#<&`)WbfC}n5wEZ^h*W0 z&zt%(#8jC$G2jnR_Fe4;IFI))dNDZ}nX4&}8RDK=LMmv`xp7rFHL*H6mbO!^g4Zpb z*p=?uy&PsU^Gz6GH$Pba&+h}<Tb_5k8GM=WmX?oi9`fsbzi}h&wWYO<oqb*b$J*BJ zp;&s}hx=>$R_%yuc%oe7^LZD>2liwh;23vRXO1GiRL=JK{1e7I_9Z8nbuNo<#8rm3 zP06ba=@(=S!$%w9eyqNY$r)yi%jBEq3C*xfYIxK>Lq3=GH`oacNhUPBWsf1#X6}@_ zP{uVJy~pszrunpWnd@>`%Pzw!o8*0(9vQ=M<PeweH`pJVZW;A(zz`RISj!GWViSqX zkkIsBpUCz!I!{LUq;-Ld{aYlNfzV`2W{7P<kr{AJ2r@%dQ}Qtl$_91Xnk~Z@-ZH?& z_|4=vR?`M?N}VmU@h3V<X6aAs92X@NO<@RVvRz?_vY|R{o#i@hA|25*$!vt7w;6(L zn*X*=b8R%49MROt^oF6g7`$vE|F%wYO*N4YX{uyO!_b=y&NisOt>aw1O(uu2>s;MU zvWGOEWn#hr8w@r!loQMtS4|V~fTm2wAq=q2aMy--g89jLzq+|D*2t1n5o>7KToG$v zX)zsLC}lPgy~cUFI<hWySSsB;*O#Hdl6yLu%!#R{s*4@C`1-j%3>lU=6|sF%PVPt_ zhIGrCsn!B13-?@ah8I<++Su<>+7r=BoPyO<wXt1NsEO8mDP{MT!HdSksEbfdbYe)b zG^vQywzQavUf_he&67A8-Ev8s5H~v#C&&#+;$*F+a$<<HJpLNnCUra>J<myBO?u1_ zV>$OVR>P9?HCEk{dx|<s3gSNB&$;1>^kj&%Jf4i6<vgisu8wV#BD*0y7y>P8CZnf0 z*Q!v}v9(g&Zb)|qPs_l`=t<6rs^+TLN~sbzq#J{irSfF-IA>3l=QQ;=XJ-|nDwZe} z={E1e@W7HhK|RJ<Rh3g2TPkJiHvfd-j%7(5W}U<06LFRPZF%x4eR{d)D*ea1MBiBb zyC#j8H4c+cp20N35~&ZO_UZHQ!WuDT4%8<~5Y2#u?}Mm4`qXk_5KW(i!vnw`{p-8w zzBE51%pRbJIK)252F2>#O>V#}aUecX0%`Q_2Kv%;NhsH0@+D;JFpC_V)=@k3&&rd@ z^znC18mRLm`0Ao_CD`kzb0rw-qH`obb(jSXDr>+FeMGruAdS{t*#^u!$B9)Gg+8>L zxI!OXp1eYjyW8wT(;_id8=WZudH|r%N8F{<V`e#y%ZULrjS@@NfNlDKyTp3TGzYm{ zHh`v9qTL#>MelLfvmP_a@vEHZPg5yTU=7%$e|%TA9y88Seiu>S`irBz9Oh3$lnAwM zS*N$YJ6G2_%283C>_<~7VP)O2MsIHFlu5nLe$5Cy%zo7fFw8D(WamepR%GH#|G`u* zlX{K)X0fem?2vf!O*>!uJX1&}HJM$rcupm@U)=L%)DZi%;vALOUUA!-^WO9?i)>Y5 zd&KqLQZKV#E`~YNzc7u<pk89<FQ#&)Pb!*IrqMNZQlPnR>Xgx%CoX#vJ;=^#WamZy z%oL>%i#3&1h{c#96k^e)Fojr@sa^(kjyU4x`~W+&c<wPh-c&3DlPwOr88yIuXowzQ z-!-)Jqz^1YD95Uq66Ir?#i?%2d(ej)Jwxp5Xur4bg7VX3WeF!p+quwLJqR(F%O@$( zG>LB*p*`q>Oq<`ePP1<mnJCfJiT4_z-RZqdBj2@7vQHI}uF+J9ml~nn=$%bb?^?&% zdy7o2#jdk=7s+0u`79n|1aPId$=5|FYG_cevD_9<Laahw=@Qjj3xuqofR~p?A?CUl znR#KCnoUST%|NXn9U>Mz&9apk84%MTM26dKLqc`QYSFVS=ZRE-G0j51F1jd%ApC%V zIhI{*l243=?wk*(RcK!YgGBP9Gy*m#-xq1YNcZwBX%FUv=rQ)XqGrX|GI1v(qzk=; zY0cZ{pX?v9NGG6CQP<$;B2kB6>KAmcvxp}k1LKl?&`;3<O~3(Nv9W9zW|d7ZTlNGr zC>o;)*r&@jri5Zv*p#zTt90*-NuikEY_i#&e`0PJ6GJh}Y{D8*yL2y&<Mu({MYS~n zyL3s$k^3>a#-5?k`JxKJ)E7b1Zjg;TJBLj7G>do)>JUW)x8{m+2V-(YVZp6AqL5%r zj;OFEfW!vNrmWBrj46AdHc@uX+yOR7cJm5dNLJ1YT~L<nF-YAQ7TTIA`YQ-C$3~S+ zIRbqX-PefPrVBA9g<xjbC|RCIpnA~}ji@a;ALHZ@%oN*P7Ud9BEn1-wwMpk<Y!ZT* zU?XLD9)iA#rfSTefWC+(XrMRf?2M^GTF2NLvPcJ@a#2?e^g7)=<L2PjpKPUBCY3Rx zB1CDsVO9kx<S?sjpFLe7T#wpH#Oi+Q8mpvK?hvcl>*PAnh)9NXi!WWF0m8bK%&Pqw zRtF-9gi5#g&}ACTSy2~?xJsja=-wDKTeU8;UY2U{rh8?OTmkA4F_cCQu?oM2)q=W3 z)TIGKto%|fUUZ3RBqzFrG*V5Bj)ARJbe@Rt{niCm_Scb4bc8fpC%V`)loK5;4dFx= zm6lusLK&c}TC+v?q+1498DE>!#Aq5Itf;d^Htt1di7ee~onxg)qCM#X(rh2oMHx_8 zwa&7hrje>aO(GjoXb-v|gJ#RtY1WN2lWI_%NUs#yozBZ3(z11ubt;Wi1*#G$l|sAG zIUArXTgO>@(@d&j)>*sLWUD}*MPj4?u5>mAl>3-5)|xb8C8$iqK?>kPch`V;AM=yt z{skc)W28&+jWN`1_Kh*nwa|zz6f#qdz5qp1WN^%|P&$9^KBPdGTO*pxf=QwZju{XN z<j>uMWa#Gj#`Fm}@gw&j>AE%lL5>A~?k?m-A}T26yO6eO^b(8Ug|Ti-mk>&|HD5@X zzh#hx2Of11l89tTg06{gjJB?Ydh`Md3_ed{VT9+BSRima5(@~9B(bn2QIR2Wy2m~- zZ9>N?(eo_yNu(7>%mu10pml*SbzN?C>Z}XI&G)lx@F6LXNZsTA!0{xpIUuI-0-?xl zNT6<w+68FW5>Wv$wL;x+<QBwJH&88ll4T;X**~UIs05DOggEIct3{8q^dx#}P>-{8 zCL;V}h(eL@`E|$xU9u|m7)w=Rj$cfvkS%<E4RS}fga@+@vmg+iA#d@?&X9Dxr!(Xu zmZ%V;k2Qf~)?g+CPgT$`G8G!-3(3dA;21ItMWCpF29UncC?7~Fo~Q!qLpneKK9JYg zbOq24q!|=F1QR33s>JAFlld@9Fa&|34AR2}DuB9>$~>5Sq%03+5yr_B<pp_$Pj-UD zV@>#|^N@Tz(YZ)=9_m~qBTsY=62ya9fKf34ydV*HPi2r6R+bMl4?AIu@`QxqiH{+{ z_~geB9JW~=)PkJ46rG6#K>?nS2rPvcGYdP$6O}-X$R#F#2P6PX<i$+G$aq;LP%W~Z z3E&R#z<TmxCSkwu#A~2RWC0Vv4e}T(%ZnL@m17aSt-oOHc-S=%5gE$V;sUY8&hfO4 z!Yc5|il9=Y6;sO-h`E;28DO3H+8Jt?`RW<fFthZT?EyF~++-E}K}+upu*Q5d!uA9= zB#?Y=yARIOf}8=!%$mAGxGq*c^JvI-uet9i!582xE%GT~g<0&(b`ShktNRr2n^`(S zb`_kaRdO0tAYgKCy9-VUNBqHc3!u*DcEHKu6f!tb%X1%hUCVYK*C`-*0$5~bKSK;M zb4KJG1GTl3_kma~v3(#$i+dl4)`INg+6Aai01M3Lr*jl=c)0B`u2o?F4`818_|$fQ zdH)nKz)TwvxdM*Xs@VgoX+cf_bIj-Ab4R!)0m_-}HaJ+T`!8UIc`MxZ2v;XSI<wsZ zduzr01xzu|gwGw~ssxB<wwvH5T6%v06U_bLwuiVc0#*^IL)>S9xHH5C*jDTKBx;Pg zF1+~wS0><ehFAw%Xw{rV{bc^&PpSis!d)k#ir@|tfFiinM9Ugfax-TLY8H@O2ONQC zY&H9W3)K+QEo7*60IUv3f`@K3`+zgm=B5CJaMvxA5BSZ6B~HsS^zvr2H~5uWas{vl zZn%XQf(i$~YJuHw^)0F)DF0@&7dX+M<OELeC)MC|)NH4s@-8e6S{9(}0g+B%g1_xW zk+46?35@ecIDw=5lWTw|HPlo~Hk@y(c>u~7U{ZtAR6|Swvf&%!QCaY%@s>F#Wdr32 z4)C{qj5Noeq8AXJ;7GOO$*5WAiGOo7t`Sb&M0kJ$)oLcArlD*8sA^m-yn7Sj4)#<F zoQ#@;PWU%h;VR)Jn+P|slbZ5m)Ht-q-*Xx;4(;?uRN;v5$jvzy@B=mS1YitW<)2fD zD}~!`&OHI&Q7frKuQOSA5Lap6x+kyFrn`Ht(tcDT`r`DJOd8Q^OeP+l!N6hO)S1YA z+I%HgBbv;F@}L9(2Y7vFBKK%h-HAcKK3<0zsy*7*O6k79AG~HWs39gX57{7`o>FoH zdWi|)K?wxvDFylhyLgrB(D}Twb?8MV&gsY<+Gp;`WZHNolZO98(s{=vy}tkd%}~Gr zDxNHHppw{9OLKq&M}nF-KAnbHS;v!F?!5)KmAETY6dYw8^;nsyrD0i)lZrEQg`))b zAT=}3kMsTgbN$Wx!F^xP*L`2F`?^4-7^NmU8zbKYVq>J5=qwDh$zoa>m;lzq<NX36 zAm)a;uPvsecgAU};xT@;bK+5cS##ovhMZtXD`vcr&cr|`fmQK%!_`+7U!=GFYQrJT znAr(nMLgWF_LaqiG~Z7*9MXtsn*f%@{S5<NS&T`K_|=9%USP^6fF*HHL)}*vpQURI zv9DT3q}%*t!XUMnm<i5;_$5PLQ|qvFonKZcqzdCU!I>BTUC)aNT97j6CJk6Vfy*XX zQIL=DpkS#J-N1m9cDMTwMfRZ5{__tkDh^ckU_-?#^^BNwfs~ymiICWLAgu>`rae>b z#C}>2FIc=-k6#3ulhW#T4;Fu**HHxeCZ+8u^55Lmt1P0IA8?AhmYSt(^Tfn?9nzVj zM9eXYSZ{R?63@PlHBRg}K<eQIif3J4^%7_31?VT*=(+1BemHRS0cb`_z8l*owa=4f z1hLjL(uY_jUv?ruEcN#5LoD>*`iX4^fCr#yslAW90P(o%?na4k4+slDQ&QU>-Fu~k zAF;hs;+`~5@dUjFJqS?`UIgMv?Oo>?Cbk?{?RNJUkJ9TX1WigUUw1c5Y&yX0cK3q@ z2KY<O+PJ55VHr;Yt7Hc+nBCuh0Ad7<mzvb}<b4nrC)PJ#=NKfu$dGpi^YZAUQvKIy z28quP-0x=jh<`ee)$Q&heoYTv2pW-Uxz0P8SaTqt+x@1vt6s+g(6Cg^b@!8rPZa7} zXy3&OFS7(<1(#_8v3r+mcfj^Kl|t~4LU{}DUF?yLZmfksl5$0N2i&KS)B*^_N_0d= z+&M|3D<pwfp$<33;+v%Im4F`!wmP*j7GEWC&9rr~T%9x_xKqKp1y~o$)S(Fz$T|Tr z^fCqgD3B<$xc5o!zrx~+rC+Yy2ESLpMzyjP_D5N;6=b4XSqktd3zh<|1>j1`Tv?qH zOVwH30KZd^Z(;RH!mn`V#G)^==ENc|>u!ThbYx;$nF=G37CcGd%IX&Qje@Y5wjvg- z!;Q9>lw7?WumyglFxX6677NzNinbV+<Xv9f1iw_MYo;xU-PCc4wiuP<UJlp<KUc_W z=Iwx=Dcor$Es9;$0is(!Nxr_!{SK~C@M$J3h+WX(M70h{R$X>_kudyQZH@bYgnl)4 zKti{g*DrDQoV&MJ{#jO2!r*VL8eWLl!y{UkKmrMybJ(T??r*djUa(m45xyH}PC~2N zJy`6)kq$S|Hwo=?y53@Uk5szR%YSpKaSsy9K8tNk==hCP!wVG4I=kv6#yApCmtb?m zy)NOyZ%180GZOOE*glDU=U5G3>mx>WV5=iqbzsXQ`|H3KN8oh{ZNCAoplOM{DqetC z+*$XAgtxy5FMy^bwyWHGC4^PjUJ3DYG*7XFBMr~N#3OJw5Km(7EbnDP%WtdI?*3v? zM>;NoCMA~7y1z_l`i)!d?k5&>B<&(-Tw?MpuRfvvx7uoVU$GlUC>KGa5<O?#>l2>+ z=5~%$pYZgzv}&x6nER1!SNbQ3rn8(E3Dv)ORby|8oj=mxN*|JVLghw*htWO+`a`q_ z0rU{<MrfT!9A&cl5oa8;qQHabqGFB^T7k!!w(=3yjxteTE;^=|vjJt|c_yF=v`;Z< z16qLRn6!RHXfiqL(0qJW2)GM<x)|G!z&Xl9f;-SA#Xvtog~?fiGN@cW^bVC9k#Gv{ zZbC0b;|Q(O2zf^uADT*a=R=dJBtA5eiseJ;)T{_F2~RR<EkP?4b9xa{j!qE?W_YX# zs06)eOfN>y8n^Ngt3{+$XgJk<4ob(12Hbx^>{7Ym;1={Ele7Yj#B+$P6Np8sQ#iN@ z{gFvph6dtk#MUvyIF%a)u18lfNlQ>~Jc-!)8S#<o6qc}n=%DI`fuEw2n7|_R3VxMf z@d?pDtqlcNqdl0w0`wfdmS8dT(rm#$%ArJX{8z=5So<r2-_5@}|0{HOBeabdo-!9& z{JUZLX2ZCo-@oOXr`fkFd*v^8yY30|%ztEGUe{1vS5@sdk7^GWW)$}s?v;ARoGRff zTv?O-#WoZs7jscj7OH%-DpzB##5~5_e>?fh9HOLmCDOEH4yM{GxyO9DK}T)JuWZHk zZbkpS6`8pe?!Oi4xD~9k6^PpMU)l8S-Msntrg!G1r~l@k@v+ZTqo1OpYyXY<dnKyo zOH_4lRMnfPzy4OVSb(1=*Lp)A*kpM_@7n};L;upK)laa~a8kCIhdYr22;c$PJO(WU zTBaeRY{7?<$g9TSUfB=^Ef|_-Q)>+Fmi1r&!O(je_w~X5$ev-4`r%q+UE>6bMwXJr zEF4Q-H3CyKBJ{!SvPOy)WwN@87BldD8MHv?Et@Pa=xq%rB~YoXk|LcgE3XJ*%StKI zS+Y<?i)lEJ0R%$hZ32wI<{G+67E|z@JG203j7_a4G|DE+Q#5IoqX%x49X~*4%0d}H z05o1>6=U%QzHL)$2yT|0%>ewN;Tp9ViwQX2M%NJBDBG3+_(A<O0x%Y1@DZC@1Mmyk z@(jQi>ZzfNvG@$H(ZFI_N8oKXG6vvU*_aH@P3R>Jo?`1Tyv`=;B)Ce}ErW9d`nyPt z0ShpLSkeIOMD<L&4D<m^H<s5AJ8SMPfaII8cIbmBRvb?Vd8n%O3lP9;L?w1Q7e$NX zZ9s}u`MbazOe@xX1M)z%V;A@a(>B)?K<*wnlu#y-qimP9*x_6O%T$6D*Fh~35)p&B zgY-ug#WFbCYvp!>19V8fYL*bxg*qLF?T6vaWqyD<P$qFeKTIW-vj$<9aruxtX54N1 zDOLAfS}6**!<mN3o74D^R5N!zB-xC_ha{f0oQ35>63k@ykaSf*2x>#^{@_f(c4IiJ zkYrW<2IwtQOJD&`&pK?A!;gr%<#GZCN2(c1n3$@4W|vDOJc9lnBl>agIOx1V7RmnL zKG&{Zmz2ATZe34%T~hD9bvqpNxV=L0SZGt8z=ds}p`EW4`na<~|K1ZfyU;_u6+5d( z|9m+(=|Z;$xjqSaOtk-ep{w5||E}e+e7CNk$NyBo?*-M#AADD_cl4z9p|%R#u~UtC ztfAhD^}8;0^6U!X-RX<-{)b$+6$ba7T$BiS{INpu-Zi&*WWP(6eR^c9az*6etaG+~ zr}9DX$4|>}nG$t*pPiHMx?DWGq-E_(HYIcgK7LujyK8yz)^cTcnNenG-QZ{EeEUoH z)QPu(4%JkAV<ebJ^gAaoPK4x5I~R~ox!zi;Y_H%jg6i^yoTFR<$<nSjmb5JG^OZxr z54|jN$#gM&((ini;o2RN_pr=B`F+%x1()CLFC9yMac0=Xm3)w3*H>Dmn0YPaOj+5{ z%m*QZU!Cs<hmt=lhla~fI!BV1l;4M+`QjW$BZtPRh((>@JC~9(?yCG5H7IbdBu^OI zt!kN&rB(9hv`ooM#)q}nv|g6MGv|ZlS!Me&bBGdaS`BZ@c9s5&$YYj)Qx%nOg;Wl( z^_7!D&x|-#I(w4;JcLY63#vS=^?|LQI<1#C<rJS{r=M5KmPrMN$bWLaM1HC~WFWub zRBd&MaM)L?udIe~0&{r4`K(nn@=t+Q9s6|VVT|2(t*2#!3|GwI&`LY2P~?k4hyka< z6m_L1T&L6&SEU<$PH`#o!FeKow3RV3)M${!hD#?KpDAN&r=AEI{N$8Qrc3|kRr!If zBK_X0l450xJO~e}>|$$K1R{SUoSAh>wu(i5HO?z5v5Y_bD_w{mD6w{o2meBRe{{<H z<X#*9kqyJ#bVsm;?<i4^&)GfH@0c5#0#-U4P)W2}^5tv<LozV#b#xo6HR(U+1YE}y z)^Li;k=1?SAY%gLzNZ4|f3G;BVk6qzFpweHF)og<E9iAhOE(wWvE@1@O8iRV<KLI; zi?<WzmX_={k5AA)^V#XT6;nFd;LNC_yLs|2oXzII_eam3mvB?wik?7KzG3gC^kWXM zfHSpu5%fOEw98oG;}!gylD#<a?x9sY(R|{EZNTay6LY~2Z`71yviSkgdp-FHF}M!L z6OyQ1I|t6f`MPJ*pGcqoP4_ivN^Hl6#TAE3hZ^QhluAeVvTj1$#Ot-)qv-vTS$?FO zkP8@26RDWJE|u)5)yfu6?f(P20lt$mI?zBTV*<uGztim%&NtI4Bx^6TPWFpw>X0Jo z9nyFFNFflm0yl*2pwJNlI-MeS3Ck6e`|SW_444s<F{Fj@!C4qm2*^SqVtkA?EiN>u z<?Gj@KT|(3yTa^36QGU%^T63;P_LmY^+ERN^Y8WPrwcVX1o|*j_hk6!czQpzh#0y& zi)i{EpHD3(<}Z6R4G5@}#Db-edh{sOoA`LCt{y!`^(8hg3G310)IehAlK#tqS!xJz zXvyQ{zyvjqXgm5i2>%arRU6N08knTU5Wg?Yz8v^Mr4hxJJv<)~iHPMS&quAy_0yzo z!A*QE^KID9bM!P+Jse#3ziBFcM6<U3e`QRirP+poGUj?%|Jb4IfhlTS*onITv6*mV z!DsJYYC_RIW9iZKUg&($neZodO#>B7-K9KlyaUnqMl)$>NUT;nD-={M>2rzp&jR?$ zRdfW7K<`6jQCU9VD=4mzb{f6f^nf%1t<rS*PIEx>qeQBp#`C@=>m;ZO^cO_i0qYBP zLt`<8q<sRgokBn(Fd$Z>4V?TvKL~VJ`xr0)K5>jR05*uF^};qyI6<HXsBH<%D{bt6 zl!GrQk6^9<9Bu+WLZbEsY|~9t_wNG5D0m#N2euf4U4_t8w|~;Uz;?_yTl8iWKNh<J ziBN6$Nt=Mpn~}EYji`=T>@p-kHR3023^r=U*`&WfRmNhMAYQ6QKWU#~U1kBlfX}cG zX4p-7Es7S)Tc9bFo;oGTe-3;QeLCwq==XCMP+V7F3R17a`A(}5TaBcB0vnifzk_N} zKCz?)$OTo-4rd5fW#;rkl<2DLeO>JaTnhH_JP)0=za&>jvq(lNbJl@e$=buprhq^I zazbUf3V^%F+9&DXfojQ0SI!W)wqXak8Y%irx6xxfKTt%J<j4lZjm8uk?|lA(R`a(Q z?HJa_2XrA5Ml&IKltY}(URNRI_JfR2TtpPjsZs3XF&dKgq{6<yK$;c`RKqIOMR)WU ze@bAiT>k8k#u>p)0!MH!n(`_t4j-#%Iy3K5Y0uRDv+0b$rCda%gH31nE-d?4?XQhz z=3I*Gon3DPAL_0+aqq3$e9&WC`wQB?H|CXBXx)3@cDUaq$NrSISmWS~OV-_}y1W?| z#@!or@?|3MxYo(fxZJvHS2sBAa?4)Lb)G9?;o<){C4TIn*JBYMwZ*(d6x%x*+K^XL zA$9Mt+ml|GB>S}sH+o&7?sh#N<hexLm2;c#aS6LC;U>b_n~L4L^A~UVKW-MGuIr+N z|KnE`i+7(~yyf?}v10b_t&0+VB7BYCy>aoD@8cI0gLmyNO87p0Uh(nn;fwQ4@}FJ) zuunhsTfO{<%N6^`V?!_GKe=4A_db^YLVnogoc)DkzlWY#aIPZz66^+?3m9)h1}n<W zW<Co!Q&Dy%)6P`ld*wixDA}9d5?1z=S!YU^N(d`^MW*z5lUo~Fc4S|bipVqHobQt_ zshkvO{Zn>0Gbcp;t8*^-6v1x2vZD-}8TB7KGZ{f9U470O3=#5XoNqI(nb`F?r!!nl z?AElb$;yN-u5&U&J!Fto237uzU)fd$C?`h@mXskg*Msw>oRi7ljc=`LnTcR$*Xta~ zP&AR~bxsh0u&c+JP6nxb56}DJ97|qPei5GcA3vu=AkCX_4kh;~pA63%cMc@CDt`~l z8*}y*f$c?D-l(%TnXMceCK3XFk}qYd6K^bvQ1+GqCeANt{ax0?m?qp<(5fzb#&}DZ zpV#`U>`BTE<y)bZ4p!cZhX<VQr!?sg&O6;rd7_^;@ATM;jSMB^37jgdvXLhVd3-0f z6&?BAIB(90X%&b(<z3k=qHLFvL~!LlY)$Dujn8~_%1J3zx)Jn$$otJ$e%2||%0h9z z&xv7WtoWqQ=}yXx|KNGY>X72$KBwDOB3gS@T3H=X6oEA<MNvPG#Rf~~8_Baoyx!3p zoDyO7gwm6MN;4~2<a^IbQ!BxN!@W+4B2$Uoe<b{T;BbHzhrO4wE<%)63w!fFMEPsI zW-q5a!#wfRYGQv&$-x}<(`sN(rUYT0_-fU&MV!Qlz+cDiNjZUe;#0Zc<YAQ|eb7K8 zAl$8DrN5p$^U2B0%2!%sK^k^CZ*@uf&y#0{9IMTr?$rtK4(5OEAO;-o#kv0K?03wM zbNO}XJASZ)8h_%~V<Eo3#3o+u*C8RETVfW!zWaCs-&3OXtL{hcH^&0=t9v0o2Fgp0 z#y{D$?RU&Gci58`;5$WhPuLY<o+6@s=Ng`DesU+h&oRUN*iQNy{*?K_9q%;}sAYbI z@bOmWzwM-p;2kF?{2!}CE*>mIPdmno$llrOm}36h-ryYmZOQg--5lQ3{Ob?zRlJEg zVlQM)L}sx)==Q)D$2jxvzuLA2CLN>9zy3<v8klelGave8y)`iI7-atb7j$c2%+b&M z#jm!_fl<dB<`ut^HU~aCUN_JG74j>6@zK+gwm6BOh{Z>Lm%NUf-$`G1R9#XR*R_LK zc=T6ERos(N(t`MT!&-011A{DY$bEwVZ^&Pm+B&)&#%Y2yFD`1#-2@tt&hw*%K*}&O z6C}Pk$#At1)GHn0M+=7J8Pqm{x}`n*fMCcy%>6phKhkIXNd4kkhPsV(3MOluG%JoZ zTx|eRFcEd2c4?zgQkk^wC}~D~pD!&Ca?2pg3vwIdG!B$XD~;0F((<DKTUu(A#*&7P zlBUH0Umy??ZxGM`GRNqSlcvOXd}slX7=v0*NR&aANT6Ui&q1xy<DY3vX{a9%0Ex$} zj*-5IZyVIU1T{;~`U3uta7^tOX+oTDp!*WkDBb1@_(A+J0b`^w@ezaCde95$a$mp~ z;)&56BYhUH!C=QYBjRlaGWDQZ=@?(mO~@q-Z<I4EUT2W?0#qgK=F7PO`CY-Q8CVcA zxI`KdJ8?-wPwh+Y-@$jaodn<~3Y2DGUW}^q{}s_?cOke`0p1Mo#msbgk@OzPfXlRg zF#{b|B>khL`(@rbI9J;}lHMgrX#&2A)R2q-oTHHT8kiMR(E$YiC)+?|1+Ng0je^%} zPN}5sWm2D*+$Hxl@GS*W2;E9SH-v7ffDNHrD9D7+NeYzL080{knb#|Z)Zxv6Qxvpb zlS(9IF4KC&Hr+_QV(V`1tKbN2Yy_RCP#a9=NCKC6E8sW<{wv^%*rqlsoc>ypcZs(Q z4pXRn1x$#2)5eC=8zecGcuQbE1+Q1YnAos3D~w(z$-2Z_1YcLsdd2w;_E6aWiZ&wl zRvQST|1BADiM0TBQy6KY4U0X~riIe~l01Kjb>q=6^L+SdUG78XiKQg(NA|?(We@L1 zcErcac}?hf>LX&o@|h;IfLcaOUzTq|^Qk4o$mPLC^c*!WY;@c<_|ZqEZg|tUcR%$m z@%Lq%H@=Ih8GfrScb1w>v{)84pv##mB4L$V##CHt^Lq4wxo@ehA-9w%w^Y}VJ55as zBh=-xnG#FZUXLt@h|xn_YB~`#iU@pUPE=l=^~AqpN`%ipM^8~>!bYFx7Bklu^&8N| zOlWx1^IRqq91b2A_~YL&cfzK}y#4XbBKh`qOyGxq#hec-9rO0XH!`Qg62=6+_?OJl zuxn%9zW5i+{xHiife-$<NTfC`Th|YaP_Ge_m!S0npQx9Kp-XKq28OAw#6OpkUJMLT z&k}!kV1<IK&?EXF2Xu!%=rp=PpFV^*|A171sH9>;!IfwuCQSgf!;}6~d}&l{2$+T5 zE~3pr33!e%$PPVHMEeFkfu|YM|3RF7K>G?kjK_L|^U(K;NaY9$6&nQ3LPrz<<p`4p zv>B+91FH|Z-+>hfzOBhI0-Zur^y%*rT2!D9ige&`p%M->E>zrs#D#(#uz_HjCe4U$ zjy5Wyl_2(0Spnc!O_CAF3_YR;GDUaj(OVI`0vZpxe45h(1>*rD`WwWy1Ir&AgB~fQ zO+wc-X@>Myh!F>tA2<YkzmPT#oz?^l=`Rrt4lG}=5Bhu|Z4}zCNi(27N8EQ1so776 zEC+WV@HI5N5Ey~BX!1_dYY+hr?l-}%=#B@#FtkR~{UrSftj>(~9aN~o5`YR+XadkZ zmD(M^9#ts>hG6Apz<1Cilx{3Z0HLVr?f`wTBs0;iLJ4X$hBOB;QY8sMg(z+e=^I2> zHQ)!$7F8QV`U=6B($+z_s5Bwa39~i>)<Kylnvh0D1;o(GVER#jD44nTLH4V%_@H!^ z+HK%H3>(E^!}dp!*f5zW4hsg4BC%jNGk^<`QC*z_rJ`0hfOjx?GgdDIuF9DMMXRvp zK#?lC+kgp5CWga=jYN`o5I}Wx3wQ$)n$lK4(I{>-X%e!k60illf(?qE3V?!9S<$3% zku`sH6L<-$Go>woZlau`Nuv<1O28)Y9F}Lw+X0@z?wFDmL03^gH0KlKwF>t;Py_QZ zB`tt1pg2*SAxM>q(+knwtkrfO0P7#a4uEx!@%q7M72Lf+`TJN+v_UbJHZKJ9P*UrX zC<oanV4G-MF`70n7*s6DcLV0YTF2ajK@TK5+<<RjZ3SI#&|S$&H(I%vleT*hD0?5a zk=7wb(&hz%vi7Zdff$kjbu=4E_d41Kv7@fQ3|Rgcwhz2dfz<$5OB&SyR+3tEfTiUA zI>15_UPo&a16+Y=@ZM2g04Q#sdjsvQnD7EH1>QdD-U}8U#rA^56=<HI1j&Zy08tX| z2Jpap`*<&DEn=(3-2FjOk{uU;N$~PMcae8ZjC;)84-_Oakpbi2$$h+fTD@4U$i)S^ zAxXIijDmaix!2R4iMc6|>S<5K(nN|L<Sx1GDmqUz?c=<lRf~BY!`=j)muzsQ4S}B^ zxlzC{#D_q82=O2Q4<T*@&OGR-GOHhS29*^B3_^-jI6|NThBf8zLDndlDAB(kqr%w$ zm@u9RPyz8#A#DH!Fpdf5D@gOd6G%QRD+K6*oL0g1gK#LBNT35^q5|}TRFpYu00YV8 z19y<z2-+!_y9uonf+KLILGmaXA4o;I^MPa}i4P<qv3!7z%!&X=Fp>$U1frzE=><ul zoFZsuFsuns0$DVs6+>o?IXuv+5@{6(N4n1ebQoa5`2yNSa>IcZ$f7c71&D-kh@1(~ zBGM@wXo7rHCM^SjqS_~C3^b19h5_}EDrM3V;0+@YIiEovkxpT>1yBc4Hw<_RNm2$D zfh(|80_hW|0a+_L>p(n|fd$|ktd>9;0$gDn0?h%!)u)|?aP(>Rkn_s43eXuv+C1O_ zqY-EWp!-Nx2v82$uPpjBOBg_)^@1XhtYDxB!qTU8gS?Q~VBkKaL5WiiI*()p0XgC% zV_GN3T9GyjsKWqbT04lO$SDIEAvt}3qBKnuV6|Sr9f*@Y%^GrEi8c+$Nb|UWlr)PA zz@^=}08|>w1>|6W7mx<o)}y@xZ6Bab0TM8-Cy)f;>CsFfTs@izWWN$n41yzhJ;0(A zHUOYOwlTCXz>YY_kk$<1i|VOB1f&5&n*ioTs)*JI5)FU-PlZHaXk);rILCnY0#qrD z^#!~jMi|;>pi4YJ8Tbr*5XTzOYC$w<-c8^#gs%vE0_w$CCuvn6cWK@Y;4Gvv>f)8* z@PWSs-NI{8I?aFmUGcL2ubqc2l`DdqJ9k^kSNKPEQd(Fma|=JhT8eZk!Z(Iu^sao| zT4xGfTk`)dzqihe(P+-`pOyS!){-?z{(EM@OAT6V<TGlcQ}%N0lJG>!tx4;Z>A$ws zqfpH$la@N{aPASlv{18!cDZe<H+p*~Qu@o=m%aAe>ycZpqYQd2CtDSIk8DpyF1@Df z@P2OXG{@?Ed9^j)9H}!Iy*1h#sxv{{>S_+u8UMN2)a<J>_G<G<v$xJ@^yY)+KUcmV zjBY=>C>`B8`S+)VrKrZq>T?U-QBNoT`ZQnN)Du$tyl6&h&hS-Zx6kG04+idh(<^NJ z=wSoK27bJT)Vu#?@vC-l<Ug)GC+`03^Y!rmBD-7|C-O=bXEpUAJME|^ax#5p)zOh1 zmdF!X@ryGm8xie<p5qLEpBcr0hz}<i$M0NOoZk05;{9Rj@mr2Q({j1@=VfmjwPA~Q z-l;6>rW#gFN&T_?c3+KdGXCfk+-kcO>8G2hP{o6qC!mgo4mz3p^1ws~9!S!N1MGA8 zlgz-Fh)m^{v*dg=!z`ZrYF*jS-?6m3!&eJS!BBA$mi?zBM*m{Jk42)IwD|FBe(pwe z(PUkz8?QrtBz(&#IAN@NXC&vF#N8t|YWN)5_1h0l{+Z<-VD;_xeI4pGt*l#BU4=($ zHOQ^O@~vg##<!SdP!+LNt$6Yp(e8`$Hse{id~?~Xve=4NIQc2jZo-+*I1w)2Sk|U2 zwyfn(4kX%*Igc=&g~`7tD_0g<(()u9C)#~>t|6-tyGESb7;<6qwPi8N?-#T#k*5e< z!_IY#oKX3yGB@S-^IE@Kc`HjSI2j!C;QWv7q!v2)<OnW~<)vu%knOJ6Rl%-8zVCNB zEPX0e>#>!Ea+kpAbgBzMzMp*uc`8JUZ3R;9nsYKq?KhV1VLK^yeRI-J%`uk$$aX{i z+3$2T)x}ue){3pvHS44<eJV&R+lsE#HRFVp{@UlHlsfIDm67sR6s#`j%YR^NDcY5? zk0MV6YNe$Fd1<AjxOizLrJV56N=T9O(n_}i>C3mVcMo(;Iqgcl570`s66ndlWv?FS zDrWN!*cG#-kYc?~(9|GLt*{h1BY6&cSNgrbR;<+&rfbqkn37{C|C&86{oYS2)QXMi z8h4saku#KUV851r@2ll&brsV!>NJ>=V<2D0E|PxlqxGlc;~ZRiwwNP;W4onV8st4? zr%505(Q>!?p?K?)Q&Y;@lX=x_FX@9fwa!~LD&87$dJ@;agB~vNA#_@%RZU4NM3xHh z1=ml{D2{D^FzR{A2>&>v@^ibLgj~-mao_2zD5=_O>vuf-%lbS1vAM;sPJ!d;c$Xb? zf61LaYayO(4*JzO=V%h&{{!7q;<VHG%~3x-=Lh;@iJSKQR_QQZ8ssPsgdXu$`(4Ha z<Yg7e6DV8xVW(QH=MkVYxGu_j>pL_lwE`jfpOQ0s*8PrJ@h5)dQp~e=ZD$>^@$1`Y zig~0E-Ckm}V_PP2ZraW`?)#OrhQAe;!^ht?ciu&mmMHC{vrFW65bP4Eope?SbjNnu z5%>jN!^hiPi{QgX9vPksCqp~s4%)}bZOpFX<KnJu=e{iw{y<DQZtr^ZItq7jy^iAX z33K=a^TrJ{(Hyah;5qKaO>gD4l&t>pSiwh`cm707Ixfdu+sbV!;r{Yi#s`_F|3r*C zPR31d=GG_6M7aEsQvdj5UGCtS!GYs_GV1TFtSyqDuwTIccD2?aq*-)*N!2gwCA_z} z#m~;qjvwP(HggvoJK|1kqMw!|{emvyub8jx*nV<sh<o-OU0vew3%Y<mXa01@c8Ka4 z{<bOCf!J4<dz#o<mupYFFp*xtJTsa;Pjv}TXv!U6-d`>c!Iu*cOr-OvmZG9<ZZ9)p zxjYzOL}b_Hb~C+}al!ce#K!T?a_0Hv@*sRpm}O&bC)0W~eU>V^25!u4XOc!c%a}&X zZGBY5r6f@Zf98e1Lv*gowI*H|PoJjBEX{JMQcLArDtyU<ONB1sxKz1tuopg!_~Uu* zJLdN1^eL)Dc)uq;i8%E<*Ob`zJlBMHU>s4*gfGwbP!|_*0iq)EkFoSG)Sa-mFLRrj zqRCqR_y}U-So#EYKFsoEZX>f}3Ae0zDz0`~Yu&094Z+(*kxXsb?yIvr>->Y17%hv{ zJis>Z^H6`q>54~>@8{*`DjI8x9}a;Zf67IvnkE$#78SFoys-6p^h;*lvGT3~G>wY) zAzm0uAEow(CDi9WXWm~b_rZT+W-WR6;I9!8V~7!IOW5>_+!|)UlE+QFE3tDFF-)xq zyY?dYNnzb&(s#{5zfyr_fnS0^^Pb<cD73xd;}G;vVfiHVyXGUq<IT1L2a5lRD0E+8 z(j-)<Sz@^M+IG&t$lp?+S!mez+V-1+u76NuuC3wI*S23Ba1%-Enz@GQA?VIR>;DcQ znTGU`T(V){>-4fh{U(GcOnUS=?DsF_Yo_}>i$K3G#5Q%Z3->qKvI}LJI$4GACR<h^ zZW79Mknvxi(@Zs73r4>yl%Fi`b%6W7ozsl=E1lDf^g9uOHZhca-N`H*X|&}z0RHRY z=r@JJiKG?HXv4l&wv%=#04vFg!T>uuI;{Eyg`-~;4o)O3YX%!;kEPP_QV!F8;PBj+ zg$)y;=2kw27ha{0I`sP`gylXjygyOCsQIZdYr<nu^O_;zzXMc@-*jkhO`)h=>4K)K zVP_L!*rCSnT4?T*g1VlhP|d>YrQVta*Au)o?_GaJK-=p*)<+K&l=nbGH6Q66XW9x* zQ#?-)(0v6-J<t%%61}w|+qu(5o|fL4g?fEOw%<<cdIlNi+Uh+mvi*7**PRrknX8ws zkM1n6?tunrX6n)PbIE#vMd@V)`VSDI(Bsi}dcS9>muC9)XGZAv1=t6j?1KFdY}o}e z4?0-|@CUZ60$dN2ds@bG-BUAFZ%q&Vu0X!0y!SNR^R1_5^z~9t&B*H~jL;@}vPGTD zf{_AS-f6&d-4OkzK-it+uNke^S7<wVdi8pcA^KIpV0V(AX0Tp%q3!r--t~0@^vi;} z?j&E$n|jWLwxg%H*Mkhu&kORpX9?(M1$T_EsvG3i<_>m4eKfD=tv#^)bh_dCvy<rR z0*`L!P0e$9PYH-GwmZ~y!`#Cr_yaMv3-;$7p9yePc<<QGhxuOB9ybrV9T7yOKg^F{ z;?{9F_wk)3>ECP(?s@E_@$GeEp=Z`KZmZh1ZOf8X1Cv5>>~tFIavGcRN2+K24#pf2 zTufKx*?}E{LUR^u>#1);<!W=2GMGt=I5u9^w3Ba3aX5GFzURT@BR`(YwdDiq7t_b> z&tADEIGBIAbSG!<9;;<mc<`alp;&~#-sXz>P7e1Ttz~xOV6l!M1~F%^)$Flx@PSTe z4C0%;_LUQYgLicv$E26vb87KeKbU=4{YOs6JyOf;+QF>L>->WZoj_rZjm}kJ&WC$P zqYyLp^3AwD`+ZkRx8<yLjD>PmI){XEmO2N7auzxWVNTmUAPO;UzxR4}^<doPYuh<* z?+GIjQ})}hJ$mhhuW`Nh;#U&p4kqX{ZpaaJ5HSdz{odv2t(=y7tIZxO2cvX4qY;z# z%a^Zh<uu*nHhU}|4AM!DMvU7}UY_2}slQj-?6Gw4hK_ACV${Cp^0m#JXZPH$SZ?M# zy_eRETRiBl^CK$#lYP_Wx8HNB?|C)j77m`*X^cuAvVU^6ze#R5-^W$-w|KZB9_G8b zcFx-!tuF7kJ993lNp3K|sHQFCV8s!&OPzc>>vM8Va@_ownzmr<Ejz-HxEuvBM}mGH z&vSC^{AQ<rE~ioMW4>FBN59?Cb1sc?wnx}*owIi8)z(1=vyae~Jkr+M4<5`sLf6k~ z$~SSDZjd9}DOM+CqaXeKA^)h$Tca!rHat(uF5BziZ9DmVEEt?_*~!k|@8Uv1s5PVQ z#H+2jcHnAU;6d8igoYgRBgSs&CHebn$^#C@p0#X{GduG6xt!^d&gVI;`MeA1JiFzp zwjMk1IdDVHoBZwSa(~e}`g}2c(r*21!podjqNP>tcQE8gfoQ4NO`iq7%z2sLP+jhO z(C5g7i|M0w{bv*EbDrnluP*mF_$fcD+QaAIwIhg&h!MM%v(qnfYVrgAThy*cI$aUN zb~R_Oy~ufzTUVU)9alHpb}2?E?b>a!?0d;H@NxuM+hV(53=Bm8kwTY)Xb@kEqd zUv5${RER6VubJ4+*%~=o3UGz^J`>w-wz`f%kvX>brzW;vZE?(`bzCk!Jw&cE*SZ+G zj?2W;LvqOYK$G;cTzvvU6pB6iZ1+2s@^R_ZXAyGmbFqX@cJ6+HEjw3+(8<b$6Kq+z zxMC>RR>pCC4ws5w6HW8Tl`k&uwS_yrox?>_OXqNr)DsbMCU{wsPG;_iu`SOQa9j_U zdy^|<CavJ2@qI+wN!wLwP`KQy+(Bm2e{{|!+K$`usOw>JFLUddNlUn!cxO>%*Op5S z3X^-Do5!4ul6#hWhiSctyNU-9J3raJruK)*)#Umxtru_?@U4W-A=@gd%gLPK>{^D$ z07X9oH$c(NnC+*WC13N#<=d1Jat5<mjM)&}Lybeq2m!^0tWL<`X44q6!MI`#ff8bl zqLtwhjC-Kbsf74O(I%hp#@*F;tdw4!?Zog1!e!g28|QRnlNhssxGbA>FC0T7P(Q~; z<EnnnhwP(@h#87}2Ck2?k6dabXRTqZFK4B3NMFuU<AA=Lg$6=Dr!5;$L`+lm?#u?@ z;%u%N<-E-n9zaY{w(ofKQiOMKy%ceBf+sFPqft+esDV&I@F;sW(}p=M*{c~I{<tWO zP7Gp_vTSqBFsCV-o8jSy3(`o(AjT<^Hq!<<_1U!<9=^C68nzh3D5b~dnnBL9Y&Wu{ zLC(|cv<#dN&Rye&V)`dalg-<cIn~)-8MvFc^BRqc=|hw!X8k*|!<jxiX%90!cHj>) z-F7<W$wy<$`^jg_b9Q70GmGNdgc=p9YQH-8WNUM|9a(N>OkCTB22*uv7haL+6KB1l zQJ~to+wqmG8QZq5k*}I9l<mqq9f#{D<ILrL$aZ9!#6kPXDzR;A8Vs|3zQ!H1{_X5j zs#kZ@N;7dg9n)la^8~&|s@XNZMzWbDUn9{>ov%SR%h{GCsaouIlw>N!we^yv%w4v# z%~aKP;U$@iKhlabXMc3?$g45ds~X{E*XA_ns-WGDFXUab{w>*-%*9yi6^%&M)}I{{ z<V7==E!n2bkFnOv8iA_xpB-c5akKtS+4{_?SnDMXZ&iz*9iPb`&0IFK7swrECpKlD zW+uf#7d5V^uI*4hksHjOeV47y^oWHnXq;1hx<eVVaW#C~l<k1&tIIx(X|2n)$6T05 ztH?Mrnl^9aVwljBJ&<wVuRKJf9CKhIjc;RV2yV*m&4}<T57sEcu<NqBGrat8!5a55 zjpH5V8Rz}VgEVpsEE}^sGpt9`W^L3(?z8On4AN*vS%#5cTc3@hZ;~h&KJ(JJgK@6Q zw#Hl-Pn))p@tx(`Ncom?ZQ#BhTpOq_j%y=l2=>xQ!~A%j{VrqsbJ~=Rgkis@MiOS~ zdA2F0?|HTf=D;|-I0NoC+heongA)z7!u%LZ`(m?W@b+bPa|YiR=dTfgX&g(Nu$eco ze3{*t(cz2p6M5hw$I`}ZMh)K9XTQj(^u_sVcwvmk(mvaC83awhKihmTQ2%cg(tKxc zYFx$$M&X}q>J3U?WQ(Sc_|D$YIE#7QL|Hg>Ugw!W{egClK>faUkU;$}g{L9eb_&kT zl=)LmIzdsg1Gn=oCkfTd6l9wz{8J>I^+?&?+aZ^eHq`U9pGC@c-}bl+-B7=$P!J;f z&+Rjpt@}@D>70nnrYK~;rp%tg>a0h|QWPRXWZQ2WHBriL>o!qlPVKvtw5Bc^gUeUH zt>F9`UV2-pDUE$wz6s90E!C99x(#ijOrHWSLD$sdwSyvL%@vNnrc9mMaZ6fNkI{ZM zryix9GpC-Y&>Ae;dV9Pvjd>e-8M>+-udw!t^5xXF_Oo!==G(KEpeyR(3Qu2ACQk9S zPlU@h-fp`DT~_y32z*5uJ2j&HEKK&r?ea^|C3R1Q<F6>6Pt_=>z3Lb_)ut^MCR=+u z=2F{&`Xz;_rjFrLb=o<hvQ@X;F15|8|Bm)%!WXO!9IOYdPdGpathF6HLe=kTx_GNU zLEAFn^VU@SH9~fOdKT3qM7<P^V8Z#<X8392?4I-hYEr+o0lw5Y`(wI0bv8&nSM!>2 zc2_#(0sO1ACSJ~4JqMj$1fR85!GpckGc~7;WXWi6eOVi{cTq=ax-Qka&sxsGBT)So z+Co3u3VmEZ+Y+s&pKXDb)z2oOZHwTnbS!nY*BXhR_Eb+nA1b1hq{~o~daXB4TlZS8 zpY{k)kI+;%$|j<p>Sc4%0qU&3dK_9%2>)Wesaa~6{W_iJFzcrthJIWKpRoR>scx9v zkj`<K^;P#ndl$mTtcNvA4YKRfSq`&4>etbS3Ohp8J<taV(?+b{YJv^2|4xr^D8H%h zhW`8@ZP@ymX2QwrztYb;l+UYGqprqwJWTb9qC8A>kLsvMtukxtw>oTU{ax)b$|ANy zV0Bv6Wk;qz^^TdfP>qcO#dgeDnW*;vkm*Tvit6}grLUUvL*`?uo0&(y)lpTKA2PNm zc1*{tmAa|*x>`1h9@8;ng*Bb+vr<x>=Bs6>ycGr11)<D`RIMmVY3flk>ov7Bl_0)a zii!(gElK4BUoAmJj<1%E0tsc>Qg<UermS{N+E&$)QGyMbx2da<9mT2qNJ??4lo_<w z3aT13rxvCnw=Kg--8F4nQHw=QMR!bE2~~2oWL~F^o3<^hg`(J^#za=LDso#g4XLk9 z+m_UPQCFioMy&=_ayDh^Qj1L67S;YlHJT+Ys{MhIji!uPaa7j7%lw@hVw$v|b`jMV zMH#lLRSEho^H=JbW96Z0Rg$0UWE><r>ts$#Hr8bgC7*YtR3ukw<3iOcC5<no2`udt zESs|WlGC(tA!;niA8u)LmIQ^jjWTwUpWV{FS)NcxXw3R2`Lt`=SIff+>fUO3k_B#* z@??rOE=Vm)GSUrRo^0ZpHe;!Dth~>1|FQBwwcGpNHprZkw5`i}pRA<~?XyH4YvWo< z982O_iXXG)T7r+^0@Y~y5*o71C5_$EN|N_$mj|fD?z3!=F_ZlKT*g$g^LbWlGVekf z&vN-_TaP7J0o;)FCVBf<xxZSB<mZcNla}lI5?*G#N**~@?xz+aS#U9J+;Vy!_+{41 z<c4GAzG^;_7cQoaTK4ZtsLy(yeE(RvkJ_i?tYaQNYS$zY7vUq8E&HZlWYr`G9P_xT z<|^6g3Lmzt*>~+l)|13Km89?3LS(4`TYyXuVDBNHMakI19*4*bC6=o|zhfW4j+;^h z78KNpD4D**Bo(L-TLN1%q0Ctrp)3X1LRg;(<(q{rDkw6`7WUMH^3?*ToV1S3g{6nc zbS7G>K-aOEFnUN985U@gR+gwwfQy2PN1w%hR4E^uj(ip&^F9$v=wK)ACs5dlGK3CR zBAh^BCE`?|Tnic0`W!YDwiYb&E>T{kyw?JbdOL@WMwZTDBatT}WK3YPCLPSg5n~F^ z0zj>Y%e+YxDkrUAqhWnS%B00AGALZ;RpOv>(lRy}mQAFLTkw$UVKOfh>y(q0us31O zM9QcI7a0^L^E@$6c{WPsS>hdK>qYEU7>L;M$>KG#KUAhB(MQ>O0eeBzOs`|eq6+D9 zGHaM#i}V;E=}Y4VNV?Lq{iL(7Yu?y=@lrz8Af1Jr4Z%Ky98!i0NH#EaLKc@!L(T?c zi$xuh;BzD`X^&v+14yS5{2NIdcETHb7xGvst(@+J^a#Rci>n)Fb<j!3*+6WT__`OC z0SVO4vVmOH&-y?=stBJU$xGw<Nc&)=Ml#kAV|^Jb$RT|hOUMCz84CzPKdX%nD8i>n zds4Fj*f{ZPMp<v^!UOOr(zcXGFG(nc>m`Z95<Ia9kVZWjA_SoX=aKfrrwy}O=&RBm z{@5r;Ck8%AS{A=%nAJq*iaZ$DAV@j}K2DkxpEk&<r`Jk*_+oEBY%%arQjhpGgREzC zH<+bC)>C?#G|mU>4*8*&_KDOa{`O>6HQh@ZcN2Ra(x{j=M0&E<w}Tpv_t{B(81JzI zdl>Jw(>`y0^jBHG`I&#ScTj`zMSJgrsullYf3@??t^XAbAbIDSJ+!f`R`kw#Rk*zi zEWwd`z_0c>bCZAjexQ2dop##4nd|?X{R8ze-fi!Xe)FUMI{!e~{>$2JpEXziWwoxF z{V#2|ea0O7Yo^a!>E9{7YQ|oxDExa~i24w(wL>nAKe}hNrkb`F$X89-bLOii?H%W< zChW=bRnz|kgs8Uo-5>2!=DWM^R#lV#<!_+g#;^WpFOKK`AQ#6=?Lm6Yq5lTXsfO*z zZlgHyyT9J8sK)-w``JEeF5JuBLcNY3|MhNJHS}NB&-QWi**)1UR73phU+<PwegAd; z**<DMxR<?&s*5lB^=?u1&wm^C5*Jnf_*dp9dBmKvxAq<NcYMgN#0Axh|8jT8!{)Vn zf!|So#h+O!3stT9IZ}sm_}Nj1I{mYuE^{dM{3y91wsKh`RJHP_(L}1i%x=q~DYGv& zZCN8kmGyIbJax{Du+`d#vimtQp8Cz~gvc|Q`A_WW(bTVIhqu(cRr79K)+-!a{AT#h zxF@JskEyWGtsY#^9dlj(k#TD-U~4kFdE6NFI#Ra>;-_+RqxN|w<!9D7*@G2+y62BT z)vOJ#@%9-Lr6owenaa|;Kvl+OpO@;L&AtZ4$JnEzuo*M?YK;)((!`aH4Wwz(BxsYN ztC~JZf3(GD4lXLT`mU7UbJbh*_5$Tn>XVAls+z=5)mtl-QSC#-TJ`Ebf|T<Xvg(la zk=xxf{wlN$_&BUI^7YaT*JOJ0s+Vf=riGVE&d>e&nVpeNJ%9M9d<v(vXb6?=4QIbV zR>i_yQ-{pJKPYbPC80{o5U!cb)|#iX*FwZt`xF6OUB)FKm)`Z7No_edWSafNj>Ae~ z7eA*K$IgCk=b5c8S_P<vZ(j8jiEd!L{fpV|X5ULxOYGv3mA`7_PtI8TgxTVz^Gj4y z?8hZ5Kh;2y1GRn3Y<#n?9#tP(wPfY1>iv^6*8bV-<EC?c=7L$r=J9&e)7YdXh>z-( zpR1$fPi769PhX&_V?CB2H&xI5tQ{o}nYymDMrAr|aYHgsZ*f90?YGW1r&dItX-b_p zby=ZDWe!B&pDYuqlyB{CPUV|gu7IL4d!r*J%QjSswpbyV-O*l?8XGG2w;Eozmq(wU zEL&H}S+<DG?2NW<N}V-TUjapCwnvki+RLJiCg1g$Do!Md!pc*=%AGBzkWA~X^RH8< zO=Tu#xTaDQWn5GE#2;K!=!6E>RBi>tS4rF24$gcRz1^5PWh$}KH>Z-c#S6|f-Qosk znr!WV4J(d@PtNq1E{=;@=+d^fU!{IA-C1r8&uot7PiU;DL~J#@N}VvBU$zL(Y>e)h z&{!7P5h7ltj+u@ww}xfDh_0N_SW@xYGJ2Kz*|cjpuo?E*^g~qIgvO$Z`_^_->Zg+p zn?imQ>|AEGx6Gu~U*xl>s%W=~ck?R0o4C%kcKtD6qTj7CV4^!z`M|>VoqXtrgDUsF zJtd&*H!Af}Ly_e@kWiIJ8@f!gK*X`*T~;9~Y!gysOwYSu^;0QQht`E>fZAY{A`>l# zcZ~My5-JZiI%eseGji|MGHo|%XPrB(L=U_2HtrXZ%Ofd08bK;q8xcjY@<@{hsiIBL zUDju^zq>3@<@UE$Bh;x4ihkz%NUa`7p9!-29oIynJCSQ5-fhJ-0e5Qzs?fgCjWW$Q zjEYiABKP-{1*pV+6Oq+yV?+;Sy3wJR*&4|!5cRNK{`juP1Uv^a%6uc*1!ev!F&iU= zsgow_-{^*!uOdgf%luSAHtrXuj+;z>0~uz%jBMyG^HuTLIA54LYSRCWZjkvr@_u)j zXmdnnb&K}MwGDV7Y{aDH+tkU-n#h3eKW?hHZgf0=4V%<_yLvM7NqAjL;&<i3Nwz?_ zV3ICSzBl=F2Wh`jDMSv1m$yK^D?eJ%jU@|+lrP73M9plIS|CE@l9kmMvS`Hc7Yl)M z;R-i~{EevlCGZEscBM9k{FR7nPFz>cT}cxnJHxG8AnVGRD>Na4yb=(TS{AM!1rvpq zKl+IKzp(kr>61^lk?+H?QSI#T{ZV9gxJ*<#D;yq0W`*NgAY7u%m$f<N)Rol@<hyYB zma<+V{7dVca`Ys7PC0V&_%_mHMJA@589ow8<`ID}Yg@=S;lk#`73Js^ZZvt4xH=iQ zg?tr0*qpem9K4bhO&%xmCf7ERFT?Aa6PJ{4t~f=LM~U3Yz)j@y@Vw@k9ptm{JI$hg zy;oO&==M*<*OPtUku~8y%~lJ_7gjj`C90}P=NF9O(At_m1_=7q8UqB~>Y0AR*-ux! zmGg($O^m@%R?SR^@<UOH6eb|pd{S#-a6@S|Gr`Km3w$@&96_u4k6`5o3mtB-Zv^d6 z$Gw&BE>yaumWMjkh!SA-uv#OdBa~D#6R4auyym6MSO}<N*etl$F+PMIb%o6kL>ab^ zu<sMQ0cpKpREM-$(5gdPF6^&Eie9|cG1@{!o{4F~Ue!#1a@_FM2FBY^;RV<fVY}*& zUV^Ynqn9B5iSDVKu+Z=vNnC)t!FYtd;i;F5meAGeKm3)W7CJ7%CJD>KS6?!kLb=s{ z_$dc1q+NuK6DEhJ>KXN+wbg(4D&JV3T!f7hdWNsoGoFRIeX^)$JPl2&*6>kwU)Xj{ z{X}RQZhgV14)v<mxT$=8p+S_G2~T?ZqL9PEK7`bV!5#$I!(cZ;`@Hc{W?8@SncnOu z<e-QT?}SPfb6C@MzOi+$Y!s3k98>&mLy0-ZGl5kE`xIMkC>6|cOxnL1Yck)hE9K8+ zg&@0vPZw+S8{>LqBat1!CdH6`V-@DRH6=z*A7ANCPhSM%)SSCXYH2Wz&^~P}-%IB! zrS@FqD<$_>@RbsK)c8vDp6m!DX^v#lUJ|TS{I1tns@FM!VK#>~ft3U=8mATq&l<P$ zj8}`SR+YkguFfgZ=Kz!TFUGq)ec{NK;6<j@ic;hphuA)0yx8L$j%*74$h2Bk3Y?=6 z+sBN@d-}qV^}$t4t0g7xITEq`v+>6s=P<^CaYxVbFyzzVBqn50>B`(Hf&9t1q33BR zvO3s<30Y7&H&;s_4;i_(w-Oi*GhBVf=^2hb!+z$xa%x538O79jBbRnMfiVzxpHUW~ zR6es`IhAi@*$yHwdIKXEWx+~CGc0{Zcc2$TBUtJFOoLK;dEj|QS&&lB2Mc3HXP~uW z>a3A^JII*P9!OGbFAFqcyz4Vk%t#c4_NQJ-cV?XQ8P+rBMYVM!nT#2(kyJ(**9e~R z2iFLip}{qhYX^BLrOj;XG2R7kA4r`tl4$SqR7#rR=`l=axOxnenf;=tet~etOpnpx z9gP4b+RQd4^^4KYhgL&Ib09xM!(S<4rU8>WVKo22!jRDz*pZ>(rxY*~fk_=R8Wqi+ zWV{Hh%+T;v@|rQiq<%K)`VgoL`)u^#gPH-OHjtJvb5rT^3||rU$*BGV`y`_(&^=@3 zhSJ%Y${m{p!}D*R3NQ~^vIUs?Er9~eUtem48FpWse%Z_$I=u<pksk2Ri%S$@%D%|_ zvf&$&-mLwQ?)49eOWeTZwLJYH-R<uY2id^f`*L3>{g3~dIIDg`tvAPiWKh0j?b^&5 zV&AN7OH;l?2&LQojdpCx{B?J1W(@bmCaz&_wPf=#x4$^;ikJE;?WC~%<#)u{{!%+B zEPv>Z=$aIWg{)!XTLQPG&A;gG+DsYl#3Zg_Vp^WgVWL{H=P-$1I2+Qf{^LJVnEuc> z$SNlO{}FYb@oe{B-0yVN>c2Ljy;@pTdqjewMQN32UG|Ei#EubELR+<GtBMjkMk`3w z2qICtsu7VAGggt<Bj(lizH>df?<b$v>paQB^Zn(V^Lc+}xBoSn1s{>>5BZ7kpV4Qx zBqL@&{cAD<W|Gtn`CH*V(PuX$;j=;inoNPmN%aT(P4M#Qvm27IS=E0{Cct&GSO4uB z2ltQ!4){O8qoTXlBwc3bPWr~cjik(d{u;P*GzVE~Gvz(QwFUwO7|}rL0cU9-<p7T` z$(&|OAIa*e2Qyr&APc-(Tlz@An?MhQWZBe(|Bj;d@$;?eLjgg7u_GW5zO*&{d%%;x z#SqD?X1CV#ZvhV`xt2h(cmW^Dw^K>eTt7iq@TYwwUpLRU@ZX>EZsa$a@}BN13s4O- zqJjhiJc1=trtUVT8%?P;rW;OOZA>?qy409{ck013S4qItz(oq^B7PnwnJ^_cZBiU? zDKM4-I`B85fOh>of+U|cUu#LfJ@u&}{R`(vdl4@Ae2O{6H48duF2$#}2h0U521<rc zeVF2!0WCLQ!>6|dd<j?#kPMvip5mGUjWw4xr#A+a1T6YXdQHhq^@T}3of4l)80X9o zPdBII0-gn6{3M;H#wQcTK%bjqn$ocW_5qkxKrQ_Vv9Hq4yUnE1?@3!<g<lP{dqh*- z#b_V!k$#uh$I>*%St9vI{L-LCY(Odf6tQnbQx`{#<R9{T+}5|O3B+Ya@_+YphI))> zD&j07`Az91?R`HrrCp460h#od?R|@yS6vpVno_uVCLq18lOuF{1b?5OT$@RmpCZ&~ z2ar@3%mgIVSuz1}b!tq&t2zNDXM%nT!QbQetF>=l^Otk?HXxqP4CPSGw_E#){FtpK zMH~v^S&HU4T<{7YyiVX5{};bsF5O#zX!;zXkD<w~%Z%V}_nUU<-UNivO9*|_nm_9V zBKTYU+FiOg00HzTguY45A9b1G{EdDEF5T+@PkIY9b{*hBzeF$@*Zfkq6ULA8L%77Q z0i5W=Z6;%ypX!3c__2Q05KI`LhBgl5htR$P`ORr9!1Pfc`+SoMpAQx?VSo=bt-=Hr z@L`pKPCC^m$wCGJD4`t{B&+~+syem#AJWDP5|)ALRWaJ>gFfc@2}?lvs%t)gceMAM z;BTJ?7BV4#H?(I3T;)Ex`3Z|aDF}uN6o+7f0jZUpTKvZUEnIqi<Sfooffpg&!$6+@ zsQFwFqha6~h)gixWo3+3`W>29K|-;QxCJH%5M61Y#jj5r*WlNqebq?s^qI>`m;-K_ zcMk#2RGrpJ@9;T-VBml#+BiCa0o<*O0jCpu#vzzM0D|@&oiGhts5}i$$NRKEFaZF6 znmsyU5;#&B14?i3c@M$(1L!_)ARhhzciIIs*Eo<=Ij@;s=Mx0+@B=u~zUFg{0qZK= zG}EhLjry_s66m5*mPB4r3`-)nsQ!e{jPilaHwr7)Kf5ncMNy45VQD-lRy*ON!s7JL zvL%Ws+fgPf8d}8$ED1DaILc&ML$x^gIL(yuDavF?<GNn#u0$64gY?tC1f;=HKR>wN zGyUJkDsCSQX+0xBQxO?c1mCl+Phgo{i8qvIQC#IPU1Y+dhLj$Lsv)k2*^x*s>OA5z z{%<AJ3zO47OVzlj*FCJkqZd1@!KG(3tZ_z9W=G;>QOr@=9g0>|LNQESAG0kHU1V^? zr%xH*<I|&j-An6)%|#~6X>96t4{4k!K7Ewd0Xx#eY)M2>#!(3jjoqS{!!!bHTo1D; zfuOucB}{896rDay!^2wiFdGv76nj*{q{c{5%t2ZM?7bdlU4jmKqvx?M;ZC`L;u_Z= z70vIb)xm=FJk}%}DPNIXV;XfuZu@D~o{h?}VG`(^QXh%DoERU8+?@J0KC{6OjeMh? z<;rKnB&r5gT})W&4|3Jo_^6(7%4ZQ0#e>_<CM)V%xduKG=)qxUlVx?)+~C$U)4@;9 zCQItqm109AvIdhH`35}iDW46Ics=;CG41|fkaGgY6X?jr5oHgmx_EA>w?uMIeG6Z& z=T*nPQcrP5lTy!1j(sJb7aUDWJg+OC9ag`Ty91L*9Ncc;>-H2@#!%ER<aWX&P&uVA ziO3wa7Czm<OU`|Tp5qQCbLyvZckp~2o@}L9xCCl&*vW*UzMT_{=OcLjP>Kzd2pxRm zWHPNjm$TE%hxcq$iVcwP8+`0!GO0eC6U>Rk@_eVX*v9wSGfm0JU&3whlv5vFy*-E8 z#8>C(uVmyW;V}5cv2RqpCdV=?ZOr4-6%U#k@Ujd|P4)8Ph??zdH<oyIMkzAwheyek zMYeclznmTyOU>l<HDubb$IB~=d*Vg?OkJ)OHMz?kd*b>1Uv;^b)s$bWvBY!wKj<cu zdpy44u`8aLaV;|KtH<3di#y_PGIp5a8T~<sG?V@(h_pTrMIEk1HQ~!LR5j7prO){8 z^=l#cjQZsee1`qv2tI@U3y8EHk5f8a3u=F)7PrNpXSh8}>+)c0bIq$ANqJDz*iteS zHLlk&E8?&ETSED6_g~QEnp69eF&~je^4PxYu_cb||9YE?p|+Xf7LnHGF?`u$Q#_<U z={DE28Y5#qJgwQ|(`Anhao_$2x49<OhBDm3(>{ARzcvU@tM^E{EVC~Dr2j}Kfv(n; z(HWLj%YhEdtclzAx9B8{s#PaYb@;~I`~eA-ZchPRm2S>}zExF4e$0rfbz-It-w(Hf zi`@wEitekI`<SZt5(RYlhTWnrc87`=cF#$1Rk-<IGzt~Z>;59ux1=h|-yI^J-Tem0 z_sz}xqRfcu^+W+}zOQb&7tfBUUg7T!7SBkadW)weP_@#GyPrrUl(}68^ew0gC&qY- zCnmUgi^nGzc#Fp-T=N!>NyyaVyW4$Ns;}5h>S8xVl`qj!D^0)qsuWkT+qy(Tk=sv+ zzB$!xKBFM<hy*v7cue;xsXoppO9B<lM{--|H-d{tc7KuVn^9d)umtn9xqat13KS3S zeks{Er8=EJ1@Sez)$khyi2HQkmF$~P{hnY6N?TL?nxF>at9OgzKkF~<%6XSe=&CIV z^_qOOZcq8o`ia|he*&0{syM#rJV}Lg46{?sJHD_}%{uIV$5*&opTw`K{PQB_B$ej+ z{!bZOth__~cRW+Y@WttqREq1fKV^Gj1sx^qRI;o0AL%`@_Z=<2ddpqy|CH^Dy?t(Q zoI2oo?<D@Giu8-q$Ep3UcTajTu3CS(s49TpuQ>9eo+*~r@tB=@ufzUV{DR7*-wVSk ze80<vRWAH?A67Z{TY6YU@WpASSW?H)UTU}N(Q*8|3eOAbidbC7++M0)$M9aNZio1< z^F^)~{wxfstpAeU7JJ!o^l$vE%E|N2!&IUx^SAVt*t3q7f8%FVR-YRjrnb6%{VlyI z7S!?V-}ot&$>*I1sZFjQeoJqNd3R|28$Y4)?RoI;^Ajq4&#xV%esX>Jdtprs+QB?I zPgiMvUb>%J<ND<H!m5~U$A?MdHD&vVdLOa;@Jt`E_u;`lVpzhb#?*&|$1}#O%8w(0 z+jwa%?>1i{#4v<Q|Ly+nM(nimQd|(5uR_J%h1a+8l3ku|o(UDpCA@Fs9dxnYG#pWu zi%@G#eL#3KZTypC=GtlDeL#5D$lLFtHEE1-QJpkiR2JQM6)ct#p6M-?N_aedzRX2x zGQQMBc=CLy3*TgXiOac3;|1kY8)t&WUW5m?@ZKS)P8-iFpRB(M5{nA2hlwG>Ght$} zgf9)eoi5W8@r9gS<e4C`7liF8<5}gS@OnHi(dFmH8Ms&k;nS4yj50G^4bR)^((|9C z3{D7|GM-W%53g_LZE`8!I1?ZSBdAUpPbk+Bu1@uiEBAy8H1mFPiQ4G$6LTTVP4<o{ zH-=|6@z%IFZ*=*J+2Fm2=hu`#Gln!J^_ep?CFL3SebJmiOO|Lg{sHm)s*(lV4VgOP z^oHTi7A?bHAf9I`>BHwEQ-_>_7_UZ@K=9JY)bCDD7z?|iS%Gemso$I)w4Gm4l7$Pf zMBn0*+Ry(~x&lAV5`7&w|BUxO-W$Pdg7<FkEpt+37*dr4XWVy0Q}A~YsYZBJM5-bF zDk9YYe+iL#7yqFBe2LRl#sWp@B7A;DGyyNyZd~kiiSde}bTDm5QQDn$-xhrqc<ov0 zZTzRu)Gr*{%EFfDb3Bu9epcxqurwmI-Dz%SVN*05|ABCRMrk?lT10A#)0dfr4becn zH{tx0(pX?=cxt0l$;`sKs25(2(7P}C6fgeY@~JEEba*Px>Df%#ny52=ye)oA>2qLA zSSpr7cP{f4t!;Qx*jwq~oo`&}@Fc&t!l7oUYeZ3=Y#1i`vEgoEFH6xJW~swF;*d6E zh!8DpI91rYqNod_YV!^`JkIZ3Rs_N_wRyigI1jmxC@R7%wRue&N(y>^DoT?LLqszh zUKaE&DqbZoP!*+M^WLKAUY#6ium|$?ImqQ3mpLd783v0cc?ElmCU{wTi^h4Wd5gaC z67UvHZa4+x?Q!^(*E_HH>w8y_XnX@xgSX3JJFmCMfthDq<iIy{hN5^577P;&_Y%<J z{o?S8+yxhnZkR*&G8EZfnPA>_hiP(GplDb_3A%S$@u!ynn775Do!k{58qn|r-8-rH z!z&ZS+vre0?(!G)Y-kyJ<uB^da0zWZuK2}kN0S%lfFQr}6Lo4B&Nm)Y{Nxp^$%}Qc zCYJ4s)ZoV1JP_PhHjg>3g`F~LXOA?lu=_xg-WU0R(~6E~DLiyFI7y+}C6T1rA|<$^ zsQ48H9oNodo`<;csQ6_Cb=R2VltDXlWc-qXyz4cV$UEHoDC2Uw2PElTkvF(!QRmC; zbdm9k3R1)}s)9JNY)2#&+IhrdjC;UN>9vz1ouMjRBz6re@DN`OD{v7FhZW8crFTSL zLSv3n?%=ed;*0IXNoCt2(NKdU9(~;S9*-XG>t0Hy-CSh+oWf>%*O0;)*V9KS9d<{= zvMrG)+&C(pp|A^$IZPqgjT6f@MG(06sQ77x1?cI+6ueyvv1~)cA7_t>pHvuu#vG(H z*u5u~t&7m@-Voi_Mci>0Q0K=LNYMHHlsda0qWhYNBkn8m{Fp)=)NMbd+NQDYRhS6c zw$w)?&o;(KB-ggSjmHf8p^<0Qro8S<m`D{?)y0@4|G-YIjfZLzS9b;>QjFbpHeQj} zvNP}zL1TxVjhE$B?SflVOtGJwjhE!F*S-o7$-*Wz@(kGAt2+}S@*4ZHG37os$T=Qk z19Uvkkvey(ytrMdw@9*WeG5;o%~i+VQX6qc<5HVTj=d!|7aWaCY_8Xx8J53fw*wPN z#BMk6blV8ml~Lp`*mc50P`0Hokw{y$79L&fCFkBkn{fx@Ir&p|J9wTB8+Pq0xCjb6 z>}1T4-?k0L^AK!))V>N73B|r~GM<*7v)yUt!P_*}z6ucW!#;L0o|GT94Q}RXuz6Rz z(8lxGCau=cU&IZ2%Bhzw-)>87;;FOouQl`&aln3Y>>ZV_v9%0K8MFFS<W9Q<ER?3* zQY~B<xn=vvjU}9IQHo6YVO3JJz!t8olG8iSx@Gd{8Zu?r>SfWwo^VkWQ}_JJExAJX zJ>mSSue#@#Zz(@gV+rR}eb9|Bw|ZRUzAKz*aV;|CtJU42g&pBH7CTJgjH)0+ib>TI zL`t8PqR#onTf&9X)LWvDN}uuEtI|U77*)w3cnqt=5j+M}7Z52uR;P5%FWmZ5u&^!s z+`{cyN|zN|`~3W^qXKuzEp~x4<rdeYm=)nyRV|@Bx2rDbo}at*$6`Jrg=Do|=)NV4 ztonNUJmc1;g<C{Qo7Hfk`=)S6RnqPA)3+EF^WiDYR-X#pH-vqw9^5`Zd27hREj;D3 zmGdKm@RWM1q(bR+;U`r`I`Q;dZ5EwjDYYD&xb&K^eN~H2{OGM}6RHl+n591;zS8n3 z;C!W}GxCd2f}ZdTPRQG-eBXkFb9{0CYGh{HN(;qbzAYRX{m?nZs1&cmV}|+gwCvLP zB5sfn-8|p^zS=>uNgBY?I=zc0mG)28+naKpOER*;4mS^k#U$j<B`|xg31MWFZ)P%O zIui}Ugg;{LUhZYdncuh6;cz9=G7J&Ir5svB?~0tRB_iWhsPH>;RbODt*K?S^$c7Kh zTLKpNcd7U0k{(!xzWyNPrY$WPQl0fJz<2m<b!`vp#^b8HX8s`-$G6H))T#a3+y7g* z(D(8CEpwq)v2QMX<jNa1>g_*QJezd?wortOYL%fz%zaNUL-M)F$t3bevOwCLiT6Ww zOOShGT;;8Seu1JJwq{QNou*-$pwaS*>!TP*kY&I>G0*dl)X@(MA3o+L58N_Kin?*v z%=&pkhBxrlTW)nBx?tABCl(U_C<(BrGSa=HpAAEA^vN3k@{Esf0UG)V6w6fWsu+Dp z_yBy^cHv?4HBPqWQ{^+}am=Sl=CAXlK}IF3O`lUv5&4#Ue}1KSRE$2lRO51uCo`zL z=3LCjJ*vmmJ|khY9MJTt4j@iCRQSD|@_jY#_$4`cgBlx0<F|J&#g|(?&TtR$yX2g! zs+a9Uv#NP?gG(M*kw~$M3omMmUAgtSYWz%b8Om2>YyDPZ)yP<pd;@BA^Oi2Dim_1i z7PY$i*O4_{Z1wMd{!fXcWAfVnN?u&J{QK<3+~vPP+W%)x;y7{WPE_43-=9aE__3Gg zCOi{l2RPAWsv5lfGjl&xecbmShxs(cUN?VG{0b!}oo*N+oQZiU)w?KnHGP39Cv|_` zTR7dMlOq^=AWxsA+~iq_lzSL^srUuCOEcHPgcBc&x5Y|J4?Pr)H!<)Qjy1V<fji|c z=E1$w-oi<kBaM`9%Oi>Sc{!f@)W6Qp%N>=BbN+T?zG|d&TFx0<(@MFG{tAdMv^=NZ zlyW9W_yuNL()e#4lI423;s1;oa@(L_<KV-jN5bK9t0o5ElvaTnxt~`$lcg`7mrB7~ zwxpK@2>WB~Q7M<=C#6$>!rmAyBR5dWXG`b%2B4IB%cOK^f8i&XBhE;PGlS~XOsTc> zPM7u*w#T#p;z#AG^{FS^V~_k#;wm3KJvmqT$oZsa^`>HU*~m@nJDDfkKOPl4?_vv8 z6kq+_!@PO#j=%}`@S~{bU3)@>#dE*TRXp;4Zn!6uSN!Ey&(clV=&oI%?BX|U?r)FG zpG%M2ynaXEnEUG^-REaUZeEG*+7Zgor!s}o^r=V5#>G#5#g#p}e$umWQ}|8{Qz%j2 zjVTnbZ@?6a)xX9RiqX$J;=Wsa_g7ExBdO<Il$(5aERT})i?9AVSNv%GIIif?&*PrC zn;h2swort=+lo+3@u^=uvp0X~QxCaGkJh6Nw}c{#zx>-Xb8}ta@{qgj(f4S>O`+i8 zm;d%m-JI5^9&k55s);t-5b`O$`)|+0&F}h_2gz$Uzv`<UaMwSIi$1e1<XXIaa*uwq zMZbQZyY|u3=re0VcEz7g?u~M+7@cj&5cF_kvN`%oW3n0AekQI0Vm%qRD*F#OrY)HU zc^_DY5Gs#QwE?QNaa#(NqEF5AtjOwusjb{YkjIlf%d$XlW-GV3P_C?O-Y2P^l2uuG z@HHQycj))i_sSs;0;NNQ-k_gNpDTyxPR1?DN(Gb&y#GsADDCd2Jn!kAvb(b4;L@-6 zq=iz^k2yW3rxYUoxiQ&LK}}VAw3XWsEe;f6Slgp7H74J+@`O}0CHFv1O`cn@c0wO{ zECdNX2f4K*cR|>Z=YCoTqOV5X`)M8Y`c1*ZO@T~#a3@R%b;V74blN)1Y621l7AgLC zfjhvEBD-s@>0RA(|1Poz1K|riL$P*4Uy9<P^%O$JBhM|$T!$=q3&o&M0e8S6KdrN^ zW2`3fR)?%##iF;T?#;>`f$G7dMG$6y^y`pnpwKg`LP&gbG66CkP!=eJuns`KpNgBF zlab9!nmRWvBN(s{AQbre3HzPwitOZ-`d02{NKJrY0Ox}5F8X1J5X{QK!dQFsr|kDD zR2>@;k40-+wA@rrn9x(SI4XrI+XE74=KchUg7ix0h%jaD{w<&*QgJ`YqUkt^3V9Y# zwkA-Ho_obkdWasMj2p8qhdd29<0oYI`e-_CRK^@^8J0YD|DFDgNbWH+|F*cwk9AUd z@|i5b_sYR6LF{X0RNRWy8)Y|^V77AU$q$d8GIB1``+^^GwW5367p-3^yCIYRG043d zeGg-n1~p_0mgb%!_N?5{tvZp9;z7uNs`}+zwkKGSTY^X?R~c3T&?)}aYu544D|HG| zs5gLCf5&_ru}V<RjO6}q=B#f>lL6_)d+&U__xcI3r`*gQS{72>`%w^5;Z4199nuT7 znYDiL=(05Q)m!;J!K~aQ1owd1J?NQT!PmJj5y|&+gWBUTX27;{9D%x1Z-}duG6j>B z>z{G=nq6({DK!(<iK`SqK7HL%r+4Pjv`f){$+WMW?#(#fTuRc7dp%C;-xh#ANfozd zzHyf}A>C*HKCj=EF(<>NV#ySYQ&wXNzET$8{ABJa1b2_wuhyRV8^4^pwguyJnW5ZW zX4|bjMP|&_dqrk^&@+@9=Tw4M1jCgDo^gLM`{mNLB^aGMN9bYPU@K=vaJQRHyL4>| zhUJzJdZusuR2GQfZZT_j>Dmws$bCZSnY{5sIWwHQ(X7Cw%O7`d;znKWRRuL$!*xNo z%)W;Pe^bLaalLWMhHL@n)FVmDrVDMCKBRi<#lbGvn4uGg+kwe~E7Ce*^Acx5cQ0j? zr^>nXcyNC*i*o5&6LiT>6z>(@<2AUYaSoP5zLZ<Z8Txgfv$N7OFnXyS64w}3b&h_c zS-CVUxyI~?%fhOlZSIGBj#a?k)IUG2()4Nmxk^*#{GQe8ijcCA>()0jb+~_+7Fcv4 z1S_(x7WOc&-@7TG!#!*ob!9>AZIED57PH{o%5^!2d#GUkb@iJu+82IQV-*E+v)%)_ z2TiRl3`efZ-Bi;~evtRzfrWI4;G64LZl3lLd@Vb##eF}^8^~>v<z3KIW~ypoNWCry zaSs+u$+`<nHp)^3CL3m51tuG0T>>WG&3aI9uEg}J#RBE}#hddm!GtWif_ue~M}l!> z*N@EI|HrMD^;ILebJTS1+O?Ly9+>82wKOCSUd+BM*P&DL_LD~P7t>P~3vj{bSxoe~ zzmtikOr^J931?-vbp<1?ughA3x!X*?Lkt52gR@?ud#0{WUlKBHguDt6^vimTzBhS& zST-2M-C+6-vY^BL*)$De=r8D&bqd`>zuqoO)#R=-^@kYx2|8qb$?qAxUL$L%X`>+G zS9$ZpUn3tukcyABZxh=HAJrl8tk<i87c_vH|BK-2G-=YwuJ|YqsnD@mwf<mLXyK`2 z!?G^7N(ZQY{uy&Mn`vEY6=SiloxNgRXccT>>{CsyvaK2^kPnsr{!zIqADF#loo!{B zpAu3%@KG9aL)+%3^&2Zai}OC!_pP)omb|M?tkm+WgR70L)GR8ztM6GUSyX6=lzqGi z@${}Xv=Yeo9kzZ|$pf@muzp!-Sg=a5PP97C`xaE)_3^~qSc}s%56mlI)w)*v7V$9o z&X1esp0MgKA5RsZ?ERRxeqnVC83l{XTBEF3kZdrgSx0bstqoXY#yZq$03rewnYM;o zwd&a%zgw~nu&ReNfwCv9eXL3$VW8{@YfmeeDrvN@zkL12uA*HHk#VbpM<V*8uxi}L z_R7`#l(k#>k2WG7Qmmb<d?4AHB4bt=kF@hwS8thEIsCtrTA<CSjN{*(C;u<3meXW7 zokm?RjCsrG^%u7Fs*JLp`@TTV6-$;t_3H=G=T>Db{&qV_8mYSex4}sg^?s7RG+UtL z_0j)N!@WSckI;X$_woMg61Fu{2K0C7u`p9c{qLCLq`~{<$hajL`M<BR1m2y-iA}DB z9U$|FP){6ve_t9!?1QS*(-qd0(XS&Vzt287wV^9}acln!ib#U0;ON-3{RJek8LCoC zS6JKcLK5qsDhg`as_$YmpK8B1P29Qt-F41w{gjS*SxI*d5o!asTbt@V2@gOLfJB!( zhO#-FUV-=9-}uZ9w?PuDXX}38dH0ngBPNXOFN8OXH}u9S_3`+tAyWq=X|_%8sjW{I z-kO@vyYq=H_GbmFrS<@o6R7S0a{P$L*p+UO_`O(5sqb@7cE?$tS<01#i95RASKRLY zPY5ZdKvaeMq_*ntm322NFORs}5=f-$?*6~bW?ZgJLl-P&m1%e^dqX;!U^1&r#VfEk z&O{URXO$^<?B2#g6hV&Yf`;cVPh}s6yOCV77|Mfq?C!=}cDN;{g4>nj&2~3Zq6k-K z>-zD$BUAg#jgTmU6wxJ}p=^TUo=P2u_SK;}r#RL-@dt@+i1&TniaKd!-B0yRHaC2u z2%<!nG<a?qUV%E*bQFGz<dVdwGlJ)q;<3Y1#>@=>JDeLyI74(vhKrTpd3QEW_QEfd zT%I$OyYblVjn%zy0g_7;qfQT=TZC7jOlcm4&qor@&DPz9=N94>wl~g15&kfgJMh@8 zjRh3pfT2vpD{O6ap$MA{Wda_%xlx89EHji_@d}$8uTTUALm7|9Zfv-t2xAQ8CcMJN zh9QbD#87U)W7jv@NG?y|^zo@12jTHVmnU#KeQIexJdEh#45y3c8l@!5DQwN<O)1yn z71lPokc2vQ{NU<FHL}&wRe3DekLL7`Ceb+$s%)-8ugLY=U;4}ru|c+4yVm{4<=tP> ziEcG_tsBWrWiJ7uTkpBn4d?Q*mrkNubzSR*a#Qz~%Ge<RB<Fk;yXC?7;}92;a~4#2 zFc-VK^p+iB$*ENA%5%+jmr|lyue#RtxBl!LIT;*t{qg7X*nt>+n3(kT&-uqC1~0BD zviCv^kgZpYTb|Bw`z|pVZ3Nw5mE6U_CFYVZJ46lH3UIA6RuNkqtY9uZWQQn`oKsZ9 z%5v9+2R)ff^6U@+WGffZ`4zP8u8Q5l;CbefVN~m%cIQNu+~VB9?IroB){}PUI2Ezt zTtCWS#mdsRy%2tq^E0S&XYSh2py$dGPgLtE*Se0}!L6lLRO=D6j+kq<we$_ux(%%( z<PL5wRij#&(7M)Kv(2RxRO=kH4xc-?vE++t9f#I6<(h3QJw&w*L+cuH2iKS6QLS|< zViSX!2O-HsXEznG@xlH5kVvAli;5V1uxUTUhv@8}A~rS{wjbg^bhg>DT_g0K1O_1S zK%!F~L&<z=tRlj1f95kg&<2UOo~`{6!Mi`B6OA{YtsRL-WzPVj@%LtHha-5|Gbd4a z-Pziqh}6BAGIn49$ti!!c9{@=9Oy!F%3>%DMqqbm-m(KNIn|bJd4$>SOiC2~>TGR) z1n&r8pE(l}g_j~ar8AUFwrm#(P0ShhD7-L{<FTymjWFApF=Pi`M&kL1PVo#SL%t%e zcg^FyDha`9o{CSU#Uwtvi*AjTM3@Z|M3^%VqwuGQPDxv1#Sv@UGrN0%5+tYiE!*OV z)a{vty}*q~JiFZ~l2O|k!Al|BI0~GJ#ILtI#cYYr5%v!QkBLsvTcWdsro%uM(J69E zltBnP44fc3g>8w>5Ht@12Z&C=TcXp1{e!?(qEo<@=oF#pAn+s6$!AM+k`Q(fm`8N- z+#1`Tkw)SB7)tdK3hOgxP<RqU2^WD~n^{2On;A;A5ejQFT}XT#LkSy!T^;p}ZnAf+ zh2U~3?G$L(c-Cke+qahFm;<eSh|5{UW}o<$k{qjWIV`NriEk#!5rfNNVnt4TV@Qt0 zxSSR2=&^4w$?+YumTYIXH~KBAN!7J>(9UlJ>v`;JLvnlzRr+S<w>z4`_BACrX5vJD zV)u`H4UkP&h>jV!9E=^7itS~N`bIU05*^cUIc0VVRBY3c?=6yJ613I`ms4to9mX0n zM*(bKZe-ILqGK{nw8V~gXY^#x_cF=xIaI0J4!b?Ny5}oEa*Tr3>fv&VE>Az<Qfc|Z z^&GJJp+aBv)tyeB=c;isd~>IGpM5zO?)V`4vUcG1-OoncnSa~7yL4>n+5cL~L|m8O zzR@ufTH9&IOTpea@|}rnT5orZ!HLdc_YZxKiH^}Y(OGQMp)ZT*7>N^QV8af5Cy0(= zIMErb=ArKZ(J>e&I*r{w@NFeJ2H-@euuTWPABm1WIMGRL*nw{z(a{q(wm&M3YU+b3 z)!QkokDfs_k)TRAJM7x%0<x(Ys#I&Iur}I-Y^sAQVePQ1WZ&op``H=@Jf{+@Ktso~ z$Zc$|T9QK!qvjzzXBC}&;#Ep=sDkIP&^9MtnIs1cJco%EIq`}iITXWlR?wrzUcn@X zcZ?b`*ldsdEvi9vwq_9QH-h#&_Oc;4yk#hU1N-fgQ`lanB!^76=uh<ik(U9o;R?|q z1D=BcW2xv~CfPTtL6qo_2G1!2D^Sr*M_#u`4oQp}BX~|J7(0wMW|9GHFK%SR8KOfn zT(kttyF)(N^SVrOc+ODl24lC$t9xDoB!?(QjUGIw2&_OsYaV&cM>d?Bt+@@)DFiEQ zlg~so{9!0|fU#TT1ysWULy-tp*dlkK8a5e<1Tc1!T!v~`W+=9T6*kGQPz?-*A|8z0 zAiJX)#u$oCV1*5`A*x}Bq1XV%u9MqH4o~4@<LDa)UhzbSC-5;kdTHM)jOgGD9~(ng z?0b0<9qi#_qiD~4FB_tLt%~ScY7?t>gzfH$tXC!4=R#{n#Rf-GZCJhkMA!f0s`x`} zjoqsrUH{NkaYW3I-76SfZ|JHxEVj1SyBk%n<El6$mO7H!bnNa!vd>cyT}}-<c84JA z6<uq-iJ6V08gnX=eI`_KKulq`_f=H=6<5UvDq|S2!JXa^w!0>>UYuy3t}<38mb%mX z58M3)vR>3xu~&?jni|IJRcE`4AnPv>?PH;e1}e5CVhTIGXV~u7NcJzFHQi!^lvK|n zcM+0(G*q!mER~Ylw9-4W=e`tK&*iG9tCBO98n)8=dC&bk$^NCv*lg<3q5D43K1yYb zky>%+zC^TtrZP5@>UrosO0-9)j7_KBICSqN+6SqOO{Fd!xHl2){Z+;$Q!5VKD~R^q zDq|C=o(JyPM0>he>Uu8#Ro?@x`6R}>-g|<qZ->^@iKVXfP9p0Yp*1yPylcH}$od*+ zP4!{*KG8iI2O`?#GqC1cB9(`$BdDemr~?uwPqeGvvROsNpFj&qc9mN;ER^vHG@WEu zwq?Uaoj-v_lkAGNY*tXc$52Zo4m7JkK9t`hc17WoXR)SR*-I#!W2h+-CpU{7IFuhj z-8hCClkDDXjV_|jvxrDGRGVa%!N8hqiC_+^cZiN`s2a&Gg@L`dHM)SRID%e7;w}>H z5*S#+Es@eg-(l1ZCXt5?y+N{j$x!GyT&1AGj-bbpIKEkI*I_jUWqbtPiNqbW+aVcP z-L26%RQw82FbcQXZWqqLemUgXCZ3>hzZh83VagV95`|+ku<eIDTf{aLZk>T`J51Rm z=A&>w8Q7LXo=qYWg_~wzn-5bqh>j@S4+ggJkY|IagTj4hU_T$Oo<K8+b{<>V<0z2> zXbjQLbt{{W8r_Em6YZR~vd2)_`%pKco!wUUD9UEvv590`gBz`+Kcx9(vzoftjxNYr zTUP}$oJ|G&;eOM@=-S7wSQ`BsyGcH}_JJ#wN`J_1;)$-k>x!k&zwI@xM%8M$Dm=uE zF8fs+J9?6A-{VG?{4`ljpQCE!T@_4mBAn{j(HL2K-4*+l&a>NujH<m#w0#X#=%;_9 z`i(N1+}VzrB->P|LLdDh)i0ab<QP>e?5c1NH(E;nHtc7^Y?5X>@*``{5p83j*d991 zuwVR<<L}7Yi>?ZHaM|;IHb;*8k+m0G74&hVMf8UhzwDJJKveB^yX`C7Xd(UEke|qr z<7txZ3taZB-{_&^4$&5c%VzjxA3DwxZ6k2mGk!LQj#Q#;C@y>2Pvp?ClV}UaWl#By z9yrz$ZT)cBlYZF;j-^Cf7%qFl&*s3<#Z}=m-FLl75LMd+Rro|-U2ED!*0w<v>gc{} zO(V$K&rpRL`YLCVjjXL^RFC0P_G>5EwmwL#I??7mquLBUy6WV~s;y?*ek9p^go~^? zg`L>uk!&j9A}lA(6We5xO(|T2>9l`r8%eS$go~^=8MA69qp-l)>hE}-z1p@YtSr$c z2X3?Ebc0n}#;InjAHcJJI*A<H8jx&W!$r#Rt5m0@BU^1G7C^K~V^sIyeW^~pN4B?+ zSV5vqDm;6^DV|xY!?u+`VtI%*ag1sMcy<Y1e%LAd$o4c6dug`%4t#XpX=$ZaJqpV+ zTdfZlnRn`4sh!-jWkq6l+ihauB1QOz+qG?bwiA)qGqdtv@T*(3yD01lL!N~9-Krfy zVRsqw?fBKr+RrHLDnq^v@4H$17KL44$hY8EH)=yr*hz+bGv0Tj_8$~>gdyLEUtO<N zM`6D)s@I&14{XzkHtuknai{YKw$VfzDBOnb)VpsRK(uj$+l)EI@7uZ%ZEWE-qhXG? zZe$^ZPDK=&(>oD`W^@N)M#Y+STgGbGKe$+AA#LqFw2G}$PM08NFvARSXOV@JwP(<( zJ)Hu2DWZ_P<_*2Mr}LiP+CEgiW)H2})p=WI6j?a1cCRhtXP7kZY-C~o+TFGx%$gRo zpBe^mN#qEwfvJ;5w?q`)qdT-`EQDQhSs4!FbEz5*yWj#F4m;;^b2v;8cb2J>L_ZEK z>|Q%+&6p44!O>Q9;^_0Cg?e;qXrV4$qWxkKCyH@pC~V#N=C;mD`Y|D6HteLXJED-d z#&o&4rSpv5O30WATdgySC~RH(>T+{aCx{+N$e0S7tm_UhY+C!^a&tq+o32gBm<an; z7fQT15!P2H6JGdf?WN1gnhuoCYP(1eYp%nD71pdhaamdA_!U0pTdX1Ms~UWC@+-4^ zblz8n`siS3^}s?7JH60i74f(#ROd2{_0A#@p@X3b6k0G5cdPcaFH=|ui^Nc!ca;s= zm&vTB7Jr56<kIqhmj_wa7WYRGa#b4Ig%4<%1r{84WYwP5<p;D#;N^anR=x#>rJ8TC zh!BM&2J56$W_jzR(ku%&j#R1qj8c|x{>4&Gx_w3o>s-FY0^$_puV9@Qm7!Xf@6gl= zEanj>=A78csLBSI4ze-}rV~r+)VSQqn$F88WSz74D@f-BZ3k^Ji#V!m0AD7uenS3& z>qOA%(H1iZW~B!Bax1F`@>ie^oED6>m_m$KHh?ZSvC1KT1?a$NYG{iIL>=uKdT1Qc zQz-<x{D~C>>G#udq0Q$HjUgH<votT)u$&?NzB)D(pXiHgp&-5cv`}@uzi6S#da!-% zoFXfhb~VK``r>M+MX|?8!N|%ReHdH2jKUp#kr}FAym(wNv=XGBI1&mf#vB)XUwNXx zva6j{<Z)c^ZRG*-;!>z=u@Fo9EhRaM;~>3Ke3qsCx@hr8>ORGXEoDOSi5e<fQPsas z4HeXb?P#Y^4A=!moWr&PL&`OFfdNH;U2vCT8g;Q`<*NP)CG=wP;)-?x<yMqM@yaFr zL`vv^?tMz=t}bj_`&p69QNe9W{a(SBl~ejFTiVYlEY!u>(1Rk(VL|)KoZiZ&b~xoD z>f%i3a*@noLCeY)y_F5^K#C9Q;#BBZ5$2$vaiv6WWnJ5gatk%Iul<xFfyx*U?J7EZ zP=H%`rdPG5?M#_KW{ib?E{feRz^>TqRrzYy4!XGvRW5luT2wAQaU7~xs!{GA36WR2 zAEy0r(7<Jg6=I%i)h0Evl%{+ip<Oz7+GS`ZL^qe#DmAq9*l}n%1elxED)oKISs6AG zqL^#dDrGuY>O90D1t{GQ(as!<aUNO>xvI264Ux)S^wv(#>E_6wLnG&EPR`MyY)Mi1 zez0~@PN=tbLXMTUc3h5zxAv<XA#d&E!PAXWJxjkFhUP<lDfS0x#}Bd^q`H>29fpdQ zm<|?2OMJ?IQ9{n;hQhSNbA&h;(WPHX{c!E*!Fi`4MhH763oq5aG_BMhs2w&~>NGSR z@-s&WFV(WtuGAl(9WdzTG&C9VBPXj_s&T16so!7QbFfu8(O=tRP{7GzJmgExUXv7V z389qer|mRIb+i}@`IHmdB!ykFzFf7hRntF#kb?9NAf(LuTM-4Li}pGe6^kFP+}zjt z(66nR!3uu(+6Y-dT}--ildV<Kf2^Ca60GyOJ5uUl|AcPFa<KaA*vNvxMRT2urC|Bj zGAylk{du|;<%<ul+}zcA(;umOv3yZiCu1>K>T(q|Sp0I;j#g?$_cJNuep3YJ2uJS9 zU)11>m-~l<c`hdo2XkG%KOB7K^35Hsml?6o3hwl4>t+-$ieIVP){4$BdM2gcKM^XW z*FO+i(78CLoiP`@Db+s|eCGApX9XRLM;s%WR#gAQ?F>fnZbocG0bz0ca@D35qCf9; z#&qyP#@UDh{9?=Hstqmweuvu`lffeyvEc;`i|;R2t!vR2-&}^RYq|Gx-@Z5=Ov+dc zE2!f*oM3AlgUo==#j)VJ43Dsa>iNctiD6pk1dNYXUP7#oR&GLrj+9yVN1)W`eEG${ z!nCTo)h=7Gf*vGl=txoL<1YS%&?@G*g)CNrv=WVcw9wsDDU0PG)x=Qk0@Ln#DT}3` z>->o!T3Ox6K&gTGdl&x-(R$q-11z}T9W0fBnFj(ca^xb68YG^G@zzRCXwZ`Coxchg zDxDVxSd`9R0t}VRUjSH?%wNCw*Kp9K#66f+V)u@QRQEh5Eu0c`A+Z~#g-XD{v?3EU zw4`*q1*C=w=f@>1=7LTo?t!H`=Gpv-a4l3fRnmeHw4D$NmLkmm;7<(H3hmC6w3rT> zOV|TR;pZFq69csTx-BIwCWD3(LP1gu^Y8dqbfiAdr}5wS*K+GVEjdIFYEPhPO4ZH# z^WXQ=a_H^^42=fWBv|dE$7VnM@uI<jzh!A~)!)k_aN8FiEO7R7%nAC(Y{{QxHn_6m z)^C0m+~kGK33_<;<)7s}a8U>A7yk-e?zh(-IKN}y7ymL``Gp1xoYV2~S9<yE<3C=z z;LPVT$LO!KcmFK!fZsgdV}dg}g4t-34mUQsZ&vYye-SSHTb2qJeStZWyw{=4mNe?P z#g;VekYGz1ba1oLJse&x{{sBaujOs<^XDE%=&o7zG5<XL=$97-&i*A!fpfixT>-!9 zXx)>%-NF5fe-8fV`Qjm(G`s!VYYU9*82Fc;0pEP?afoi49scdL2@dH<{+E9m&Un6f zfNq}s^xJC#?Au}bFaIQb=()!M`tz*w3!?*c{cO^2*>&)fj^mSbI=t<9_ddFI*88{Y zf4-vDlk`z|bvUg}a%{$bGQD!<=_G&UjPoRUHBfP*awO0?BCAdE$4tRy9|BxKxHdy( z2HuMhYLgtEiQ4Q71s4+Lr}-;p{5MTP!FhzvY4TE_>_%S*IGd2!DEV#1d{cHL@Op$$ ztK`=i-A%5Mz$+Vl!QhN=nm0HtoYsOiCb&(fm(5(CBrgOCN5p!A6T>~c!SUfn-r(49 z8E<e*cvg$#U4p?hxp+ouvyT$U7h%<c)+bz><}aREpGYs7`8h$J3*25e2?9rid%!qQ z_0!Yj*}z}nG`u8fW_`m14vr*rPLXE<*Tb#wl5I2JH%tP-!GxG8@>Jk-IIUT-d8TH= zBmnHg$zCN-1bz><YDTXGeht@XmaLzN+u-sCyApOLA@snO@P;PI+L@;tTz+6XLj5FU zG{6zwjYLE6R0P@_--$q*;T?$S6;sx2>8k<%z+;hU+SGeSB^y+Zm-r9wV+cQsL{p}o zF)H^!1^7|~nmpyrklh2l$G5hV%ctxamAjy~fku(&fvJ0K=^Pdd{A?t;f9h@<88fBD z=%WSzW)e68Z(xGb@RkVlJ-kDE`a-~^ndRXCzM0D5fD1ET!vW`JWQPL;;b)nkB>ZtG zx_j!VHGMvS2Tof7#o^~e(Rz4lC|VaU(av8qb%C)w6tF%myA67YKPIHl2Al+TN1%yQ z%o*7&&@+51A$=xbHP9#m-8%JkMs^bvgpVYoPX$Z{c88;zrasKbZh*Y;+Jy9pfNz1J zME;3@zCf99^rxwpGs|lrD4x~EPY-Ag#Dt-1rk>0!uYzpxAM+t={`Rm2A5gwmmJjH? zSEvsN+fWZgKWwlpgsl2MhK1@#(k9*wB_KeU27y8d)Bi4PPg{~Qff(ZSfp=aF+LGjn zr$bz!pxlN$pyc3$^^nPkzZ^_M8~vamvw*{^xeD9Ul6=q*36$)g(8`BkCRFnwi~geI zgkVsLSC%&@wZXE0zidJ(KfQE9IG?|Cf-gV4Wa3;tWWoOwnJXCd!Yfou@=k+V0c76) z<a<I8D9Wn=210se!8oa~of?vz6VrL=g%jt7xPm}08g|f-S^p!i2CyV?;wPC44vJ`~ zM?+@(nO+)T$<~P;GFKo7-Vlt2O!<#{HGm|WCd$cN0U%g|8X7X;U)OLAO&<5}@e%?_ zewv6P_xXWb8s_uKWB!d^S(=hH6VBv5Uyu#XCz^lF4@5Mf`Kc4RXnx8>uYJuNs1-}I z8fO~Kzv^e<>T!}kLVrW@Vr!P+xTE=*e)_J9$N8KlNlF;;1G!?3^S{%dkd}8fv!EWw z`QPXdko-%2vaUib&9}JZDE^;*S6t7sG+#p(j{x^^K5T#q&L@goMpq@7Q2hjnoI}tQ zoB=!E2&cx*H^g0I=NsSz*!g#HrcwMQ^sA(0ir+=o#TCs2+^r}`G5r!Lf#P@2ZbI?f zZTH&Nd<K;{%D;`P-^>3(KSf&J(tM6%q4;P04xpIB{C4^rad}fS9QP5$KjXIyl{w6B zp?@JRZ)gVMd{F#Teq&I~L4G5>gt)w}>4m$6BJXQH#Yv#j$NjpXXAkmm^k>A%HBD#S z1TuZh?=v)ZKOalCCsz7u)?(dU$d#ksj*!aHCywNb(VDux5nuUQlQ7MXSOXU_%h%k_ zstqtQnpS6m&@9ECb|J6$>e|s-IS<KWNAj{S&@QVL@O{*|&TGV1(ax$BV2UkuCja!6 zt~Cka0FPpv$&0>MYnQ3MQg(~pn(4OP9Kkv?0{TYf93f?+ighNznn|{y-kJ%vR^FO% zwi@1=uWW_9HIuQY8v#9|zZ}T(zQ1bvf;8i?tOh{W=(Yp7Xq4#yDH`Rg<D&SUvkQf3 zhT96Y0KSa=s_lboMq}rl$P8b$Z5AHTK00077pNJAEp;MK`~I{Q!UI}H+iUv*Gy|}1 zPUK17AGTS|fX2~++CG0xPi$*lg1@E*R=^1|?)$}duL*z~MbswvX*yx4j*v0mPqv{= z0PLuBVdXxsrfLEKfK&}20OnP#i2PBSy$+;;_Mu32ANZk4TQ8mE^YD=oGM`FIDw1Ub zORA1_(^q_S9(6|o9#&20rZ4-bKZ=daAEcS<q%Zl%Kaybq-&N)5Ldt0miez_zZ>l17 z`O9g#I_Zl(QiYXNAMwJ<9bl?O_cMTTl_?^>mnK)lMfJH@*f;FMQ<yO9!&PW9>~p42 zb_e*<BKBGSohohJ^kSNLQROx;+QR4=K)-4t6rfi%5Srgfo6}C8^Vuxu8}d2x=<Kun z4%$&+<rXliYT|Y}!)MnbHX@%u8!xQf1R|>PZl_QCELfb4$j8%K3M)5&{#6dQ(<eEB zDzV}D4Yc=#mFqw{?M<QAI?%m}`!@f$56NONEWeHxROqz^bgUZC;UDv<v+xMZuckI; zB!mIcCKw-Jo=L0^FxR9(2VjQz2n38$%QLvbfK?c^%Mh0LgZmmf04g;ug9`yH#_UKz zR=l<D8~Fgy7^)Ox*<1B~sCK?7re2D3BXm7IAq1F(Nd^K2sP{6sLV&L^F~I!$m|&@N zj{gt9&yfr-jy2{!#v7Py(x3(CrCtS)OR3@jNGbIafLubo0N~`AT+iSd_P%s~4+c!c z>}UYGslpkR6z>c7yJ0|-2?hp?G||uk=wbw<$c5B#3CNuHsr!3iKnInbo&X1;FjPqh z!+V?aumcFxAL$8!z)(!4BxKrq&SVb+z*8I369RyK7)wdWr1!8%C<xF%eV4wh1Ncl$ zOE>Wcx?xUBlIh;<CNxbz9o0YG#1H6z=>(8Ry=zRY_VdOlpPqZsV8Ccu8ca2Mc?4#A z$AhJjt&cg$`#~vrzRcFBEWY)dj|DTiBXg2BOnLcyc~7ILnDvWq1tu5mwWpC^Jn)Ne z8K!(kgQbyE{P9;>Ipy(luU(ByeVOCDuavvbmv=PY=<hK#GKz!Qc_zhf?7TjT;tAg( zOgLJW3KPA9Ig+?ntj(4%D!#>*Ff5i}OBfV$v-5f=r%w15V1J^Pw>6&YdmQC;QP{_P z^RS~RFA9tuB};*E-HBb%cvalGCvm%&`xoCF?2rE9VIGOH9qqNHfh->QmyZG4)b}{d zYoiQDdu?ij6es`7Hw|OxFCOGIQ$9s|ZD{xwoBqo;2^-S)ILP}<alT`8kXKJhik4m1 zcv5_Pl17KM>38qv)l$5pW!E(9i(609IF}0`TARce*?%&vlKga%uafLM`F+((F`#n9 z%Nm^3Ch>z@5ZH&%s6bzv`OfsZ2NwD-0X-_PFI1xtJwMG?LG}+c3Dw9$cTRs_@{$ec z3(?3%XEsWFBbx`xj(A-M3$;poCF=%qjd)!N=nK}!0MWcP(m=G9JY%%mbXpnt`sDWo zFJW-3w?-n!!&@UBWaO<83zG5Hhyi7_NZdsmOn)yXO9l2(y!gOYEqVIrYtwwi<n@WP zBJ$6P?{i+;{w6^h5g-qkMhyD&^!Hh>UmzM@f<#^qFoA1CqC2O)&v>natnd<T<nI9{ zff~W+n5pkmUeh32vqUqwCcq>>!v}3J^?kzYJIJazZ_Vo~NTXSzo`XB)^4D-h?@XH0 zy;?vGO%k=_rvY4k8g}UVN%K)pN0n}59we8F$TQFFMC6&}IuO$;2CduDRz3ewiACno z2H!&~+3MxF62vs7r=iMOWFBSk8MJawy&$&~kw+f%hRW`#zt3%L|6V?553Sr)f2(X1 znKv+auPyDTr?kr1$h`i+yKUbwgIdr&swcoDfg>snO!c%}OGMtiT!;3w1<y+^%fp_0 zE|tTc7hJrCJ<qww4tol!oMoyf<sOITbq^l3rp<fusL)o_<8tRi^Yn75p?SKw6776N zgBPI7L!Rr-vfJt}bB_sWvz{l)-4S`jL8goBmin{YRzlj0=c=+%L|*IQR~Ok$^`P8H zLfVw)q;hw7Uen+Q7ugMU?_6y{+Jxsf<xnEuglC_!OnBa>!Iv(}YwFNkRvRDPvsoDv zmRB?Q#ASI^-8T1QzWLfy`<o3u>iM!+KI-pfLw(e-S@poYhgp_|=BrO1-wf4}pbfmU zNI<A#vIGjvnNRQD+|!nz3?M8LLe<~NHfT$b2cBARg{tRf<pCuI2dphjMxM&u)X>g* zkd;|r{`2Y8n|oRk53(YG68!^O`R14b)qL~Cr=pOAVD%K)EN}JHEXxAEvH_|5w9*0L ze7@2FzWlV3fphuh3r|l$xPsMR$cAc3+{scaFrR;VVxADB9wplVQ%A~X!PH~3IyEFZ z2d4AV3J1<va0RKq$l5`h&pthpZ2(IU2Yy1h;OY@s^=R{%r%YK5ute)X4}>dF9iA18 zHlKPrF53W-Xc{Poa0RHtveeM#6Hn{1uA#q=Kkbnf0!e%th=TO_sk>y&=YJo2+9;c) zDN!@v4C(V#w|VUo&9~+O(leoXsOxdjJe2jk_SJH(Sh3WqUz<krt$JAe?Q!ydDLT`z zCa$-QS6gT+ZnZ*CkXl=<tN}%%Y_7NvkSbz?kVK^jh!9yLGl^@FN<^v!f+9PCzam7| zu+5+#P}xGD5Fs!T5Rwp*0kV-G`tp8xuIu-8&bh8R&pFS1-x+=Ff4f~)Ipn8&&sZ%9 zbo*&Sm(kOH&Fx-a;NG7KbQxXk7u=@i9saQh)Ma$Ep8!@*2JZOjN2$Z(l>7A69|J9Z z`daFcVllB`dORgmWqK?nl-`x!Ztr%CAGpEw@|;6b${|(8krW42#^IEoRT+m;eo$o` zOgTwko!h?EZHgDT_NNK4!@U$sx@S)NdbfMLK#j{WUf{gT<ynX87QZZHIH!~=GhVlU z<u-*n+(?mvt3`ntivn#%WBUi!DTPB^%5!k_XyBB^FWQXS_Sddca)*eNP;j+ydETc$ zlTp>4>pC^#a5=>i>{2`Yk+KPV@IJ8F;%iL?r~SHXk<1|=We8Xn-BwuKRcDm6pLZ>~ z;!w)GNa`wT4e|FZYQ5m!#cq9R*VcE*%Jx{S!*k{#QkV3SC&s7Vw6FDn-LV*lJmv~g zm-vzkroYa#r}cOLuBl6on1AX_yIKS6F85us!T8jfo@C|)bbY+E&GuN7!z1SHfUb#4 zTWzQKm&`E}Ar8qVEz5=JS7qAPy3^k?ztzU>Sfs;WCea}d_e^|39R4)f9pZ4u<i`+) z`^*(prf*w6`E`w5`ef5~%^`s)tu$?Jo%QR=Zk70XX1A`kGvr<R1`{3Z5NGmZt?BF5 zPquAT2L^MD*!BPNx_`K)jjbcLZ4nN!%sgV($fb`aKXOfLTN`cL!X3hy7l~cNm;N*P zr^d9Z^_gwkRfiyEo!z~w4uQ-ch@S5+y*5!+n{rxXZ106R5Se^`&%sNtOronzOIpuv zDN@_NOdN_a^-6pfW9pe$7n3p2a^A_4-SR(+9cugkCGK~9Aie0Z?g)^<Z~4n&hsr)T zQRnhNe9>uLOT4K^;*iUOsf)YT-Hp%aZ1Hq@FnQ5x-7ix6)Wjz)o`o$ZEOyM>|D71` zvbwOv#p%JsMe{91{EMbristN@Yg?|Hx+k8D$!KlaX<^8}xOPih@5NPH?)6?Y+;Xh< z;>s;M=In2+y?Z_5K;nLv2RSXK7Dco6jI~Fuo4O?qMVq=NzKhOy)AC{egAW%K=50L} zSFZc|dPY;r!j>Y`o}M`5`~bN)zxFOIqoL*fmLi3HOyU#g2O}59*M3dQ;I`CmDU#b? zP4shqFnqCZ?cKPH%9e~RMKkt-mcO@Lp0U4__`UP$_ZQ){6R{a(E!VbOmf8C!zWaZz za@pFz*o+r%s@C3%wa+vx2(^D=csJCZWmxHCiog5Z(RAQV;abC3`{KI}TRf!~PJFxD z$&~-*&$Wgz_BnUw%ss^y_I-OK)IRer-`sQRg8jGA%cFF6%gsF}FZ{aZUX=Ymckerz zzI$_YtzndX%H7+J8OQHNnm;Ib<G6YCa#?$sf5G(If)M-rhL!tFTi<Nm+?DsnbhBsP zoAsN!a^HNn*)#XeuWJo^FRcGo8El_;cW$?7%bSgBi+C5l`?e+69yBZnwvRX5z0cI; z?hoc&S#REN^89e&t8bM!)2277HTS6Y;9b6%CvsudFdApt@aDfY_af}0?>;i~9J%np zP`THX`=)Bmy>R=myQj@OhcEOlcg?1iZ&KGxIhj_xd9dc#Rr`x~SC}m~hK+{(drZsT zTwQZ4%--+ro8_kG!b?LRHEXcx)#spoisRDuev19lWFO_+mrGJ?+UI;d>%XSl&yy-_ z(M`)GBPr$Bmu-4hZ_};MlS*v%P3b2iF=gjckP`d!=DSZuQxv-|yQJ9ko6kQn3!8rb z95j!8^m&_(^>5R`&y#c5zdz4Qun%uWs#wQvUR1H#nr!q&6O@fh+xZleFZm0Yqc``f zFh_1$sxXIdZc<?m-TYp~dfW7s-e{ci`P1Yq_QvN+3#{fQmCk64vhXR0M^Sy+&Z8K9 zxg*Bjxml;gIN$vKlhFst=g;F>7Th$u6og`do9`Bl5Q^gSB`vGIsdp(zfsML(f6-`! zf_xs=uxgrKEd|N3S8kqMG#aM#e7>Y%RWt>BIjmuoH~qD=eFl5srcTckQ0hN7t68N@ zAxqn3*z-5*^vnUu3r$}=W)QkM%q)Wb7&a<`0){)M$u@F!ANlOE@jvE2=$WE52FnJ2 z9_^Hnk7_s6V|pRFqBR<u1&)mvv7xI9_h{@B@Xbi)BzcFtH42*sKB~fWK%R>2edJ%Y z8|pCsLM{q}KC*?pH4^(!(-(q$py{h+xq}x+nEBAJ!=2;gjoLdQ*hI~x5Nv|xa0vFU zW?KmMw&rmy<{)@zq%#LHSG4lTtF>opS#IFZBStyU%n&mh`Z(12fjm3oeho|0TnfhC z23L%9ipZZdeOwF-&B)!U*!bm{$<9&ojOGj%QxA2?-6OD(;B8^2kUXO4tHIPjFXisx z*ii70uycsqr8!f>l9B(_?5e?(Lx0K*u3{<R+%R51uGLgjV@j9f4h+Ju1h9M<KS1(F zn*o*=jUU7Eq`iq@;c0#llihH(o;giAgWdsH{S6riTV+>B+XOKsq{HY+fW>RLj<A(> z&uDoutnP*oWV_NXgI3qrS=ewMVb9w=RveCJy=ypHzw8jb4P6<}YHv7L-&xSG4{7C- zHjgGO7qmiR_keafhIN$Y*T@_vtskB2C9NK1_maLF4eBL*GrGN(v;kcyvHOdri)OVn zEYvZ_NUP93vE84vv1pbnjUUZ&p>1k3%5L}$nd~9WjBKB^yG7GAFhwN2qM62m8YH9J zQM>E3x(4PbX<BiZwtQUscXYeL?iww=fhi;nE1Kh2)eZj}-7dEaq3v&A4v{((QIOFP zsZFshj`gbH*65_nj!cu*8wp4?iu_pC%Z3Z1lhbzRXwRSGWdY~K6`^)dryhseWlTkd z+Lc6?JF+~YPiNt$1AZ4rIW7MtQ+pC(><XfP$ihnk4lcjZVR&^hJqgivsZ$mEG2L~4 z^cY0jv7(<iVmj;2_PF;2>=f_X&pHwP=o$WFz*h16KFo>ect=co-M**zf;#)B_=y0M z?u1CYq^ZXtcFgG0&y4cx%%3vz>NY+#%Bx%bl$l%i%~Sk%z*pS{k#;wyqV{19L_0jg zj|J$v60X_Nrz(Q&fT_p9c6X!S?8dyQ8+pRas{5wL;F{gd=($Y1C}3f#0*8U>K6V>W z?P$^EnfTEF$<!_!rmpU7w?Tv*H99gAFAR7;Rk0USU02v`5N;P7?U0Ed3Mh;IIkWS9 zz}u+}doi!-=-sVhcBJUBr=5cVRa1}mU|!Y*bhloy^QDF|jAX>Ukb6II7i7>+w1a}w zw&}<jsqG8uNrurh@s#M2KE03oH@s{>l284fVI(2CiN<y5J=|+>LLYIjC|{S}#k~Me z&TlyoWokKFnDF~we{Vnfjmr=3cS&v2sn6-mLhkQy(7f#<WSiwB{72$e(foqR32MB` zq}|pwHN&=MAAm35+5`9rq6w5RXPbmPme?|>r|CxdTyub#$K41R<#AU7%v|m_0DheK z6=X1HdlQLTFgZYVpyS7g`o@G=TRKu9wgr&K;$@qZH%gN?+>v-@7WW%?Irs4<bq>Uf zhzm%C)&$~ygbYwy8nqn6j}j%wF0Dx&_bp_gu%%KXLA;Ro9;winRC5a<1G#N5)d9p0 z5zDAQgPre*Z;=felUH0i)GD(jQOAJJL1Gp1xHUJ*XpmTjTvDgM*p%AyI>s==-(S#N zpY9dLuS)j}dsCH;5A!22+0|$LnbZDf1b6Dw`>Qj`*fF++VVg)yiT~l{Jjrxk_4P7# zwC%I7ysGr>>X5SS(T1u1_JXLo^pj!b0r<()C#p~Q8%_G}s6Oh?{OG?;u(B?_z51Yk zXF>J8vR1zT=F)`aBB%(leGqoKD*b4fUjTF5e|_m>um9>&cCY_;r9r*^-;{3e_1_>^ z8Djfan65ItrFy}SIp)7g&=+j`XV_R}x@#D}GTkL?Q-D!+^><~HJ^nK<w_mfp6{aIH zMgIE1W^OuEEh*hjwY?rzM`VurPY)jErq@;fTe>~M_F7mxkty^a9&D~jude=I>Gp8j zkmWZ|=8%8KU=+z{$iHoHTTS|_<=D*0Fk5n%)Za+pUo)6ro&K`=Lh0lc+jC*ho$xZh z^SlbF&C{O8Qk#sPD5*_JaCuC+NAPJ^{IuWiyePn=zcMvDL1j}A{DUiA;&+fYA8*2| zjLA+=+NAbW#G7<i{*i5<v|$B5i81M{Je%#_=eLu$D?a^1@FN%eN58GS`RgVpg5zUM z+AH@t;R`D5o$wQWCRqt{Hc35?B{t09(=JB&mF7;&yvmJEMtPO1otU|m-#FpN{l3aF zn6tUr6LsC>K(K=ge#}q*EMeA$-cupA0eT*bZSDrYi8gstIkKObRryV}!K}^A;5lc! z$Zw&if@T6$e#|mJZD_&e&iGM3NzX2tNnPdJECYoNH8|24FZ6rgQxRuUU0IlAAh!t) zc5ucI`IQC#?A-a@?`_Y9IFnbE^sH8y4JmlcsdLb;s^@X6$;--stk!88-@wo<MzZsJ zlimBz?@Bi4KW~>D6l;^-b|%#3Mc~OTM$_j{wO?{d?<@cNVNi@se&F|8j3npXmYovQ zd&;jpOz1nmw>^J<dRO^{hm%n@|Fm7&pWab^VzbfY`5o;WLTw%g-ZwY;c;2FYWvER` z+xR|{<AI@$CdUFp%{%kU?H{`H&u>T$inK`zJmi>uB+$Vz{czyVj_HR2e{f7c7<kg$ zD7Sp;!%5!xwe91<HunN8&G9+q>mMfY&TAgH^Ulvd2)br-y=~jRbmzeG-RZB(zj`=H zwYd=}H8T>O*R<v1(i_V^Bu^@SbWi=X^~@h9?iBxa>}PN9(*b$s>&vR1rava{Zyi?~ z4{%<UmkbehS{wX)*hQ7tda5iu+(v$7M_W+Byv(6*q@bkIHHvxduJ4(aw=e%r_T|6z zJIUX6?4gD0v13+8XV2!UlCDSy2RVgTDjK^+K7R5L7w>%j>wje&^Be5->+<tl?yT48 zI&w~)bo-M|yLICxrB0bv$LSp^&11OBXRJdhgx6)){oYkQ-S_Ru-oV0b*2RRER-`*l zCQem@n#=!7vI?FOujf-8e#mi4qRn3OtMi{5-duS&&e+#0)4%lmMc+fN)&Ge-a>stY zl)v@xz4bp#9en&p;^W`;rJ7&2x|YO#aMk8g;0iPR0AUv+VW5xjO;g|Tl#Anp&08#g z3yr%mskAOArkq^zU~_Ig3<|UHBYbo5`QoZP&Q1$`Qa<OaaXDjht<mWDskUvn^jgmA zWP=DB>arIfUU>d}Tg6_J>hi*5gK(Q*;-f#F)V+&uolffP^vLaZ25ia|q0r;jfBCrs zp69AwTuVv_x>T0*`00yNhVwr@mqhe<*?M1~4E6u>)nS{<ftF^Su{M7MZZc!OKi}N8 za&J1P{CYAw%qAdkXft#0d_~)xJ?SOo=abpf)}@q-OC3d=5WPnc=Yqb2&3SpFwU1!M za93MDryN@9kP<wb&ghN%I1g^PtE}@VE0#LM1ec~hopBH6cYVhc!LjMF&bW&ca3iRX zVAFI)XMB>9_o?F}VH?AJ-ue;c_NR^s!dAv4pJ3iJF0oFAo0kj4Pi5T3*{S!)=h)nE zpR@i8j*?j4gU?8;|Aco*tna`ZB-ZySD^$jBIiGYLV}wui)>-QWid1Rb%$e17WOF1s zk8IBB8wR}P1&9(`$H5yGj9+s;F<Mb;24!rq10ks3$6Dh?&IqGbVI51!TkIGie1tb> zjcYlLj8?gIIOXDE$1veP__4;git~)oI%6F~sk@OdV;xBOVbS9~;Wa$3Hs)|*7zr|K zB89K_7$m%cqtwPFoU`HVSnHR>p(<l9;=3wiPhwqF+Cb^~VGnlc|01@>TK|u@e>6#Y z&VzfnK8;`c*Z;>q<q~xxN#b)(+~zuC58}{B($u+K+>?ou!_`Nt5TSxZ6UlQ;APT zJPJ!sL~M_;{+k#-vZ}DuWjJZ#oOw8#f6g?V9cj(1X|6SPC!VZIYc1UwVZcAPHoUd> z+^X<|-gAcG?!D($hHsCwzEyLlHthg$|433!sc8iJnl+>5aILW$aj4SRmH4hQ?M>;2 zp`;Jz6jxh&mgA^a)}}R;E`+nG)^y^KFbO#~Uvr0>)=>IBoE>2uLwq7k8aX#!vyz*} zEv*e_hg)AI`U#VU&-K;ZsY$CW%?M{-wHB2A9UgSm`V#Sb;i~uN;F|I3w6fA`;Xz^6 z{=|2~s|L@N)m*Ahdr?wFPEcEAmgP&Wo|N5@TCvJ10AoDid5rNuNg>%lZB<NgfIOtW zCpfzRV}8k>WCN8|4q>j*L+rbcb69GXN#HknO!?Y#qT<s|63QDrCVhV;CCpp>L%1Jf z{I29E*<ju(g>XA2?KmN_F{z-$v3}KZAqVk&O*#1ztNUdY*Nt0Cw$^v#m6+Ch<dv+i z@5n9ruHGZJ<X5smukU)!yx1y{Fc)pyQnHcE=J|fdX%<_7W%**O__AHsja>*oG<IZ_ zysz{4;QJM4o@U%sq9P@rRv>}j;DPwgmPOHw8%q8oB`B<-36B~)Mtnb%&BqyYOR7i- za;q@H=?0Hs-`=vQIOEEa)bBUV{&BF&$dkFM$ji6P!wX!mdsMjUzkiag1SNlyf@Z8P z5x#F&_1+gQ8;?yZE4fArl3Do^-qo)f^erp96r1+qdDW?eSgXvU{7|bWMR!82SVa|1 z#(1CSj>ZGe3r`uuS{3^^WO+!>o+#esWX$&|e0}<3;`)`|SJszo)a8Bn{;QGH{^AFx zw#QiI`skh|iO)I}H=j)C^KmM!*l*nZ{Et%x(N?U#z3)HkC_LsJ`F0`Io#}o0S4r>1 z+~Th)?*8^_*76?XhWD5<wW+4~ztL9LR=H&q^-AdFz##7xKQ7KcO`1GwRlF_KD%I!7 zGmpaOC+sGptRDH?e%3K@cB|JU|EziOc!*VUQS)-~@pCk8d%p9jNB(o0Q|^&ge-*y6 zn~b(hTRxK~6|y~7l9DFb><j)LMeGxH+c%^g@o{iWJB(l9Xngprp_hB_*_B?~Bdu-~ z-PxCRz-Rxnq@3rbr`Xr57)6Ko8N1;_13Yrs-}!gsvVT1l#5=3<+|D~|SbQhg>JI+r zs?@`H-R?AJd}P(ecDA|QB>&9%;!D9+w|!PT>kys&G+oq(Glrkfc)3%p;(gvQw{5=i z|Hc!$Dt(g%PCFL3oVi<B^*x@6e_+$G<I?!g?#J5Oj-46Izg~Yk{qW;wg;6-;hUfoz zB}7<7`#j3@7&-f4d3?f{`@G64A>1m==X9pW@Y&v?sJ+IO&r`i7os27<Kk#y&*$IAU zwDkM;yN~!3tlXQ%d4AoC9cC5aGxRiR@N7lVojqwK&(C|Yr!7mpFJ@IpEuUsTmRe?H zM@cP9yvtRo9^R)J9@8g^a@{6XmPOu{j8#&fV>#ROslCOw+$NQl+1^t6DzVQ_*C3_k zQ}1{5RZ~88IlH8m>E6%jNrlC~y9Lc#KFZ#vOZ~U_pxfk}<=@%!63d6)k*d^V-WOG= zZN)agstKQsuG{%OCOP>F8;^SLS8Y7vZK>LL*n5*|<00?wRjF@_zXDc``+RnpoVC1> zeQ6=JxmXpyYRqTBC5Y#va@o%FG0eFmw!GtAr`+i5{XKov2cOT`<JwfXc-A!twFJE1 zfvXT7MfN3aYJG99YmmY+%KJXJYQzW09@nJS6u)u}l3QN!J_)WG_UXyKq)DwP4#+vI zNi8q_%XRyV<ppmYkR<S_&u&(ymKKM&ZkJh}_pSqy27F$m_tkG4WMB19Dq{cPzp98G z;NLNQ#>ST2cjheXas9^s*w5@*V=USDpGh5(Ge=n)>NobX>2|HrmRZYtaTS|=)y_TI z@(KP;K*!{n9k#7e%X{=u)y59Cr``6xGrzJn)NTBi?P6!pcgDiDHPZ57dS8g;gY>@I zRCoNvfTVo(ul^n5XEw6#gjgn~Ukb5INIx86c{hDqh~@3{$F&;|;tvIM<ScK)R^FM_ ztTVN#Zup-AR^_l~{F1WSAN@K$oSC(8zh+5GzZ7hF8^0o;Lv-fTav!)6X3yBVQ!V51 zZ-^bEXJ*pRa5vVoyKLPfEF<x^i5<c-Bk6rL8*A7vZQa8yL-B`*9Ybfj($CbS%Fg_o zzN=<qIr~prgR7Pl{G7js;7o0LMfJu~_8+zeVU`4ZxxdH2Y5$aFAl2(QKPJ`l_?wti z{Bb|mBzD1Br=;oAXHxC}sr>~R7Hrkd!sDA<lO(4Pr>q20c?H)k*vg&Hj_1Xsb{B+L zY*+5gI9}({QCM)^f<3?U@x#OMsqYGoIwgHPy)9*Bd}@2aL8p#_f_)aP{L`DaBrKPd z3dzm~$4|$k9zE{ok~DsL{g%nz)2p|zdryD2C8+oGH(R#%p5BnMQnK@}<GSe7mV$-- zNn@v1rSyq+{&{>XI@NV~ayQlG_@>p=3)#49vuoZe4OhXpX~;om>V*$x3tB@xbelol zDl)6p8csvE<EdK_v+G*JVd!=&b?d0vKCNK~bUTu|b;N8zV^|N}4ySGvn$>CyUqH7* zsauE5u4@dRLbrpcAJl7p1;1`b4wmEWXV!cJe%*u|<ltV()_erM<{}46arUw`Z-K8l z$iWiat7(I)j1_*A*IwA%B8+{%(O*)7M%BtP_*OdkwFfqL+9*T6G9SKGjLnrAo!75? z4Bsli=1PoA^(*hdw{oz#Vx#xEl~M4mRPyU?%<oErF8T@w%Ii+dwLYT|-O6+Dt;ghp z9hhtL1`kv#J>Xl9uv<SGEi9}&46OJGy7ds7TY!1RH~Lp%aFxCS1KoOn&CSQy^Nng3 zR$9Tg{vy9Vg3Zmtyy`VNAu%vlty~4H_!_!(AG<XdvwqItlX9g6eCr1JU<>Betig<O z<p%f`o&4Gro12ZX=Nau=SUDcQ!hrJH8JnAhv7a^ghQ4Bn{2IpmjT(%AE7auIjhOYQ zK_j?APJUgF`CDP|3|uiueqD=MuP~s4D@Mt$YcPMy4FbRw1LW6LnDuf47jQ*4`E><m zcKJg<w*s-b?~P0~EAK$JDA-(q(R=mEDCiaun>%Qfp<a0jx<$a|4j7$Rf3AjazC=Yu zTJQcvmUO8_^_c{GIVU=RM=5Nrw|WWB`0~4`sb9-eEm<?ZoDenfwce^FBgU75q9&e} zr(BZJzw8qo@IXaVi^aOnLGaBCR5ZDWlP*=#zgUS5oJ4<GCf#Ru;LER~rhm2T=9fVF zm#xsv6y!j=md9TdNR|RspZCBwnaF`Qtv7#>C0QcUzibp8IEsq$w7lL$f@J9z)#o+9 zmv5k(w~?l|+I78)i3^{X;=imF9XNpIj4cutKC9!ud?z~KhKjPa-n>PYc*%_ZWw!C= z9aNO1<@GFL7Cx_lZ{9>HqQ!RYXE}5eKq<&#qW1GBbTba6j4mG6e(r{DMxm6E#c#Er zA?RiVN)ax$Yd)7kH?N?S;l)JF=Pc;vWt1|sNMn5Y7ilWjde1BwfnQ!DO&l#xwj=|- z)FMr#T5s7>C-CJJ(o~}5O)r%IOa7Dtg92*5tXQh<ROv4QOLowW5>5_V!0D3}>-B8- z#{W1XsVrEpPlIn1azql@Z+d+Kd?S~WBbEtt`bhXjD!Hj!;H^}5(wFQhO`QU2pDb9X z_l0jfCLib!Q0LW5mHs4r;}Pelk239o{t&Qa0o{1W$te(U__B71I*h(#0^NAP$;lUB z`7-W;-V(m?7rE&OCnrz9>6IOqsLfRR?}4S2x9>xL1QNPwSN~CNk$s}KA9NR$^ZRIB z8`WFzrK*R1^(rz}RDR&r$~8dycbkCrwU%%oGs6)I%=D*JyeMI<mTm7G2Hw>+TDZc5 z6Yt(>J^c1dZGW)_JG*-SLn^9kxLs=$w#>}V5jrQ;>43=ke><h1t*aM<^CBIQ<>zZV z-oKYXH4ya2wWydl><|gDDEwIXYiDOyH_Yl<*Zc4T{@!mqi{@rl*X(-!YWa3N5q!51 z3Ve`^n*MSe&G@B|Hsi3I?D34=zSCOd(AnvVn&!COOI*(K&cBcK%RQ8^)^f+Ct5-jM zck-Vz;$TULH1x&)x2(2S^LYBwSI~{WIHDW@I;&PH^_$=u2^>m}AZ1oPq14OcmsE{6 z;>k^KJW4W5&CH;S4-xxz+zj8$P`U=Bw`>)?`WNRpzlN#0=LKI(rDhc3Ue2$nq_^yV zF0!bmQ)cP9JMI8yS>Psv;vfP?t5=iXAYscIIFPffg`0uMqOc)w56B5djpa}gM5xx* zYsf=Lm=KHwIaKVB1b<BbI1T=z2s<Rj-_t*4!+*TM`mwnW6t*Q`=L}NOz+H{nc7mNM zL^cgV%Zflq;EDS7gQF_4pD0X5Jf}|ggMtXuO@qXAb$TciM5JyK5C_%i@lX(jy6HX9 zM3a6W3JOGhWzbrH^CuFvtQ?d;769ijq+}dS06CGUuLA0U2=!WjEm@ApM!{B)6Oa0$ zkOCsW+F>nu36Y6F13Kq6I?w|`O0t2-I$P_saBnXDoelIy33iChTHTLNmu~M@Jq`!n zou^a`YW>yZQbaZYwgMbKbPo?4P?EKc1UEEo7ShCX63~HV@nJkC5mhhC6zQB>NZ2^I zMnyJ&Zd@lHcq2f2WWi#!(emO^nwkVWR4oIS7RXJIz#CO}f=jdHrUn5|p)LWJB;=+# zfww}<1eZRLo45j=Tpb23y(c$S3%uoOJh;?LZmJaUX4KZ;QW@u`AsJ4izYpEG$oc8L zOsm$%LpMmAp9C_lS|1AC@Z<b6D5I(Me$WkHDn%x2*K5LoMMsG71ab4Eir8GLT3)Hr z_yUV(Meu*zb!xdYW6@It_i<BHax=!_Q4!qBU8j;S(idGsa1S>{DKAiI!ePc!DrHKT zsMC;O#y^N#CzmrX|3{@cy-ZX}A=i6e&ZIAH6~XP?b$vpuL>^6FG=~_;h}$tLWkSf6 z$OGw%8zIJh1a9Se&&iLdG+Thh)es{AaXU;E<#N4yg&2t(Phb2BV*EuF<#5qixl*aw z1Tzw-lpJo#tb9VLk;gBp8X55j{D!-ZC;V+eGaA1*)5y3@{po{HtJUZr27~&ONXXS{ zq!1&X`V%6gX*EL-BbNHps1T>syn`5#)SpI#T8*X-VuVwF5(>E*&2xwmO8seANYiMZ zK#U-&NG<;bTx>(!%DLDX`PbkgjJR>Q9GQFqSgb+ZO1W5>yaiY+L)=QZoaxChhVDEC z_QK{Av9SG}iPFgi)qE*TPbb43*qrH3mVQ1DrWa##q@4u){3DoNfX$I~V)XO3VR{ZW zN8BmU%}2uYR5IMn@>WiE(slL}xRXWg>kQV-`@;0cWVa3$b$*hmnm-BCA7Ov`*r{EZ zKLqG3Ao@dWP63O<?`)S$hS7B<5d8r*C!dAocXAizEn)g!WcUa+Cy&MH?L01-G*iug z59n4x^!wPKa#`!<CKr|STVVPPvRey_Gdn3$&i??@>15ayo0HAL@;Y$~^JDS4Zz!-c zHYbaPot^xeuKP@OYhrQG$q7)WA-h2=EIQc&>J((R1{Oy#Spe#$$ZmBktYY#ms6)tZ zToy+@83^hI$!^swtbFnasOurSRkAoUlMOKa53J~Y=Wm+%1c-hCD-v{y)$_3sJpd~j z>?~H#2SN1nSkXXduzKDX0!vW~Sp!!(+OJXs0a|+qWFgQ1jn~&ekdFE=v}Z)F|Itv@ z=x&DAL*&{=qpC)KWM~hITzhG#a#TszI*D9+XeoUST%95m2A`l7Qw=no!VA#ah@cLd zcVENtWrD#+i0eBVc7F6OU27q7J%J(xG~V23v`VoDSdI|`lTjp}mNGZ$qf%@Kv?e0g zR@yp#15GlzTct1twBJGCUBvYeO3tNW=SII)DSn2*n+ViG<MA4T7Zk=Y$Ut11X(_x0 zu6VRxshEt{8j4(9(CiNlH1TMqQegmtw@^gXAl53>5J*Q6q@h@=n1sOVC^Fg*tW^v^ zU<`_kH2kJjv_jxD6cILvHHvBoyow^j4aFJ-8v;X6WT+unqez250WD=_)C|<VMW9!- zbu*)jfVL5V%4jLFQ6ZqMLZFwlb+XY0K>HGbUJy9yfk1|OF9bX#L!KOqA_Cf1!qrdv z0ctA<c)=l0mn7<^vtXczLzb2t*H0(IKt6{oDfw1E&47Vy4q05%uA4p$sP|G_y9rq3 zKnq=MM}baqvL{Ojy6KaEdM5>XN5J-#{HB|Bhk?I2$VADv(g9F4y&nc1lA&W9ivj{? zZh)woc7TB-GIW%KjF%KIO#ck1*FwNOGIW?@kw>8Rmi#6eFj7r#hk;vU*S7?eS3+Bu z*2Sw=Q=n!7hgWiZVR|lJt!V@RGUUQRK9nSi2Y#fh6^%d~8G21vH#@Khsy~q-n2>@F z2tl=q3^fwgp#u$|dWH<u6H*idnV|Y38LB0$Qw)HhdV~zs5K`m=L{R-78LA?zlMgt9 z>Mk-=K|uA>k02nBll{H~qnW-90TfQQphTdaj)VXrCws7jrJlYB0R&F=KnX!TRSn0# zq#{K)@BU1dw7W$$MFN!PL@szLnT_*ScjFn#-$jl6IG(E8nxQ-)YUJa*RozAm<v~#+ z564q>%jn8|A{P%TGL>1Zn+k&CGpNX9CQjO2Nmp8lTuxFgmPt3|4k&*WHU5iRH{T7? zm0O|s6vU++$Kz)TB;A3ksXcH!6LD$7dGj+_l5Qehxl!bDl#1lxc)ghfN%t?RsWpJ| z8z}xZ()bp)t~WDrVQMK}xmM(IfSNs)Nm!Ut$1A@Rxwui0Y@9bQlO^spqbp|{<L^+B zEF7;V6SFY20*=2)%@$<}v{Q3XJV?z(GFjTGF({r!%^uApXs7s4d^9zCBom{ZdIQB% zsoBCzfo7^4iVve^4`;G8Q+ZH)Fg1H9lc1R*iCijh)S2!dL1i=I@(PE_x|M*k9&std zQDxozfU*K{d5J@(yDI_Z3v%NiCPm#URE>uMbGxAH8RSMh7MW%SOIu4+<Imyi&#@NM zOqzcD34EQ6wU9D#`tkel^*pSFgsIhy$HUjNuohzGacQfNKIcej?82;5wl>h`c0kwD zvE)hSZ_?I+Wui2mz-E7BVszt&;Oi+^i$V;_XNnib_XBgAq3aLGjcphzpV_`JZVAk7 zfUYyK+2hPaNvpGJd=oIY3cCI$x$zJ-I~RlPWwI8=R{(SCDUAoP$QV;BZrx3vTSaMf z!&;0n+r_Oy<+wC{ZocvQU93em#(TE4K{-AYKev+N@*0Dptx9lCPj-PZRJ64poSP@R zG-6OiYb7{0O?IirP!+BJfOF$yms$)eZ;f6aiy*tyV5ss|A8@XZ>{5k6XIgiIa~<SH z8S}ViJQ=!v2}^#@{8ls0fUc9VWC62XJsu8S_s5b4nThIg5_J6>mOKCo^hh~O%S4>L zP*Rb0Z9hm`Hqv3BHV`chaURgxsi7kb^pwcCUt6Yveqo@;M9zGzoeKJzfx3yDdD=21 zG(ksqLbOaYYYJrPkc%*lg^m_#d*-1MI{GU_OGQUNf*2|E4;|ema{gDVn1k-B5LW;- z7danCNd?-6d{D4}*aE1j$k`nw<!dA6ARjuq9-<{8&PPyEp7voch?77+st^MJ-5_#4 zh;A7NwPMJbj(%#S-9t%Zpg;`$LPr-GX&~b4ijuOm5wlQ>68Rpc(GX{6l=K1ob^%#} zXg5%j2yE9Pa)<_?Bm_*<BBKy34ke9($F)c|M2kX6BjC4M1cGQ0C`kymYmicib_FF3 zgNYg>3!+^{Nkbrwf&Pm$lxt7TKt>??8foBYdt{IdKx>hPQtc@j)Cr)kkcJX%&oop5 z$o(nKgMx_uuwrR-r)u~jAh(0!N;p|;L0Ml|v3{5h$Ni5pDh&(P52wL#g`81I*l+sb z1UN32Gb#=f>xN_DxM!R#Y(a#wx}PrJMRD#DDCVm(Rl|O8TpA~<Q1Eb>=<;n)++z;u zW7xO4VOKcrZ*s$NPS(V4kAHR8_94_rJ=nt!6G*B9Rl|GWI40S-O>l}I#*$PM>GF*f z=cAm_JV8%y7(r6~i)wfcApZu6yG?F*D_Gkbmbfsy6fa*(aX!Gw8Ve&V46Ebi-%*_1 zIHTEuQ@k*ixY~>^pKXl0!x_yI^z?*b7KT^AaW^?xqA-DWcn*pKIax>;OFKLU#nCuf zqhSQ?FdvGG=46e8VYI_<pg1ZgOBg2543|T3VVtbtFqURG4~h%sWDSK8G{Yo{bA=#c zrus)v-b{9WB~Zw!m4LjS>|7>@kX82s@(Qx^OMzm#x)PATK<Wp%57nhY)nF(f+XcmD zAoY0a=rl1{T3VtSd=AGxr*4@h()5E*;8-?wi<F4d58j7k^Qc=SM6GTx9*)hTZV?lY zOG|}xnWLz_i@R1?+CZ1>fMV0Bq)Fm$($a!u64jreW_=`Lbc2WB*c9rPLau^O6fX?! z2V|R}*at{`8#jVaY+o3(1Y{eaSSB@VoR}ynbyf{-0%WV8*gui_L)5HXu3ayYwJ^8> zkgXThAE1to5yj%t-E`S1QN0^=%NVg;Tq;x!O5<hojj?yBTe7*QW=k8CgG2GMl_ICt zTm@RH1Z8@}3FbzirTw669&u{qDio!apllj(s^>;1O8)_6<A_r&S0OKr24%yDQw=vl zUg`tN`Vglou41NiHz?~s>Se^^n!#i!_7au!p7^b1kO9S#sU!ihT|F2M#rji8gTzGj zAPI^+M<os51^T{nI3|<q<b@>_vDWtEX;L<kA+>>G(#TE&EIT#(2t#^`;?&P7Q?Y+x zNRLsR_$)gW`)h{OjpD>(l_}X1bm>kgCKH=Ag=gvdF2XS^>}VmYXP#X`m;MUHq+&-u z;xSV8KXmCfiqpR=#T@&ts?QaWnp2#PV@U<9hkU$Xq0bhOno^wHv7~%f#2nj)E?p1B zB$AzuU`ctbhrM{5g#Dwc&j65apg0}GZW+gG#cXG~^iyNZJuGPqFA%eTp-UGUV?eT# zE0&baikM}$DEq#LV`yY2XDsOh{@aDVB`D?wmL$TrYy0F-41gse_(W~rD71WmKpMp# z*Y<ToF;Q632>x4b9|XljU`axJyQZ%cin)R%4dW9veOXY<Wh`k3Ph&{`CD)g;PR+25 zK<R68J%`mJW6J<(ExEpwbxOwW1f;LX^(CyHX?6)9_809Rq($^Q7fZ7`RXrC0u^kj$ zf<9x@%KDs(^*wAj`hVz%)Hzt+lLki@q9YRL-}F5RaC9y@B6b$*dSc<|XQ(-w7NN}Q zr;B%q_IJ?~^I4gy9zQrb4SiNfd$>$=@ir*>F&gmE`CDC&D;)hdQg<AEHsLImWQD1E z902iVQJp(#KH*#}$-=98Y~ko6)I6W2=yeX3WLc|vegMRVQ1l(7?jRa4?)<GJ>j+)^ zxiLBseU?M(na#4Mi}j7sf1>6&v<RMau{f(!*|P?YzK-mFLsRrP2aB_e=;E(LbxpJ$ zG)o4G7mzxLb_&hv1jVyRT?4I0kyQeUB}iQz?UW*m35q`;bzEAHJSz+ozenn-X{Y2_ zcu?Gn)K$`YX0oh7aT#hp<c!nw+=rqsqUP_Nwd$UDD4K+t3!J&?o=_;-4>ccjrm1`U zplDxCfXupG-w_T>IzmxT$ooAxBWyy1I;~RG;R{TjrPTdLSgTHRW=wig>iP%|RcU67 z$)l9IUcy>c+9G|@g;Li;c&JP(P<4dEQBOGmQ`U*P4iX&o4|#tlp=>_wA63WcW#R-B z5>CyhG3k?ADRu3HwSCrFNm?|0(j1CPChtGS37D|vO40)9lN+I^`{cS-!l}8mBdU%q zz~pKuDuKNJFlQu}aH`iDBT2*4C%=NC{^E?}5EQd%N@d3;I4Xe?kVAMln>L~BkjGD| z8l&RLb#Dl3dDg!zbd1JN&NN2d=9qu5)@nO+P!xk>F0$roJETxlJjWcdrfEBdpr}}m z`KUEc+wl&HisYD&SZg&Mbx>3|$6RR5)pR_EqCz?5!`3uS#}g<jh%=&2`vshABkwOK z*v+JU4Nk)3{TxD>ENudqtRe3&CD_T*T7bzi^8OM+*>rLkW8%E1){FYA2xr%CmMBeb zP_>o9k?BaS2ld&s8B5=m2S*lDpGnOK`nE@KWC8V=#0;ZvyA4O?P@jp-1iH3JI5HKf z?Z%x_CU?>&>_xSmxQITpU|pLp9Qhd8*MW<ePiCsxPQsCosOBHdv<q#AfC&pI@*(wE z0j`X1)-Fj7qfeMXkq@ZP@^N;2Gwwp0B^>z|QhS8@EDu-KYj#|cY^G}a9++4OMc${H z=i=7RB`+%5w!o1$kbNz<ve{&rvh4>rl8)56QlDkx?09Clg|@NyiEl);&eUgFIJ?>8 zujvz?k$p|LGBkMtoX{ZqAe<eV+yYK0kbMofGDUI$I5CCntHaqTlJ9~O2(pihE0ZS& zf)j(tzG|GEJoyMX(Sz)(#Ffn?H^7m9P)FXI{ibP4fFdtYM+9bKbz3YH89*HwG%HrO z1woPLsUrhs!Rj_&=vpb(TxP_TCiJVCgMcx6=o*V$JAmowGa^V6d>CVADEt1yC{zi% z8Dk!leSMe+Rl<*qvBQ*oy%>cuK}jETqU`IzJnS>#>Y790YfrG|Q${phvllRCL#ge+ zoa!?=zD)47N929)Fn03^cj;pmlzk_#BL$eAxrAs{^B!Q#6uOp-9m&T$oJ;UgHE##T zOep(WF>CooG)cm4RkJZL_8oNXE_vS}Y(Or?ZZ6?#RrAmAwVUMH7EBM%D0rdS7{10J z?`y_9<QZ|r3H{3E$@not%03tDvkyizaYCiC*#N$F3p*k*5^I~)&^0=C1TiYsHcvv= zu46|=je@n!1JJb??8u1GZ`$To=-M^xh|ox^X|9H@UB!+J8x?Ds+0eBR?8uN&u%<Z; zx+cIpoJlYP$KH}_Ut!kHBrF1BjpW)g%tKj%5E!c>*S^H8l_fL)V=u|IFSMm<Mj%79 z7ot8zxSpt4kyhTfSfhvi0Ff0$eSs3E7w_reESOq^5~YjB^l&mv%}0rnMMFKzfT`Ii zQM}lugHHpZy&_z<)>g@Ap^NN9+>>bL<l;FUd=e1t6mj2aZTl8?=wNr4`Zp?^STvL} zKoz_nranZt$568ZZRs3?sDd3}Y7)XdiVDXUix%LY0nu8BdJo|qM$PiH;k}DHBn%@J zyd9?ALU3=ja^7Ox0<4P{trl^cwWYkpV+-(HyhzhX1rV+aD*Ui`Pt5p{E>bj7;}Gs^ z?V4G}A}IQVaA9pS$`FDg6~b-Qu0a_MplAl+)@zd$j7(7U5#iQq*C-euC>lYyHQHo3 zg9wWLL%3DiHFAbCDC$DE6<WC-egshi(aiUYCK~uQM5Umag2h2K90^g0Xy)Kzx*EO+ zQ3+_~z~VV|eKj2Mk|Qh<c=gNDrLirldJ-^tj)KE;h-`tEIu_3u{hh+?7j&y)tr?>y zC|th4OBHLx7(Gbg@&w(=SQ&kE9|h;Z5l+d9boD`SL<UDVDcdWJt)!1yQE(?YX3M0j zcLzp)rEvchteKAm>7!erh!ireUC_;!4N77IRrPz|2qqcVCh+3R(j~D(`shXq?kGo? zC+O~#os-1=qN-m5jD7<}+$MA13fA<>?k&_W#gDF~;0|yy$7JUg>ecb1-%)UG9AUP= ziziDL$C}YcXB#8#aD-Wc?jD)RLj4Lj;wC3kBpcM$&p{C&ClisSYwO3L2pT7IRCZ2V z&xazSIhi9e6K(w)D1yq#6v_rQ_2p1R7$<XBmaeJKgCc@CnM1O3ntBoiS0M<WiTx2A zZ6@Ph3FNX^B`{h~#+3=eWwHIhXayPfQXrp>tprA2AT@*BWOcYuRTBybcR}G9NDZDU zoEBb|hL@;np2OkKsb<r{IDO3%czF=XOe)-~uelG0=TXfhLXEB_9uCi<nu&$Sq~Suk z&{0&=#a*KeZ=efzK;h|B;-qkgG`wJ$L^UU<nIDBFx|&09cna05kSpg4rxt4V1H#Qv z_yeS-jT_Dvwk_0H0>TYYIFp(=F1#lRcUIMG0)(re@IR57L)6S%u5GU{eW7LrAY3o1 zIY1ST38%#2yXnGJq8c}<*_g0R94=JWNaKa`jp28xX4zb?+3*Hs%}~5>rD*SKt{e?l zf<isA7v_ee;r*a+9@*Q-l`Fz4LE$vAx1JlW2>%BZjw5?(xpH}UG$<TK_SSI2<>5Y{ zun*Z=#g)&5?*@e(NR3Q*OjDB#g<ql)-wO>jH4G@6OeG40ZR(nEXxZF@I4HcQt|39; z=cvSi&Ov=eIeayfyw?j$EMl$c?~IcM5g9`^(A6~Z-T{`aI_L;v=oDpdKdV#~^b2F? z7-cV?WvdGMnla=?*~?><DuX8ILp!0Xnb^#!&U9VHMffTUD=cJn&j*#zhkk{wrecL3 zJ58iP|ImlFQTG1JlFtR*RaLkGL*|sd$Fal$Rx-bHaG}B$7&4{ob;lC(S>ba*KJ=mW z(A7lp-XmCI9xJ)GbFU=mM^%LZFtmZP_aN46yi+3%a;6V`YP@<6OC0MQ6bJo6A6jU< z3X=D_Vu{(T@Y$diWySaKRT_D(GnV+F({Q0;3A%a%OB8jsX)EN=RRBvwI`3&KMxm>5 zSmJ2sF>OURbTtY~9O*RFRzT3z2rN<9*`}!|g|1$~5{EnQX)3aytCz9Fq0TtQ(7)uG za+cRjkP$fanq0$Sb<2Wez)&r@rj+F+3+e=hUXg1`Sl!b>C4j(Rv}ceO-rrCpC3ULG zE&>8OD69m1%BGd}H5BQ~*l^hY&|zuAWqny199D=9OB#0Q%M#$QTy$96Fr_Ptg~Ohq zo7uE*C8?h-*d^N2MU&5yGF4@Ma9A4pw2+p(Omx9EDC{xn|FOYPSLO<b{f$%~N1skK zOi4&#sxk*auvt{?j&7c4D3Xxysxn(RED7D5Pm}jHT$YfmRb@W_0z)Y54pMy(^&f9A zl#q_l1)m$k649qQwC-7wHC>=@4Eqz^oI?xeH57?SoyxK`aM*QZ&l{S&r{S`gWJDKy zC8}<sb)zKN|KFBWL$u`!n@&(Li&Qtzx)r1nP#{67>u6pI5)%}BK&rX4ZaFCo6ud{O zt7%?x5*`%vBGr|&?irFbC@4cW4>j!7l--BIE~1;?H)zyl@lY5E-7ILRQI~~6VSec5 z!G<_>nI9D9%kh_$wCP`j0|SoGl_%uoMCV~PAzbZSse0iH44kD@|3_G(_H||qcv7nS z2+1m6GseJCN_8(`jmmeCKHx&B?ja;AeG61C!r?1VIsQ{6_jE5v@RfhadpZfF^S=M6 zUYuSgj(;J+Yu=YhAJ|H%ZYQkiE73@Nqv-?Y(3NEJo?{&UiIN(LZy<eOBXs3Hxw@6$ zHRpRo^<oP!uo}9OK;CnhGn`BC>Mb#m_~PjUUqM&?;tb~y<g>m?<%>=5l?0A|4k3Bg zcS89>9zURJyb@2YenVKpE7`H|Vl;kWrt!*c&gKs#8tn@mbcMm$ELwILeIbRe#B(+y zC2`spL(r92&gRjQz1kP=pevD_%_Aim&5JtdN;qe;u%t%w;yH9Bl(TubBu?|<33Mfh zGpzRg1srH2?<ptP&iH-}4#4C+973tgcLErwA@3<A*vfocfPpgdo)SXov{x9T|GcQm zi~6((XWO57PwLg6Dk_CT(~&9<>eK1WbbV1C99m3$D$P8nFM0%r7Eqr`GEMYFx8cwn z>Qiy%pspwq4oyX>x^Z4guTFZuy{M`a7v7h7Sy$u>hdxFeJ8<FiUQAWdNjUTob@Rte z%|g*3px*)teMo&;fGg!^wn@Cg==~;8=mYB0e4H&mvu2^l5)S<fsX9V^nujax%{(UY zGE)_O5A?5uLhn;I=i=7Pc`Yi7w!on`5XTl=>8zJbS@Z)ON=K?(sZX<Uw!F-}3q@n` z{ojbHoT*Q<aJI8vU(@?PBaThDQq*e#?AIWU5Y86$Y61Hdh+_k;RN++s_D>;>bvRpv z*Ilq5K^(cbQn^<k*guFkR^x2tUPr+G9>lQ{S32X>0EhlT9e$s=LsOIhg<hZz3o@tF zMX^w50CjjUvq)VO1cjcb4i98rRu}m~A*I;OGG>j`y<e3d1n}*l5Ei*=0Mp&aJSTPc zVerpT9RI_}RqnePd=H9aA0}Mo{v(5bnBv%rkt^MmbiNbCu?Lgf$E?xihr%IGu$!lt zak_jjfNw*o>cDvQF^?@19P)_l_zq(`?|zrgx1czlzz!E+y64=ZRrz}Wz9|%vj2+I$ zB+t3~sPeZ1d=rXeD`pL!87Fbyt;#nB_}@Vxcgc>2u>QFi+d22IRrx=|AveiYEtqZ| z^YTKzF&x4mJ2qpIdCVHId%rS&GM;Zpadg2x{lJV9yH_gn4d9Sl*kKWKN}I2SLg?6G zgjuA`pM*lLV~0nXm$mr=P)H1Rc!aq_o8JnBT*D3vnNynlYAEC?c6eEbsL5wTAtBh| zA?9UGei{@az$DMOn}Phd<f>PgH8bvu0Kbu3Rfb8HxeEb)6}jpqW{u3f0pPzRSG~}_ zRJ#T;czdDXr%0tIx~WJz(+Ae*v;6>`6%_me^_vFo>9e!o;3Cvd3LevEC&R({sGkHh z)Mqo`;B3@S47TaAPXoNYqTStE8>MRtoo6SiJc&M;1kdTRPXfH1qRMw#n?7)dF54Xr z{u>>d01c(CpelPm9Q+WeJce#6(7v2=C91L=;NT>r@+dkq4i+tB{|xZfLc#Zt%ERcU zJnhw9aEHXzNR_=E4!(u#eyg3~fpH7jx_I7dQDw9CB@aBdkUbaA(=-MHNTmxp^Z~pl zcKwmgQ#1z0A(gMSt7lyoLEa~%64pLIU4<Y|g;X|bSEH^CAa4e#tk*tJxMqU9k4R;$ zcD2G41bHJ!WsUZM+?5FO{zEFOw5#Q=&LFP~sjSe>=(8U|!GY+L_n?U;`!*CzL7xb~ zL3MT{6ih^)41($E?2Aw^0evz6o>M=qhA+S53>67H`@_<uds<XaNkI2G%5FTzk1g<2 z@4+*=f2UOT3%XQ$tQp-WD3yGHr)rN8qx&GGk|*d=?vc^E_fd9xaE7MBigZtd;L91D zp~<kl(mj>*ZY#>}lblV<q<iWPbpJ}J{8zAgeh*0R-U?k#A@6P%bn(LmC3^x@Pxru= zndIGV0#E+`G4<ZjY`*{Fe+O*|9Y&1MWfYN$k<_RztHdZ;LMmj4k*KzcHleRpQM)Y_ ztGsG<h$eRsRSmUk){LO2y@Iv~t$OwA{W-rset$mibD#TM=Q`&;*YmobkL&p$)8`PF zunrkdeRJku?m&AN*<}u)vX&`H?GWLT9%4%y1|+)3(VLmu_c{)G>Yrz3jFVk9GuQ8R z9PrdX#~jQVu>C@&&mtgU9p68aBAA2O1MQt;@Mh-jYSKT<j4?8ABl8EBL}O-*lIa_n z<6KfGGh>A8vXR-%B?U1vzLLQknYCO}ATwj90hBNk;F8G9j3F{zn3>BZ`7kpE$u7c7 z4^Mse07Zb1rghX|^;rXgMZ_w#;{#Uz-2i0~(M#=MWAzyWf(1k=wd3t5n^%#r4jW#h z`%&BUxZdfbY*gUj0){jXD_*0&srG&osIY(u`AyHL_AU%mn8zT0(_^c>a|0FTFv4H- zd)40AfeN!2%X!#vSewBZyS+kU6;>72rq1=I2f9sT)aPOOJJA^Xa$v?32K<YDvD*7d zph7`~pc^x@NjIXlDRR9NM%hgjlx|GZCS8--CdKuR56qarM9;xY*60e<HgT?Z<S6@M zV8+V|?Jf*`lfIkUc6f|^K5%dxGcya*4{H-2W1kCD7{@ft!iHDr^Y_|*e)Qh)q~}!F zeuq`9(g*Lg?HSYiR3TV_t<u_lkLp!dP*z~Qw6>q4dLJtU%dk>f+rp^chYHFvEQQuK zF{<~zLa+o2qO}c;>TxP4OE70zThFLoS%p9V)2Fqyj<OdqmO^?W*Bc+`#>eav(!;sl z*g&@dOw&3&fa~oZ=*GiDuhTua-Y$V|{j`8^CSxVIm)5_A)#tj}uB`XaJi?juQF7tN z+uvB{G3Wx#G@MzqQohDbt{&*#(E5!v8-vc#w!@e?E9I-)+tsc%E5WN=|LTGEjksSs z{Mcf_j(GqJ9V;%_u=#~OHAXJj(E5c{8G}yI!o!$PM;G%q7B;cU+yTp#)NWcp7&Cqp zI!U{>hLz?HG;X}z#2(=en6318(O_#>G48;{m1|x9BZ8#Ipf5M#HnD#{4%BZf%yJd0 z2kJJ4cKVoC@4!UC|Hl=rejKRXh@0h#R}Yx{k5H1_;fYq(SGd2q1JxU?8`zD};!#=$ zjd^!veVIGN9r&<evw@u*E&fVNq%m)<tS@mta0fUWtwQX;Xz>uuj>hy|Sr>3KxdZGC zYB-a)5-i}xaR*o%;zDfAXz>7TiORgb61>Ru=MFG7;?}Xu(c*qu3AM%DQ;(NM=|vUI ztF^5q<ESmC|3^n<6s9qHP(}a8cD?=|=0*BH;;Z0)@R$1U<fv-mOP#@4)XcBs;A-Lv z9fiU)%Bos#Sc{&gUVED0r&`upvRgGVRVSk$Enz1LYdOg!ChNFOq4xbsHmxQ;#j>q* zGA2<ob86(ZWL;{Dq9@xzM`03GG^d7IONLbw<8&1A(ga;7(wrJ}E%^wwWgnLqfn{IN zahpKxn^Q9jYx(aZ@v%<EzdQJy8Z@ls_eWx+j>5lblrN~FS+%y+WXWpcU94VhnxGR! znpFdZwe0d_*QB+ts4dc3HnD7On(c~O8?EI#mR*(BzN}VEYnj8cE7NS3)#7O_->~e8 zwDu)6GOdM=WtXSf?%;j2mM$#2G_75r2Bo#U(@_{iA%)31kRnb;fsYcdC*R`|J#-WX zP@MH-KQ7TtM}db5Sx<K360fbG7fqUeCk0TOckslFQC-^=eqktOUAc5E=^C~9>KM=$ zDzUC?65eb(2K0m`tSLjno6X07u26|J<*l&hvtvMKXu_&;{#sH%H6e2aJ!2C6E6Jmp zkTR;<7RuOR4A+tzccK+^L8$Fd<@m7X<6}T`s6>y6a85ZWtXYXmNF3F*TtQEoaORW= zVa+>~V(ci;7;5`N*=#LInc6JDB}9$tURvS547Ket0naI;!kTw+2{9}De?tY|l~-4j z6siePE9iei6TT}?t|l$rYhL?ExHk&ahDv-fQQu4&xz{ZCNO-s+|II|Wk@Sm82>)M& zma~yG&n4Vjksmb)*+?4X5`tIcM@*0#NiAH$trhvNCPHCSC6^GeB0p@x5hlIi68u)= zhfG3*Nf}&%_X>YqS%uczG^z`PY73P2(weJBb(x`zMde9qGiOw{BvgA*xt`kmZdA88 zl(8U74sS$z0(Q*wB3JERX!N{n)mmIhHJ*+IY?$c_Q2Ot<+-m$QX8JtT<#*h(YJ5I3 zeGUr#9rv&r|1UFr7Rvh-cdHuz91C=~+OEpBg*C!Gfi_o450vyP?pifI8LQjsO8F@p zzZPd*jeo|JpMnZE<1SJgk8<%z%=CO$MmMx+PL>?j2<GAwnCW@0+TBpjW}Id<J_ZYz zG1GHh8C_7zIa$bBoEWun4;LTHlz-_e@k4fNHBPx2e;=z`@5=ZtySN&6q#7TF1x%Ug zIj-7YpvcX*@q3LTo`4B6J=<0LyX@Yu#{aOoHLi>m*-2WXaEGpR)n1XUr!_8Pb*o$% z%d+{j#u=<`rK|R`>?2y^C|0+^m9ZpCpf&Qay5+9gOR{FP#!jqmsVhSuTUCuGGWmR{ zx-c$^i}zyk2cR?Salu^tbtaz&En1KB=Hk&zem|779(Rq4D+!cegmC61d)K19sr7I! zE^U<6f2O?$;xaGk9A57<2Jbr~Sd-KbueTY4_ne`uNlJ&;UmAmVoe```u7=eckHI_7 zB=kTyzoPxBaT))kQA2)3+fnPa$5^drY<nP<J5e?6*(ki_jP_5-M`86x$KaO(`T1ws ze@F(cMI)&7@?2bEAV2R+!VgL3wP-bJJ$MY>ct)Zd5;7;LzZNY^tv|rUJsO2y3`D;? zqy0m2?^^VUYTQ4gtok$UUm&E-XxVC9_$a*YOu`okXI8RzHG2A9{k}2y*}(K~kdRr) z($#3uYTScB{upFtBYK^S3k&3r?wsF7Pjhj11NkEm(nfSY7k4L+{}rOX5#7ke-3;Up zLuQ20<y;&kkUs<|5=IwtalV24K?q40oyx@xoJkN!LTL33qb$}L34!Dmwf^HM>)n}z zMaf}mec33BaYkZMvWi;I7-jL~64phB*CPC=wQ#Oi8WxVyK^Mx|u8X3=Yp-~+dga>J zM4{oempxhCa<*%tpzzvrp71Ynj8)Oeuv!hSSC&rt3}}b&#Z`Ny>F^8W+JA}`ht;Zb zy`Jmvr$FF&(Uh>-lb-O;a)KYCkJchgskKU;a4Q{jzFa~#h%+Zj2&<Lidd2IcPk^H5 zL`~Kr6sWc0T(3wh>tfdB<c63Br!=F%wq5b%zavDcwNjq&3p(->S*aVOajx4xSZ!+^ z@N`!2X2h?1rSC?yi_a7<i0X&cihHuo>Bx_Rnr20NS0kqH)$X`cy5uCjfYdi5M())L zKDs>&<c)#An-ROKU3b>lrVX2JkZ{8tsCLQ1LhYE>isaf?$`!*)%sq>{2E@x&L?>xG z<{s^0SMmy1FO0eQ(UpdUbLF%Tt#JLrYL~I_DmlioXg;lW1`Ds0(_R*RM5`Ue!Ykw$ zOQHl?Ee{JXm(yMnHKWybV&SE741s7>wHHx`&j+asBcixoUOM~%(9C*7FxTt44xa}q zT95GNdZBgr{UFkM#5JyG2@|}yRX)Gnvv%K`T6%m;+xmarpJK$Oe=OIXFtD-M6CX9d z?H*pE&Gk$j)#=}Wu5VuoudyG~>D?$^+ddgyV>!m`+5oL>{|>7;JI3tXh+o~#Te}}n z?U}jaI<pn^>%K>|XUeEf+eY!v?c}xljyutcYrzKU=XP9J&G9j2^9HDAYkh9}c36!P z*E4Zc$8yDWa;to98y{99!}W|EWj1c0er#V_yRS^Gk>Gkpjp|%l8F;yY>e@=(yuX`T zb9jt-eq~^MYkGEDFRVs<jCpQFZhWh8c6(^`{`|d~pC3IRt;pqUpuTT^SiL`ZuV&Af z&ZmvVmF=Ijn%|>3)f?oM?H*dq&rzL^8;i@^Y+B92sLqEC^73{vt!4ttXwZ4TvADE- zn^rS4s>9hJFKs)~YI;U>$~G1S+j_K`)=}o-|4*<YH@Jlm-jC&a;#TDNTkGrh?{Pgn zR^$e@%GdAvaXsBu<ak@b>-XLMH}!Ihi{<m{J!@2NDi_YhreT@=18qHv*XGxq!nsbK zI(-9eYwKF!+{>QK?g7-=y7>R6X!uQiSdIOcnKrxF|BHI78v7i}>=;0;uD6D9;hxO4 z0dmix_b=+T>T4;1*9t0ZZtut*c8m{<UO%pVf3PYS`<yv2wJ1Bko*c$K>8bO1VDZO# z#2VF<%2o2zv0}RB55#vb_HR;QRIa?Ijs?>-Z}Gav{zYRq%z$H6=MdT3Xw!ZAUdcYL zOC+}V5;HY-V4(|BzUiWd4=V<9uZ50k)mDgq!5}tWrtcNok7@N)XqES1M1Q$hVE6ye zjUhc}9PutFRQcoLl!w*Ij>Kk1#uEI0UY2|RQ?xX$y9aY!uwJoPs0CAYl3YGl{x%F? zVYSQTpi7PJVE_-S-8ca1UJRaF*IT2?Qn?4X*hg5Mi%i#-1JEDqd)BBYs<HoIne_v0 zUlzSLsj}7BaI8+<K>U}*^4ayCRqFIT?mkbQv&^(_i@~$&>{Y60HTD5>U@YEy9e<6B zy|3dkw%E8qUE*S?OxMxH;0@{^7aPo!8(BnbP+PdzTTHpHi|ayaB^MjOlp9_w6jBRC zWBgvr%*xy4)+;EP!^Lrjza1|)d|O;IbKkDs!@G9L9}pMWSKmCzh}|!<3)*?M__Av5 z*_d3JXkE)A`7sxF$?w{A628k|uf*`KZwF4_*mYU@eb{9DZh_6*8AwLW<5r#VABdE# zW9i|qyQx$oQ_aZgaLWC_tLNN5O|EF(*Q@mbac2{U)9Cy!=<tlG?T!rEy9iFoY47G= zRQ+V^F{P15bG;Yl?*3^PS+hN5xx9DE@o}>o@I(K>En}^j$L&vd%^165Z!oU!ZT(v} zbwxhIGSc?s*&8Or(b~4|yy^TP--vNJi5uqMPCTiZ|CS}f9X=s2txn2YG@)ciG6pC8 z4_-^dFBt7z)*HItJoM}0PyrmWf3V|(@WZZ<C+{5ai-zAw#$Ssh$)OMXTy2_6^nS$m zem9u>_EGBQfx%GNI#%k(yObaQXdS)OF#j||0$x!+ZHi9PdsN*$tNOD&$2h2=QZ*na zWk$;TzFGR}os39r=bYV##rC{>_&0y8=K1!a<iFw@HCO&#o^f#agB$fs7BbQQB(9Bp z6IzoTS{ExB)sK3==>CTP`xn1z^w#0u`Mu^fk7f_`{CP1wmh$I9(ie*#V$oxE-<x~3 za=v;xZ=vxH=8JyUYo06w>ThSx{qyq=I`d1*PhHiTx*xM~A}<vVXc!-Sa1i&P?#eEM z-Mhu>c6EvF(U95m0KDsh<bV6e|Iz&IDe_lV@YhUh?U2f%$W`S_ePn}hqq|HowXnJS zGnhSU=c6oc-q#c~?>V#YWn|y9zW(Tc@r^B+$Ik|2ORmq++7rI4ESdb-bXbilCC)Lc zPNqCyH<}jvR_`T)C~CLs=+K+p9?tCton!6aov^ToU6Z&=%FDD4P2W`4j!F|&O(Dq< zbqm4M_sSd}54>c>U{^Vo*Y%l(_vOYv=>sv{1hW3U$~^led}j^Pfq~O1?oa(>*EUxG ziLy{^UCS=aKi#?SQl|NZN`~Iq0h<u_r1ejJNGC-u`@}K8b1qqQCLpM{Kl8tdr$@+7 zGLP-Cz5bJTciiMZ&BTTy=MIH;>~l!%*`sPZ(~83mwP|7wW$+9eeu{%~sae<Vb{;>9 zD!NL3`_+r%arNwbo|J0VDZ{UyA~!`BF{vvC;yy?I%W9c=p;9V6DSv3P==2Mp<(VX2 zkt|Vh<3)@7DZl3*AF|P{x=ansvVSPpN|f;;=glg;#|0LEUEzOse$yG{`tAWki+fkf zykzaeR=>Zl?h{Ry(~!-STrHa)d1dbnhtyg!Q7TrL>PLyxisqn)h5;5wUP>PTuYfP) z{6{f)D>XSh|9*c}FYsA4^h33kiN_aBlk7%Rcu6lu?ZYn7bn459KBwqc67ecs($-Dw zhmZnc{W;9Bqg2pG@wVVy`+giZH+^jwCMkPC-$X+GbyBR5_1)_<d5r&PakE${Cd>?b z`~3&EL9?4~OS=!;^V`(LlppZ!I;v*}7K!z`VQD$IIr`}6^r!mCi3|LFFXMN?{hh3u zt`<DGT9Ni|ib<Q}Wa4-Gq}zK{?<ss^J?weE+erTJzS3q*Yv)(`%9HuW2E1EH>HZBD zp8d{vC^m(jhg?qED>-}}q3-AOWi5R>d0fHS{$&G!vB(OqeI)5lNjKS3-f~@gyl$Uj zz>guxmW$L2x5KB(9V@|SCS(*`TAN=s$j!z(ayH%l{dJcrO;90AFp%4><E!n#BdhG) z*JukSm2W5Jgda2heHYvr!NS*@9Hx^8zj!J*p@~DwM|d|;iU$<=fWC$=ZsXr_eZMLN z&qBNN;4SLP&-fOn64EE>S9!}5U!H0BiGwGvJCwNsn|BUnuYrS@Nv(jqT1xnt^XY_r zp)5?`72~&;$}&pdAli4_XYrJ-i5Z#I)JAm2r;pWj#clE~@pgoX=+wl%SWTUL%0J3U zuFbCDYtOm-iNj#x-{5{!Z{rOecN&lm^+QBu22DqQR=4so@y-w-=EERK&RHw1d!5a^ zrI4G?^!0AJ87kIa@z04AGsr%}_jrc;ou*RY)>C)tjEYkA@35fgAJqM2hLr~k;+1c} z56uREd*CJe;kBg_hdY6iZz5+&<wxX;HnQ{To)_Ly?QF!^DP@?c2*NXuiB%gO^P0T< zuXf$KX~>`B#$}@L)wUr|!2_Hb>j*dJHSDK|?xT<bO-&O`<+>QBxI9(=Oo95z7K5f3 zHT7dDxh);)UGtqqFH;r^BJA^ShPCc0^s&*IYJ<O?nm1fXZ19Nb`!wqK^uWZNgUHoG zPL@^q(weze`L7P>YN>0+?@g{saCjtONL5KU|Lsb=)|87|V|9JfyTT47Dr_l0j}Aok z-kS7On$*?(ZzWx4a!|4Pz&s*nVlyI~qWAos&x7;%&B2p#F35B7*}G>I*q5I?R&#Tm zFfkMjJCh_oTi?dY{4U4X<ymoT-f-mQe$Z4;i3o)LG5>eW<mH5?9t4THs!zG$35r*u z_wGsFFY5JpI|8w%K~%#Up}OYV^8VSz)|EX7l_cAM%lxadus3iA9fW!s|KOVwZ)}Fo zU&GzCek?hwMlD8pG|WdDUN1bm+y2nex}o$QwXU~!f?GKD^9!`+@7UER3!7hmUR6#k zyc(_g<?h3yB9NYu?fk5wuhqxYMoclg9BYM@b|oDYR7Ajk!qO7)fZ*?)i4I7`t~7*G z>)BQHfXR!;<?lz2L_3ZLq21A9IP9VKF%NDT&d&xd;M1Kqw9pGVW|dXI<#$!f`)oTu zuEak2L`ypy+-&>u!-D0*Ne^Z5lj9!T!o|=O%>y6%#q@O`-Vf8x_z4uZr%nV$MkH6= zc)o8`SE-f9KlN<C<6nc2<9ae*jP{^*N99%<q*Qens}xl~jZAv&Qc(Sa=2lS)gfGms za4rY!ax*FGd_8{iywxIZ^8#c<>A!^20qApzN?Hn{!&j`{ddC<XLnzr;UTZu{!TdGy zGD-QioO7zIN+kv7(Gu|Trruz94r^&b?ZUQ9OMIG`uzzpt@L`}l9kSvn>If}}?o8Ra zohHT1U9eU(O?&Pb%5oMxpX~BYX(Vq*lFl9T9sRm=T2%1`#V>Q(>oxg>Po!1V#~nOI zuPNEbWg)ixNxf<HkWNbqSMo<uq(kz$n3dFna}N$Y7ymm+{BGCGUyFV~{2PaD2v|$1 zKNp>lUy|@R5j(29CNX?-7Xp4mlw5V|vBk=fyZ`J`eCS=+AW6$^*nRWD-9hP)cMH+m zmrk`;{qd~Xbjb>J$nZ=^53Nu06gj<ON%uSqU5V4I`OI|v_oK;N{x`Ymq<=znPX64h zpSa^I@3?H>u_ZEcJT&d6@rWPsZVLhRWUDJ;Hh0UZq*5^B#yRaQyislWhh`IWR+Nv0 z#X7py_;nz*cKI7pTSX_?m#5t^>TJT=^A59{gJ!0w@GI*%ugu?Z5;}*!jU-!@-t0Xd zUZ0Cc4tWpwh4h`gafaWLEjhmD%^6#p(peo8Nol<EzFWtP`j<1*@`4NM+Mkw>ah?^| zpkEQGr_1cu=kvZVpR<P34i66+c(&h{T8y-mQ|De^|7^K&cl0_T8~19@ThyN_&tRn4 zw~!vI7w?|_Lmkf>{oAXHJY8cuaCoe{@aWX(PMUscGq?v=8SGbfgE9AbRt+_Bm8K_* z3vN(<<$C#_OaE&1R%!%8FPNMD^T{mr!{K%6{YTYgl!qsCe`rtq%ivG3!N0DLY(IE4 zM<s<XhICVtz+X0PvQIm1crHlUhxZJnJ;?np!>-XOl=;oQulD6{nN37tj$B7U`Sl^S z**X!&8@j}E2RS`_%HOmPsjpWAMEp{)jfDLWlg)l=Le!GfT&Pa_&{nci<-kPu!92J# zug+ZR>n@9Pa(H*_N=<!Mm|>vm*H`^V+lB)k&Q|u_D}3GiD*oR)+qtL2?y=`hUw@Gf zH?I9QWHk2R4YK9hAB}GsyHB5d9p)8{e0p&2-g=cs(hqcgV=V$Vb<afnQcZS!21;JM zVW<|n0l&WY(ZJY8ubDjhfOTU^X(iJj4f?Y!dEn3cwuIT_y9nbvhs@tc1Cf7=r{ktZ z&W?J$P@kLb8!;Mte9lyBLEO>Qc^2_e`CpIJF}v|?v85AEV#BZ7e!y><*DybYiMQa8 zH=f>&A8-7Ybd$Q;e)qpw!+%DnE8Dcf!>ZkK<|4vA1@(<@+e$tk|F8UO*mNN>=gmX` ze*)Z+WMdsZKjUlAkz$mT|JCW)*4wo6Tp@x^?W-|%Oatdpe}FH%dQHCW=n)p-;#TyR zYR^ce;^V2+jvhA6SBv|G*HjN!#JxVWG5__%am49gM@hf$Z>w(ZO<v3={cd_C_iDe} z6TKkQ5ATHYCiSBQJ+vOO<Nkj>q&|;>g-^WNf&bm@9S{y)^B>NS82skg>NpPQ&6_?r z<ZtNlKzVHYT9MDK+cMqjpQUtnJ~O@1yT8!fqw8G4?AyO>oZ^Ft3p0E3el+$!iTHbe zcLaMO*QO?^?3}u?@(01MV&bPyxR2L14!zB~{1ChHV>$StLWsrs#G1|JinBTJ99L!j zAC;+t8O!+X%Y7xl{mYAuyx`fj$S&zU+2@v@e<(9t>D1q@E9={u==HdnF={GXI2xxh z-gakc!Lsi>YrnC@o8H9~S=nGM)b{V+;!1hjYZ}wq@xK*cFE7|P6&!d;Js|bOwXatB z&*`#rOkp%uPJiC}EVFCw^)%joxp-7{7MQvL`?bbdI6<<<EbP00Emc0&wr23|hQg}! zB6X5_VBL6qls>wB)}_d#t|$L1weRk??{5=NUrhadp9`(BD?c?bmoeGi<gFE4K6`I9 zS-p<H-Mi<cBwWTTc5g!*SM4n*2KGJlVsJKUTj`3&*Z4D5!H*V<=TD3nz5VNP;OgTm z3w1X};0d&TnkntYlV3Bh)v7ir`W3r(2j4Aw@!uid+~)WBkU4RWk;A)I&b&IMdR}=+ zAG@%-3O%y>P}Py~-)^}NCtT*P98q@NuzArwT2-j~`ku(lb*(4yA44~P<(H7x#JD5l zYaas}!e3j}-NhcT+kJG(_9E{y(XGMqg?qL^;JYidhgrTyN}S!{=bvAW*+bfCMwzuO z<2Y7Zq)~ctubax3=1WWC&@lCkC(Fm4LApkd8L0Ix82K{viX^d^C8bWI>EPd5`3<K& zW-Y*yqhmvSY_o??+i5=EG{2bX?|K%N|4ebusnwI&N_8*N-kA9>&xxr{^J~7{)74W7 z9pmhqTKp=%5;oxPsNny4@z$Z`uGewqnn#cDWBQ-eq^lJk1e4+CV9C1KCwFh@Yz@iZ z?lU}V;qe+jHRbx^0{-WVo@laPSBu80!=b;TBeGh;-7PM}n&wB!_=V2xx&W%%b4A@H zK$p=X+f&FqTlh2UH|@xt4fmtv!nfAM0I>(o1yVfyt;l`{N*Nu}IQLm9O-<*{VCJqf z@k=iRl{(3`76mcZFHk|aZ4s-_QeC8TaVB{eAEV?8pC8wImR<+H<fIC<ZTR+qts{a@ z8twb<Ye8yqkC^)8BK^_By(KJk*qdG1*s_b%pZ~f&v$`SL6Ro_?S&iHubh>79kzdO; ze~ce|nxeWVELlbHW0#)NUQJA!Mx$?Ic>IU7(tDuv+aAE7DYx%=HXcfczyA|&wQHaW zY<edC=`#n_tB0>Q{%65dy>>NS*4Sd}=-3#<OGY;I=QP-9DhZbP=lVbR7rZ(VkJP({ zEtyTH!-4}!tYnPQ2ZMJ{Q7=XE07;fq?&U4%U+q5W55l|PnZSnM<={RU^|_e^mB$ad z3ig3NAazx*A8^sw6Bl%G-!AaGeK%lAAKq0^96cZ7@2hG~DdswN2F&cI1|Pk=N~|h^ zb<8vXJJ)=hzOE(HCbpsvWsG}AcwfHv-`wElb-7Q2&NJ$h&mdE|Z<y4=*@|M<(ETnV z!)~8$pFG-~`W&|3(b$6COMf3HU0rk`t~?l#&@mRloi*ru-$QutX6=Dt_uS*#>qZU! zw9nMXuddg>2s^4YWO*DzPg25?MWMf964!}BO+HKB96Mg-bkBuYEZ*Qmv}1V{XL=2M zaAaQN?pts|GkMXjIz{KMFA|rm%R>cCCH@*!q^8-1zi*EU{3<itOEG8Olc#T(Y&r5% zILP9m9Q98=eO6ifO-C!4uZ#x%4d!`b|2bdnJWATo9dIU3xwx`1gZFzaOM@xbu^5Na z_x8n`*XIR@(2Ox(q1Bmg+1mkMuPPmKsO$Zt$=;ge-Iy%Dck`g(ji%sUtw8PH;;?^Q za{M}bNdHp*8(-b4J_p+R_>O~QtS_~20){l!HuZnLNI2Ry5WSdE-kLrmyaNwdlq%;P z%v@MqNR9su)|RC^1+7_g`rt)oHPZz(cQd{vxA}t(EorPA`R-V^8vC0@x*7lRoy?)m zROtTpe{Qv>zPna)40gNsJ?!zTPjbSfse_z0fruc&>`;Hl{KGY|@Vobs=NkxxDr3(p z?^ZUCgKwoz5!7Xf#jT`T_Fcw_BIto7)2&w9wxjw%0*Zuc3RH2Ma$CLYi*T@X5HAL} z%8M2KmsdGpK`#yx2%fYUxi&i8oqlnjj>j^~MGosCxf9WcYbB;cUV4@ue|Q&Fd(q2X zQ6)C1cK<DQ>bJPRtC}PG^F#||9#!1jC;pcEQXuL!oYAhX_L$eubI0Rvvtj!e<zs}J zV2@qDcJva8E<%UPM&Wi#b%ptH{$mGKMb2$ekKOMuiR{ZzM^^8CbI@#o{_u6J;v0u8 z>G(Hul)>+oej1-Me$1gqtKvga+HdpZ{vHlGF737$3CBAIJ=8F|dD$cM_1;f_;itpX z_C-2~LKW|B8F$?w2`}4PsnEZl)t7F%W0MQYPU_}@gx5r5^TX%z7)okZ7V7^ct9IW? zIO1H8t5gSElxdk8!@qj@*m&C0X6=Sxs`tEf+?_S4V;x7$!sH^R)q1@vm9^HI@tV*5 z`k(a2R^7jq47NK~&@Hx8B#JbfH2pfZs6p_z2)3=eaNPVC`q+=7qq^#o!*Fk{Bt5Hk z)GLYYuREtd6BDGLw!eO#s!Txb{V4lv^4`SM>A5SQq2Vhh67zkPR5W`fz74&8P;!y~ zjWk2l(3OP$>_64Ds+pH^$R^yN;i!URYk~Sb0{UdJg(~yAv@~1toAFy9pK5#D>aYLL zsl0<(Nfj-q(w1!?mn|Ng6mBu|$15*lH1fjky?K(y19=Dag3Tx1=@2!Yj;ar8H1?wE z3{)Gjdu;c=d3K^i&gSE*;=4zgSvFaBJnHQyFHLrms3y7njz0E1#d{yWEK~Gi?X&a; z|B6r(?-7|iMmKtcle(1pEhoXa=Wce!ucn5Fx)(kcbcm?Ei1=m@^A8x$Jy|K%vO7=x z*tT(9fk>ql(Z>8=^gb8O(-uh@wKttUNfAkA$OTag<3bfR*|>^(PIr}188VXRQm*~+ zIC4y>jSN<HW1I{t^j1q3@0Tf8PyuTWD69Q#m??miJ?J^|oV5~n=hnGf+8ZLi`O$a( z6V3cvl9F&j4cu?AcbWfquZ(?{)`cMbzgL9kca9qSC5?%ahuH-&_v`)JG?$Q!gu9#j zUo2XpnIkWE_5AM*?|s({9(^^98hIEq>}ZU}DUZt2sq%$K{l^WHyl3bx%hJKphSCTv zj=CTDDQCu)oWPl>Kv-bR$`I8h3PXyyC1OLFqr6=Kl7Zw9O#X1@SKc?y3<tp}`8BBc zZ^@egyDz*hPP7l1gi#sJ%r1!ykfe~YnEX<N5#~`T;$4YfKt~6U#c3juT`>7WnSH!I ziev}xC1<7#p^s51Md)G(Lzz9iPZYbjl8XT16lcbpe4SI|O-6H?8hFq7ic%PTJlULL z7gORksF+%^8$f7c9<dRpFa$P24P(Ye9OE?A^X~8!4`NDjWCMy_bV)4>A;)Q|<6Y$| zievO~WL1h?WXVGo;t;2)mS@0M+=nUkBFj+hA_|Sz2A1@q&pn6TGEbmXh<*{;l1~eN z0S4#jMa@0m(V5Dqe1hL?ZONp<O27a~FKX)PLT4(VR0w`}ZOMefdw{`ddQoE!3!N#8 z%E$X9)A#xLCDEIF{qCcj%M8qSdWL7_79MfWd|5aTlkDpGPA63ud?;*zN#5|gfg)fs z|1JFCmO0e3rY#xZcb87$7_bWm-7@(-pXf8?2F9qAQUgQOq<bbWuKd^yp&9x7d!bpR z+B24_^c`V6`TQrLnJwoSY3rfS`7WnLT=`MT4W1d<^!*)PJ=ydV&x~R!VC)~HrgxwZ z>G8}G)_0kGzAx`YJTE_Z$cnDI`;nR-OZ6aqsy6w;dpAX14_~{(-|s3a#a%J8@FJ|k z&yPg6B>Ca#O=Sj!g%8~_Wl)dse)ifOZ9VU`?b>=++KI7+nt*{Soz&11gU&pRDrFmJ zq4whaoap<!{p{&YL_ZsPG|}&zcH-m0d6t0^omAiBi_VllJ;M2&)=rEne8V!3p_A%* zE~7IKp!VYYj%p`9D)eI+NYF{OJsRjtk$7XahDy8^TjONBB3t7`yf|Cqc>Hfb<5>Ix zpm8*Q2+&ZDZv`}z;y(ZykodQNhGKjsprH^S2WZI0QvnURcz-|x9PbWj$i~~a%k<<W zfxXLKkLAUIEy^^;@}j|NWv^datb;|%G)D76;(K6P6&hdjZp5=;3qFqL;@`sF`Z$(Z z{LuF2Xbk3sfMqc<6i24TytbB)qhb7Q*xT~gSr!1;yYzK`-qm;;*jtk0Ym00!qEsWp zqEWlG>~&9`7dRE~r~wYfJL<&WcDve^hX#A&9o4`Vct;hm8s6~)xSXw#YVi<#wKeYw zIGC-GVv(vHM|3;}p2j&UgBx*<5O5*RQ2`u<bCd&n;~Ztd7C1*~uo}+s2v`*7cnCc0 z<tPbm^l}7&3%wl0gm;Jzmcpw<2XmnT(czL%hUjoXxJGa|C+s6QoE4T49E^p}2@ZzB zI|K)$@G8N<Kxja4&=blK9N@w=yu)c>AKpP*ScZ4d5<V|GRbZ;T)Z*(<V=B88>+4W$ zD!F9s>u`~_uq5W|@X>ThSTO8-!{NQ@h>$qk`z0q#cy-wMOO7usbIF?XD%-SCC^Fnj zb}**34oY|CT&MXj&66A$rh@{%@>BgePPDg!(j7ThXvRxBr4e14-qM@0Q|YFKeCasT zY<_QB&UIlQ`xM<YgYO(``i$QjV;akMjxj9}SbIAh=k0yEewb)_!0nJ(9Am%A;`rzL zms!VT1dm0x9@gIXWgSzxz&~`vUXyldu(u`0R(O?tD%mugpYqr=m@oa<G=QHHZR*XJ zjyCn+r$m`v<4Z@G+VN8&O)dD+k*22nlt-orzVstg7(XS#RE?jZVnQJL#0eyxWh=1t zFNLUo?%Xw)am-|r;6oKiJjose^o>K*n>)YpGax1ef{(vIA~8Dy(ANu5Z|eNS&yX{j z#QV4l+T*ev0ex$eM`ij}CWJD53lp<4{pxImA%)y*v7wC7&Rt6qfj%K7`NJ7sJHLg@ zaP&FZzXlcl&3?0F`=zrhB-+P^WTG;hk)0j8Bth}Pn&g-28<{*R)qj`mx76Oz$qH#A z`M8+m4`uXq_6a09I$ws&l<Di6NSEptWos@;w09QpGx$XUN*u+RlvP)%SvFL(NooC3 z#79nZG~e5`EFq&RG>?EIBSm#_7q6fX%Yq}L6tnOI=j&M!rJ6=eyN3a-Nk_9L2+sE@ z;!o|w*qWD+-k*yO8?lc|X&(>;;cFwjSjQE$59|j`)JE_Djk9p=7zxm&`UpPjxS@8; zK~P<N#O4+Daa(XhOT-1Y!<wKy4G~w|4nsi`O-0}M&y}+BR4%vBUr@ws64d}e^$cC) zagy2~pn8^G(44%vi>-Q*ZvHu0!cFR=CDNjyD1k2{l{MjoI!Y0XgvDOLp|mM2QLtK; zngYkXo=)LQ9mMpE*VCVNaSD7;{+NkUweuMKaPlzyHKnDM9?6$FqRJ^~pr`Yt=rF%~ zgUQmE2s|o@5*q<?>+(W5Ve*EO`|13z6l*f-Iwqo2%?OiMs>Xyp46vr4LOA9ewNi@{ z?$7fqe!=3p^OV$Jad8%IfQB}>urcpF`njAg*rF+~9WA36??iB<XtyRR#pe+msoHT* zEPfp!I!0=@KD9W(*02Ome=btx%ZO&Ny`1GKHjnIXu`~}MMI$2ZGFX~2$Z3+ZdzKT< zS)0-tWmo$W=ZvI?Ken4^X&yyR*B3oar?j>dy`<Q*7QLpl#>Lv<2A|XIZW#fZ8c4Oq zqE8eXKWE!4Dg9E-S9TWz#5;=mC^r7iSCLtDJ+Q}LZ-4TODcOhKFJXvmkUS#pVjdxR zi)bc4c-R6d@=Q{fXm((pb<!~5DNE&~;J^WsiHEJxyMPl;=6x>aU*cYt9LSN?#T?N) zd;x6!RP+S#qJ-OFbFld{Q5^B2l3S`sao&U4w+3k0{l!1Mt{rFCL|%Kw(vo&6&T~Jc z!!wJz2C%f`Ttw^N64A1f#cbR)!@KCzL&cZaT5833w$`cQ2)34{Rxz8cb;4!3`Ry9R zCZOT%Q*^3SF&=*n?b7Id4eK)9@b)D-Ri=1PsaD}N-4;e```Z^TqUBl!i@A+dFF^lP z$c(qo^^l@Q(lvDP?NY6hYqv_oJKs(*;to=-;fvX&TI_2XCE{P+cHKsbbVlDy>{^QX zn)GQ&5W`P;uoN?p)HQg-$OON?Or47mbC=FVD7Z^|c4z+KHTc>k@kIRWl6mHhnGAGF zZ{|y0B+1U7r&?aBs+Xgd3$y-`+(&O{=BQSv)xxZASl>ahF)2ftn|$6BN4*l!y(IR? z)Q@%Qpm3@-=K??F0PQ5sL0upw3ZmV{Ilu+h(Wc$3QxIc8>|+EDP>1mv8Z$qlrLz#Y zl9rClGG0S!sk$-wr@J&ivw=tS;i#V_U&5s1AT-(Pmb|IYIjVeVQ5xIJ8c#PTS(E5f zWvZ|Hl3&w_<*G<joz_F$fY^3=JEg@Bbp?UCRM(Kq+CgrT-)pyk;?%4GRXED&l6!K2 znj@eJrAJ1^tKHjqMptc0{`_+1zeM%ys5+9rX<K)at-VlE7%8a~H6u5v=W5n&Qiq`^ zDZI70c1w)fI83Z7d5x~>YaJPex>TlWf%=J&Qb|T=x=E*G%O1fTVPzm3S?VBOWNoGa zT6#bEr<dJvhIOPE`4Y}fixC@zsAQ?j8!%d;5#ua%WnM#l+*8)clZ*ogpcA!mH(4hY z83*=3@fTwgU>Sg#0jHpe&i@3c8F9>;=>opgQA`g36-H@!0^7}2yT~#BOqUo;K8e8- zQArHz2v}{2SOVf1psvr0Y|89IOGC)FRhl#B&?(B~I)WXQ5qs!3S&C>E$!K|sIB}b; zu0c*_s~_i?d)vA2R2wp5&?!gAI}?}5Vpz9jzGt+wWxlu!sKd!l?$W)P4HWAes5=<; zuoN~B6~Qt0MMZNOTn*~k`syJ?4V_Q<8AnW1@IIFW?X8`!1rjmY)`JSqvyBE7QnED% z6_T@mvGk9I6xDa$<Y!2l<l}tw1QOBNl`MU5NKswq6@G@8i3-l=q(CAv`yNaGU`SDI zC!C)lYLf5eBgJTcma5Cvvv7(2+$!OwZ~`h#^nSo-f0FtG&@*+3Zf+Hz6_lYV1n--S z_QX^G&_lRHH?_8-6%?S-1aB`!dwl9GKo8~;-Pp=NE674q%JeQngUa+Qp{tmTywnr! z3S+GjB?(=vA|<wg-Va=&EA*;%TKn7H@V*HR!YB;4{$V71Y3*|<^6~bC)|cv)ramia z?`&;w*~igirgpn!yhyEeQy6G1bD1G|qoIUSz2a2I5?g<7f0t;I_Z4W6dqzg;GdG3a z*5@w!NZw8iiMUiFKu-rc$<~8G>)CqhE=3KkPth4ipelIpON{o`*4GS)m{e;wh3Bb8 zZVD->nr;foslQlyM_r2QTW_K>B%%2@Z#{-YbZR9_5A0G@*Lnq=AqG{!d7orRM5f+j z=^b<_s%?d%Gen{JUfxoa_GgK@Y+Vav^yemtLHQF9X(HhPrTt0b4?x!x8Qt6@;L9sR zQV4{bl=j3#0MJDsqnn!A`SJ=7X#&BE(jK393($ojqZ^wTe0f<&N}29uNKl!sC1iCt zJumUZko;JaL_k7UlSqJVAmITrx<a=qu{*%_2H_?oXjp!@=?^90OH&`R$cNwysV~(n zO?(#6-r3ZE+{e*nCUy^|zeubdlpkm+L(Y&0Xb7QHw>Z%;z}BDOkBlY}u0Vo@(lZjD z4W_3jY6eKOHx=;ZWgtO#0v6fiO>jp>dlPIawy}vP09_TNWkXXWKRq?kaZvs+B!#W3 z0SRL3szaRFx~h;>9N{d*_Hp7kOBaH)tZyRn<s~3NID$6CHYzcfr7MlJtZOpo%O8NO zdJ&W;wvQ5VEL{-NvbIST-TMro;O6`cam20nDMHN6`6*(zTkjLZFSPR$#1C|D5@H_h zoP-!h_a-6+(awp8Zgg(~q6O`ofT%_H#v>}x&hZEU-5ZB^gLdx8e9F61rk;=3=3OmQ z&qMs;8I-B#B1B8xRH(m1{NR;gQf}B)BF1@lFew3c=gB|aoCEAk$$2HQK6a&u7G7Ct z5kJ$5Of9kYu`?pqxuuZpN)RKA*mCtOL<z6J-Pzv`LC$lN_P2Y5=wMir>~zU`C1NBy zO<pA4?i6p0t)7M`XT*Bjsq?Pl?NoUNc)OE48N3~o?BpgLgD7N(dE1>L>$y3%WM1a2 z;p~p``gV%!yfU1fBJVlQPM&uMX9wn8#o5X53~+W*JQ<wbVcwdT-9cWTmz@N!%*#%k z_uLC*#UT<=794XT%8a8*L|x>F5K-qjQv{SLr-6Vn;S>;1Mx00j>I{cSKp{Bh1e88U zm4MRah!9XZoGCmC#%aK#pqv6c${KTNsHdBrz*!r1>ZZqVriOdE=#d<mvcggN15U$m z&kfWE*fb|{xF-O`fo)S-d{E`EbxJHpEeF=YQ628-q~kFW0rTZ**|2Gfm=Ee5tems6 zHMlMiOE8TEPU-mytP@QE;M5tRNOR5<Ut0OBu%J0-lb>>orZ+5|W172U{UxVQxU>45 zsxYlxvcBPP=a?{(=wKsk5b<_!5{fkBFa*{yrbjN~9c%=#v8K4e6uRk7)^bWisM?tG zNzl@rb9~9VC+9UkMV7XQ;EbolCD>&Eng;eEw7SJ5>#m#wOD$bFo5IMsic?%Wu_2jU zJB1+`&+ei>$VOl1B&4XHb28FGG~Uh+&^(QtZp@J%Oi_v7Nl5Yx&@%hue|k9{*S3kY zcy<BjsHGhjWl_n}kOxnfYp^V0VQ~~kQkliP+w-BkP3_h%d3>;1eYC|mOJiqCR-YG! zmPxkIG;))9Zei^vb2vVatpSaf!Z+kSML(B{$KxH*;6iW5>q3#wIU)+|Q|7{g&v`8H zG|AC@$9g66q9-SvC?t{`>}e50(wU}j9G6=B9Vo)c@>6GMJwwv2ojG%Y7P5mEO=>tL z+oEzBY*C?6WibvD@5);PtNHpmhMfY7Hs%$eWzsD)VR6<ZM-q6tOyjjhuG{lW3+oba zV^hPs=X_ZyEe33m;#aQLPj|w+9hB;zU%?m$_>oY0pt{BgU*I@eJ4P0CsWIa6TUbnv z=tYd|=v#q{MTJ)7wc3)_fNPZEpQUWPX!E<Td|6196T#J2(3;@!41nr}h&H|Z$d{F? z>cP9B1vdV!{vlB$*DF=Ghf*^<o(-m^d+09N__=z8s5QQO$xoH8`pJf#uIgb!wX5>* zuE`;yey&L&jlQn;tDMT9=2a8Jskt6UhEiX8%rA*|z55>GT><^z(Xu3d!}UfLemM1b z)gGejeL>t4k9h!kHpIL6-5ftv2@^M%?1w6Z)lxJY=~pQ84RkDLid{&D0hE>ox(y|^ zfo@5$ZlGVH_<5tWFnfT)=dfJLJQ1ai(HfL$p`W9))YFYAvGsH$#k!uZM-i*1pQg0b z(X}YCb#!%#bshaAMXZi~l+sd5SER(&(!mt#TDp{W`?DxrHrxUn{kcxUP5uN(nuvR# z-Tox%2LLw(M>p3A(DKTl6awz1c6(wJ0KgI8=%%`Mw7ddHnt=1tZjX<;1;An8=*Bt* zT3!~EQU<>a3MzwJf>tr<c~K|a<;UtIU<qAyA~4%P+yiiQ1-vS%8)kb0cM}wZksq%6 zqn+@jt`A(~gYyN|m%>Y<p26BX>l(oOIB;fEw_Ey)s9HDqfx0sA3<-w@5lZ33QI0TM zf1E!!nuNQun|%Z-4X_VGF>V>Htrr;D-rgEe6Ss_(RvQ;fHv15CmBl^?on)~kp}j1& z1hk&T20=?%Y;kBli!BCCVX+TDAF<f`p+PM6J}8037KJ*q*dkRy#LxtR{j-r1Y!kB( ztIx|KgUZLNRtceD0{bT;<A8}th*k6Q6kl1XDu@tD5!fe=<N_x8Ay!Sx4SZ$!s#SdG zb%A~S2o5lThFCQ&t1cbvSyoy~>R$d6^2#?fCZxH-#H>oC%;aKK@38XAk@2O@8=-fq zQihekE`JSa=9rwXnk+RbADJIi9$Nk`=%j=at4d2vfRTqwo#fCvA*XyoZ-z9No0N=H z4l47O`GQXW(5qD`L)n=lxr54m%P&HFNTI}#Q>0MaD(4~P^bu>oL?dLjVfmvVscpGT zaIkGTou4gLWrh#66ePuri0zECDxYm~Jmi#j=#`LJVyJD%D`M#7klFg>yZr2fRrR<~ zq#!AJq=jW7A2M6FjOJ&HSDE2L)dfkBBe5)#!y&V^%ZB{yeO2{dp<qE$#E3NuP=V;P zfs+swHn5X&uz}-{y=>qZWEB98LM8z~8PW>?N|1U0fIvzCKoOD;01A*40FZ|~0suKk z5CDK71OSkQI0HN4tP=qOUxFoMD}dokFo&!LP<#oOAfO?1AmIXJGJsN{JJNI;QXe4T z=ngmCN6wT2Lrqkq<*@vhCK4nifZ{^{5^Isdq4dtC+sNor-GL@|NY$V`nSg{~0&L5H zz9xU9WvOmolRYGBFulDAk0cGD{RnUf3ec5-m;gXpVkL#)P0)tu1Ar7n1ptmfqygYC z1T&c4+H`@U?M={tm<*=3G}$06*}x&lDhoIWnPdTykX{xb0jXyJAV?_-5QpTm05M1k z3pfCI!~*t1f>^*l2!RENLY!HE2*`vDR{=rU@RJ}28-4-=V#AMvwgC7s&>{do3K|CB z%Ahs?t^}$A;1EzT09OQM0dNISJOGym(Ezv{hz!8NAPfMP1)<#Kd+L(Fq%zi6T^!i5 z3_eyD4OTB>y^LB1?<<3k)`ft2VcHe&uXQ&-r7(dH?i{EX#_++F?I`*v9Qa^e2pEi! zr{I`T^V-@zI73hnj8V?YiUPo-QdWQ6RS*isAmI!^W-vh+D<i5!TbqO{ib@6VD`m-m z%m9`&h~}2wR_6|GV#B3DPyj9kf&lO%AP~Sxje4Uk(NO0Ej%Kq`qB67@M4T#Uiv>Rf zT4ccwf`(aeNl+ULE&-}y!9k#67F-;Z#e$20;#u$mAQ}t4A4F!s_kl1hxF`t4VybNE zv6&~gPO_ONwxrn1<6C>!%wt<W0p`)I34p1*)dMh<w(0;TWQz?j6}R#LrovV-z?9#L z0GM)Hw*e-23lA`5x10cGLHLQKMPE<Lt=~(<zMke=KbOe9o|m@7hg<_aFKkULkt=jY zs&8-AEiH0%hO6%jr%Rbb)l{Lyu-unw(pK^k*~b$IuNAHjrFB-{7Dkoo3{<;seHfG@ zdm^{omr&)*zG{D=MX63-wf$D+U|M@MUg$mK>gNgHvH^5twu}L0T6m?P*xOTkOAla5 zZJh*|N4BH@=HV^(!L-)u3;#2jX>1t}rnOYt2rbymLt8&t%!6AKET-gE4~r?WRmWn2 zw%9DD_*Nc^DYli&VjkFvU@`Y^-DWZOZQ)r=(JdzyQ=~YE7@WYcf7XA3ZEWUZ^?5<W zP5F57Dj_(GVgICm956O<v1(qJLMtm32N8lP4Ex0XT)<f0#j0tc0j(@wyowLL&ajW~ z#{tGr7pukv)sllf3rZzP-3xzQUik*cxHMN7n-!~+8DA{!#VEh*A1~>=5qzgO1*80R z;j2qC$M}5lWT|m^|Gb;>(86~{CncCzTv}=j^gk@=BnRJdIpq_4)1|rGxTL?*O_{gA zXLR}pUoB2?&(7@6byMzJc;Vth3MRUoA_dzPJG(2V_ge$T8ZNU93m+LtZ3|_LgKZ1x z=xnKCGkmZmBPpg|Y-gOs`E29kE~mVMuei(-gKb@25rZ$g%+@d5MQ0x@uEzx<8A;Lo zEi7Ysm)W`nG&)<n*bEn}&Pa;vk7XGjcA2eRFhpnXE3Wt2Q9>p~^jouxtdPy0XJiJI zPG;#7gCZ&RPdj$Ajm{&Rn`hSeN=LIw2|;%#_DLPJfYBLbbJI*8Ur8}bpAbZ(*e7&6 z1dMc$&5bi<d?j#JDL&{b#lC0ectB_OjC??6U{D<Lv2RdVmVTMhr7Y<(BW}m;A*In7 z0n)0%sIsFrpfezdlC@fDbS}$z_(e`fZot7WGwnz#j*&^0^so|t<|ER|C+IeEzfaKh ztlps)Ssl25q>dQ|(yH9(O~;!-rOb|t0iAw9B%~E72#;(oGb-$OIQT*)>k&T4p3>Pi z^PXbgHp8MM#dc@{Myg1khM5@ti^Ex^Y$L6#z4#y}<bLlUdt@^)$OieC7<7)3^tfZ5 zWu%1ksh{!XzmUj!gbO-NNs8)t!!nXV`qa%_=D#?QwHFt3l#=wQ!;fVof%K`J(cr%j zi4P*)O3=1{)_8(#Xa=_WJR#zybUc2Qa4SsP{z>CFU}yriYMz)vD=EbX5pGem?Gqbw z0YiPTRntTRT1h^B6@Tlxwtajf4lsm*tr{m(VF!CAlwe8S6Mw+3d~d~on=1^>;#JBF zFUI#`lwLND!#Z!=x)Yy*QTjUZ72M1*JRd(<YFOSl@1`^~@m;%<a*G&WT51S1K7@6W zZ`}c(^0{>r++1#0(pc%H#GBx2clzJD8lU3+BC|2qO{s6<1=xpliwHhNx@8;h?5>pF z`2QF>^RT4SHjL-K?~3JudznC+iW#}&ZnRj62~;D<q5?T>&J<aenhTklNmEqrl_7Qx z%h5!e%v~~f2BB=mvJGj<lKIN9`TY0%b-(9&uXEn_et!4!#DiOXVQ+h8JCK)Uvtp#B zZ1%U5o3@-t^3fRN<)U7b6`h=V;Z}FpI_l8`*jvicU9h{9qj9je-Lt=@+_dC$la8X1 zmoN4X0$W$Z-aeU4Nx5mti6k9ei@coQTMTTqhP~~Y-I{XKkkg%d6o$Nfp*J3A@ltOQ zws@*Ng)JUxTVad4T36WOrd|MB;Obeh#Z^5Hwz#ODfGy5yA=u)it^-@vsIP!6tJN34 z7Dx3lumz?jgDno~{a{PONe_WK{ZNehyMUj5C`!E`V5A?4P@57CWE~1u&k7i=8(y>@ zQ$G=?p$)3`v+_Ani?aQ+Jeue@(oR!f5isb7z>{6_MZ&db?Z@N=q78qv6V&Z^N5&zv znjpYRS|-~w<<X)IlkI!dH}Kb<wv**l!hwuKo76kO4fg77V9T|W51aYaLrC=&u*FvG z3AWg%ZNV06H35HZs6D(HK|SQVlA5|U*uGO9Eo`w;F90o;>RF)0LOl+&n5&-vEoN#V z&|<2t16oYfSAZ5{^#!2CNPP@wF;tU*76bKuphaJ`O^EVR`3X^;DkmYzLuD#NxvRc| zC^yvy5CvB$L6oa%2t>K4+Ch}F>OP2aQr!SiYg8p5YPIS#h;meAf+(1Z0HPdJJC(3; z=rTkV3tmDcP_!8J61oWahy^vQMaV#mnt`%cuBM1q)N|;FO2|^viJ_|dEIyqmW_@Nd zAk;LJ4LPV_OyUF9dnSTT+^Rap;!6ZP76?&Af+;9TwUfoC5d%~aEVWp0oi)fr(1>?f zRgi&5V6Tb*1$L^_(_9%ufO>@}JCz@ZvQ;^OC>xb2D5zpJG0l3Q{ZN5WP|3Q^<Wq>= zs_y{GO7#IiS*nx(%0e{+pv+b60Ln~tA3&L^ZU86~RSAGHR-Fb=MygBzWvC(mC<E0_ zAShPbH!x#A?d-|+r)1^N?=J7u5e9{6`}$|TDxBeLQCilC`Q4X0yTG6zZC~Haq{7(= zL0d7bsadr7(o3DiE7P>JZ+d6i6g(Kao1B$AUs}=`uXJwc3|2bVcYf3C9-Fb&lxAgJ z)W)P|UC`cX4GL#>t9UPF-f7pi2DNoQ)ReNa&T9MUS()q!QINRvuW8=&%z&0g&myz+ zRyxMdyqn+sZ067TQbrbmeOwgO+zC$ere^MG*Ghr{*^#24d!50W;ioeL^ShtU{HDDl z4%)=7Bxfbe4;Ocyns&a{nKA9m?c59o`Dicp%v@49+pz6cbZTR$S>f}$hh}Q#hX-eV zo8LV+Q$9aj*!dX<a@Ah!o?$ASE!dT$tWER71)U%e<e<IyWM-Gb*@SIJ%5t9{&g(o1 z1X*Y=cFiCa&IattRD3L#O2J2Sqbc}Et`7yjgKI#+hjHi9@FCpZG(3jen1&DL7Np^W zxYRU!AU8S<@6Yu~!*Avqq~SMm=g4>zx0j4Za2v_^cv%ENJ|?`xT_h%t35&RMMER&N zpX(rQo)PA9dx`QR_;%_Xw}2>T;UVfWQbxy1sEbGrguG21=K2uj&xB;z1(aHXyhWWu zn$htsR0;Q_2q_mbxe@|56W>IgLUL&Md(>OpKoRmfwHGN9H;)Tbxm9GmFZU!FzfpD! zpDYumaH(Xx4>y{O_u~4H@g7`>5Lrb%pOQQzOyHgrA}gs?NDc+>#+@VKUAes^yfe3v zgkQrgAmJUkR1)5S8%@I7aeYX58?FHfZ^fNU#anQDQ}Jfp##FqC{LO%JHJ<0mh@`MC zHkX!5OoZrg`I~;_|5A8xMt2%3ySenTWDrDemA~mzDpGh(jL0+=t-17)q!>hRlD{#y zXc8LtTl%SK^L6{Ll4&P8ZkJ~vte4?Y3Uga@cm8lV$v<iIahKkptiO2eimPzI&hOv= zK=MiJdtz|uP%m&4M5E*vdzH;8&JK*q08gE9rHi0+O!=?;PCBbd-q(tbWO#|uI~Ws0 z=Nidhg5hT|t>}l6F2OL1#bkUDp+gyoMBZ<-xYL`BWYQp)m|QqO(HTA&;T6j>!$_ ztb>dR0*@yl2}*~R&GHxtx=GT6cfKLnAsEhJ(d02S7FoXHlr~Dv<9YUsJThxf^RP_W z*1TJ$1e!~WCF?=7x183aEK1>7GeknPA48AK+AlYxvi8XPD6F0GixgI9b7`UEJ%DzR z)4G-EDLivV9*MP~xwJsi1fcEZv?t2A6rM3dkHmsEm*z<_0JOQB)}{1K;pt1a2@zgW zKOw?X>Lf&XNKJ(Zcj<Q!;U@h6BH&Ubh;Wq-fe06AJBV<W-Uks*(i`Bm_<bgu>v~#X z0l!+44%oT?=PfAVtBgJsSRL4s?T~h0Q}!bHz=rH8@&RPFgnYm+yGo2`IOM7sN<Z-X zAqP!K`hiD>EHpdQ5A2YB(3qwl=s2XwZdBop9B4cABAcq38fiI`jZ@)9TGFMn(^Jd? z!b6&QKM0{{IV>HY#yxBKIeSitV;l&Qk~KrL1N=ix*;Pv1a7%i2fpRM2fWP$0H1283 zE~%f!ly+c!b^-aoy6i<E;@Tm}d<pfy+H4&8fOmEv`G9A(1Ni_#x_{cC=uqRlDfPfQ z>6U5SU`t%~BIy7;dx~_xC0jx|;FMiOI<PwXB<TPw8%H`|pB=ce=4=Pj0qg9=)B~2; zQ>h2cvn8nqOtY&}ak0r%3NAW1nu3c=_MzZ*BpXn0Vaao8xRB)DG#n<mF%1`-T#$wf zN~Wga0+XZDaQ?|YX}HbF25GpB$#Y~JD!G@8LnJqnaq;{J!qnLPOUa8w+}Qo1<T>Kh z=>7a;2l4%x`?<-z#Hk~=cHCTY0db0jgK*2uLv)-3x7b_)`Q641C;Jemp4}(&F9<$J z{BGgqnoa4r7Mvvcq{vTxpP4KnRAu6ta8u1CG~7Mht>i$F-*sGX^N{%d`2E!6Dl*PD z`6L;)k$()2lig2Arjl_!$<bt-*GhO9=aDQC`c>i1r{IR}CnTQ~`c>kpnoB4+x8ykz z&NaE0gmX@AB;nR17m#p{$y5@~Avv0avrG0N;cSu(NI0wHxm27*a&IcmEV(fiXM#RS zNsK|`D2Y+%KuTf++JTZ7j$TYl3`I|+C2mJc(h|3!tI`s;qEDtJqS3gt!~k?)TH+S8 zLt5e{^ddQN1A2;_h(t@siGJuR@%o0{uB@T-#NT&2uu9SsAMLha?MzSH!TZ26O;7CD ztwA@cl8z*{?S6r#swPM7oI&GMNh5dCd9%}#%tYaC4bu-=uefuVH$I*8?9R{VIb{+f zF^ETI4bc+$yPMEe%B0~t>F5IGWJaPt@5*%2(>uF(ek@a3;(BxeIdL6&QMmrvZV9u5 znz$B?BPV*J1IdY=Xa{m4g13L#zG!zN)0CRHj<;nxY4A=QdXba}M^BLwUC<Izq7%A` zl(-swl9UKT<4B42=s;4UE!u&UXpLS>O|(Q$r6!uAC8>#~=&Dr4WoBuGV7)Lf3Zf0j zji+z86ZI&Jv&_;m!Fw=pJ4EZ3zf;_BA?BqqjxbA01x;XJ07UDP4=8S|ChDaz4l_$R zf($Sa3DJ5D!y{pF1G)xycXzX|Y3I)%6Uq!`!Eg*Lu3vX9#ofj1MA~^KGNII<4h;8) z#r5g-rns**`$|545ShR+AcNruSX{5J56XI6*A-Phrn?NgmwvtoHqaU#Y33~s-(faM zbgwa(MU5Ugf5Pl4(fzsZ3)lb@9%lAh6fQCNfOl8wenyTm&r{6AqHxgQ0&0|T{shdI ze*S0JfFxXC(2jSP>rNv_GtVcPT_x1qFsQ@3PwL)+rPIz+V7|2TyUdaZ?!OuA1jBt{ z?|O7Qk>xU73DR1odp)Jb)+~yAJ_cD{WMI0Y)2u-l?hf;%o=<?iqnzIbyGJ=62Yc78 zdn%>I(yWJc9*r!&XfOnXuZF#QqKi+dF*S=KonMPA&o?Lm!mVNNx^#n6Y7EVKQqRMX z<rfTg0v%p5e_@BG%uCqeA+r;9xXbi}9d5EOU<X|G3hZ!|O@JLPvTm@$StbHIoMiQ2 z#~N8B*s)rc2X;8hj)NUASsK{kAWH;08s<Duf2W^{ku9Mfq@RkCeL-cVpNf!~5e{da z3YWb?Wwma9v2aY*jrtqfu39+DeJkovE}Z7Z65%5YG+8Aoi+&26>*D@HxczM57`ITg z{f`BLOp1pyPN8K))Lu!)<U%GlR<wO`VULW5zx{N9%%u|!XPnw3!-CuGWf-vI+T26r z1L`TH%pdHqm3e_3HZnV~!&*kf-yT{BM{cB^@|9umw+9z?a$|)ZR<bWZho$Tl&|x8) z06NTN-9U$#Oa!cCd+UJ?6ImtDVJyo7I*eq;feu4i8qi@NO9VRfr5GW`ONtO;Jf+S; zjEB^0#ix`mffzTb8pOb*DiGr;m4O%+sT9OGOZgziNy-B;Yor_yvs%gqF^*COh=ECo zAjUz8Rl1IUyqrxJOJ9C0$&M9cUVgloy^`Xqd9j#nB*x5q%$81QHnd`%e>@@;X+F|_ z36=6SE%aZ+FFwy7fiTk_v$GvluFPLnhOEqQp#QQ}dR)^Yk@8-E*>sU~>SK}=t7)PA z5+IG#d=yKszZjg~K>Ov+i>hoRk<?xq2}<px?CD#wkA&=gA;wOM05P^wXAol}H3Owp zFPi2pdp_>ZE)+^DUtFJWq5R@4T>>yxQZ<0Fl&S!Xg;WM$%%xHQV<zPT7*i<^z?eum z0LEC#1~5ia27ob?5&?{X6brO_@%@GEo_sH1y9eJ+*zV5P6SlkYzkuy<{wuKEl|KQt zyYRcgc4xi_Y<J?<gY9ehm0<g7ejeEF$UhFY!}w`ny8}NFY;TzI5PVEO8N*)^w4|Sm z;(rkwNk19EHzOR%IvLJ?B{<T$?Zt;<{BFTVXq)Q8+2psPcIAiD$+1M&kq<O}rQitt zBskTT{14&Qvk%9T3q{-h_(0%G@ve-MXg*P}N76p|Au~Bvv~BXk9zG9$>*)t_GM#WJ z<K!kj7Tjjf$AInErXDu8P){QH{$RT;-wSNF;oE`j)_fxV*3gIW<_*-7zI+V+*5HSo z$+5zAEB+Ut-ID(bXt&@`0PW`dZlK+aF9O<4`Sn1%3BM9(H|FO7?MD3LK)WG74QMyu zCj#yIJd7~di-!;fd-9xx!5%y_VX!-I2@H1Qsli}4PXz|M@?>DJ3r`9LJM;KpuoI65 z2Cw09z~I$9HW=*4V}QXh9uW+7;9-?6<8Lmb>Eeek-;|(Z#lbJ%Ttu%EKdc#EL>q~N zXWnG<CRiI<gP*@S!V|GR(vOGo_^cNCaq;kH<`F1(`b{?4QRTus{$Th$a|8YOR^D+| zi{v417(~-W52xNF@vy8G+VKEhB<rL2;q~D`<_6mFJHu6IBhf>9UL^R?j>n$9DSJae z_X~sVcnC1qmgfux+wjc5hgHK(Ov|1(`_YBMhn2(EnJtv#-n=Cs*ovnHf-QL}AlQN@ zTXAT3QXtrj#|MH<c|0K4gvSAbjd^S!*oemff(>~@AlQJ11=_qg{=zm-j+d~_gJUOb zbLZ#@+uS%`z&1GN71-v=nE=~dINe~IGe-orIdSU2wl$neux&Lb4{USf90%KAoHVe_ zfs+WfHS~I*KBgay;VhwA(vL=QzMzhzAC2Ic5e{Y@4d=W<9ckVAV)huP8}$*|s+v6u zdn;;F&Yp(F5?w}SX`D*b5&BWEw+r?U;pVg1W3WQe)<0$m94X#~aTLuVqV`DICTBBY zv7)V$vwJu^{LQDcWEh=rFyrVZ4i?;M&%uCg*Loi!Tc}5o9DlIQmg5Ds*>LQ@Hfs(M ze{*Oy9Jzsd)R%+7-yEFX35ylBS#iDqZI+x@K$`_;0%$YmbOUW>91+lF%Bct1OgNQ5 zn=vO3Xfxs*2igodX+WC+ClP4VXJdpxUTlOg$dm0X4Dw)`34`3(OJI;2TMY)m*(xx| zl`R8<T-Z`D$eGOtgPhnrFlY^%0|u>Tv%w%oHUkWTv58=i0~@P!9-q0arHNZ#&Xj0l z#6d4-E^60`TWdNOwT9xLnVD?%ga*|b^nB(BTcpv@vqIT?jewpd?)*H@f`X=Jvb8Xk zGc)T!=lgjSJ!>obxJDpp<#mEuny7VZCW(#J2xwUW>`0A9+<Lupa2`d=y3<*uH59el zvm?P)J2rcoCz~N?`-DMuYy=o&%XS8XY}jUCYgK2{yhYE<e(gnJYh~y4c>yKMo4o`C zS+UhXkR@BSav^5RRytm>r9hAwn-2t;vUxy|37Z218ME0ykP({!1R1i4K#&0&3qW2B ze<9?_@Df5E3_Bs@&d?J=Zj3J=1ZTVgAy>u(2)Qu2LCBdQ0wE_xJqWE~RD#fIMji+` zGLC}~jFARH4va()YLIvcH0i7u#*#pg&Wd7u5wOx(5ezc|F^d(>cqL%9qF*SFF}ejB z2(40{mA?@|O66&J4AFT+Nn=zBSacRB>5^*+yl2W|@{1z$A4&p4ig#wP&<vtr_X=E~ z%#_E7(38qN3?82MR7sZ82*eE5CI%Kn+cPjAbWQTGSwLkW8U7$-%kTmr8-^VSSu==u z-jFi98AWCJGB9}FpmL`?MhICkz5tLV;}rl|FeU)VoY4(HW(*MknKJ4D$b?Y|K*o$b z05W162OvX68UPtE5&=km={V)wrTM)T%O1k8NNw!E5B+I3_oXjs=g!RUEnEHz48v$+ z`+vMvxVbDHPdmq)-&?v|3x@e?WBY#eDBM;reIcJaIKP*(Oaj9Y+SuM7-Wsd%A1<1* zu^<0x@1~zC()PE8MJ{=X!*(o9sN8Cn|I&;cId@{IQswsi$8&8z6c)DhN)#qpen0J| z{PAgign5p#Boc*z%jY#CjB_Wn>*(ix*7i%n1j`Sn-Q+(M^COw(l9no!)i;)Fr`;xh z+|<%(=P25Bv~#<b5|wVhEysglzS_4vKRV{iWIx37R<a+zDXMLkBFX1s=F5tfO;&U+ z)eFPiwd<(o60~nA=XPoDQqIL`-**4_RZ(rZ)J-~vo-ez&JP3rX*1mo6BSledx)ez| zw|2fPf4LY4v(~=t`mt3}ZMf8(dJZ;Uc40Xl2#uBZ4}7=ByLqbpDLMJgd&?JfgrQ;b z{{HV@Q{3QcQCiN4=Dn8}yTH&Od4J#c$rLvywSQU;rFrkA#q(h3MtOhlcX5gvOf4ek zBsK3H|L!gr8T-CkFp`y1A}>tOIivO$heoLF#G##wIs~_w@ALB5*3gHGU4ju-4paR_ z6dI~dBv#*EtQA;|e19sBg+eiEJEEK7dxt!ho^woYM9(>>o*-277D<A#;qT4zSV?Hp zViVr&#^MgaNJb7#9!tw1%lpNljf>~;)%NN<a?YOS5!v^)=Do7-Kyz8~;(9RDTTbu! zUX)U8triJG{nUEooc(emYR(>cKP6|UypWO;+FVw+_#Oy#k<+`sr>9h#tMf=X8=A`s z7Mp-jdpZ5d_qdd5W3?VB2i{zkx0nHhn#<{3-+fc6^@$i^pcfG#4D=*A3j;lfX2L*s z;u09>MpT1=aH0wfbS28bKo_DE40I;)!9XV>4-8yG<bZ*ziEJ>?k;ni8VMHPr=s?6O zoyO&tA(~k7QeFbZhy!2BFG6d@k{ZDxWGD`tk!KSpSg6*(=kg;&5lcg7gcA8I0i7Wh zd}gwsz-f6l1XDRN84m>SnJ7AAEAco>Ad&C{AVd>MrsPRPEK5LR1P~)x8nNWMV33KT zG42SeAVZPFo)`&A?1=2?8!|Zo>JtXq5fNaZEzubav>}>-k}5$H)1pVdAG#=%R0^&$ z1r&xiacL#2LsSESmP8d0XhD<#f#yUh5NJl^1A(SQ9uR0k<N$%jL^cp;L}UPghD0I| zXh6gQA+b>ZfYyE*?y2&pu=AOF%QZT}kT9sfU;9-7hpR+s>=Vqrmo;5rND$QDr=3*5 zomBp5YzlMlCCzy-WFyqys}(EYFqMeRPGasI*SfPt#<Z(hBU$Vcs4$&<M&&OKiBQ>z zLpn7&O8AU+9*S)Zd8p}Pjj-5E)fZ7ns47u)`?jW*Wi_IG3dKSp7?qt0uF!Tsv2^w^ z$cWB9sG3mT=4nW*vSDpA6e|g7(lkxOZ)kR~Ml#qmD3-=1L;d2AM$P%@+xDtFGJ6km zM5b+H?v-f)W?8XjJs9E*(R;K-irdyIkubzhrAKD(hm5G~Jy1V|y%Q>=utS+;g_`$3 zhzmsT)}||No2&9j><!Ga0!<STVh_=uXyX*Oja7OiHk?_Or^x_9%prQ0)>m;`ABzzN zcwrI308gy5Fu((ACJb=LE`b4VSTz^`$Ev^pSF8*SaKTE!0B0;83~<8oz<@Pa4j8Z+ z%LW4+u?#Q(h9!al4p=O~X?*-LmnIg!953O<hyz}ZU*xV8i)$JexrX9^nelAw1Pav} z@O*_w6QMNpj8H5eC7@@B8$TmiP{8zfHWx;8VrD#Oe2+xYGqz%nqXZH$uMy<ZMB=IO zBrFyspk)MLBT*W$_<G|Y5=G0n(^$nd6p8Jzk)YTP%f{c3jT5+i!T>ug0t~RlI)ec= zSTj&u)!2lz=o#P7y(kn{HeN>xC>h?^B_O~Gs|Erru__?I0xMg|B4MRKfEkt#1ejuZ zK!6FB0|Xdj*+761mH`A9Vu?V20Tv5vkLC6cEZF1Wo-%*RseI(#@;M#h_AqXL|H9W4 zI9w)5J9PrN_wrm9xIKv5-?uQC0(X-6r=6l8_g<Pi4{qPc?eAR>r@&z{5&2XSa_{(p zJ8EQXVKr(b>r@H1F#XgSnZI~@gv?I7y>m{70H0Zy=f<{fe>m5L8eyGc%D#xUhsqL( zw{OqYqO3+1o^oTM?HHLI5w2M1;KtHV9pf6&PaTv^5N`A4NT{;mg=TK7WP8(G6CQqJ zZU<^4;}ne>OFKp8_KUYS&Yj2KwwL9RPwhdD$QIg=du0m%vaEP+J-FSQOYd1IO1W(< z6A8Eb$@Ivl_H&J>r}l9BDW`UF3n`~Uk!6K*?}6<uTzdCHddh8cSsv-s24q>mTobU} zo=bnS5SMb>Sf)oh1xJ?U&1C@F&AIfh1>cn0`WTGR-wT5f`g>xWh5jBGGoimbW(o9n z!>B=jI7S8fyJBRZzY9hR`a5IzpuZD_2l}tUa6tdn7&hqdh+%;KFbom&cfeqkYsQ~l z&Zdb)FQ1iU$B6x3KD(H`RxGNywU})v_Mdr{jhWCOTm7FuJAx5u=IQiM3}4esr;BfW zo@YY-)6cTAVX8IEl_{9-=aF>!R?KltvqZ$Z1!mJkqN!&|7_6q5Mi0P5YUahF>$e8y zku>_9TUFVHB9T2N5)|2C*wfc#&j{IlLVr690`#}VID`H+7&A~*b*pLKyyw~e?2AHC z<*n=U%@n#fW(n}O!l+k3Zj1`>x4_5%e{+l!@HfNo0e@2r5AZj^Z~%W}3>)w_!Y}}T zLktn{H^5*4p%=nmDD*^l356@1w@~Pg&=U&X5MMwc9PtVix*{e(p$noL6gneBpwJ0X z4+_^HDna3DL>?$~L>vc&Fhm+CbU-A6!iFjj!F)P32C*b)PNzm8z6hA<)Chzb0iQ(; zN4yd+TerLzI)>;L%tKpLLuZrUh=j_a)5$T!H6uebM5Ta9r-D^o$y&noXG6!5FN(JO zF+@N}@oN}VG=eDDB@s>zWhTdnwoDG~LGbX`pAM0eX#{)*brS*$Zm~yTK;gBjht17Y zDiYxj3T+WyppNOgifzXlR_Xt5+IN-Cs)XECzBREWi-=V^#y{uk{#>Ky@F`r!^5<1L zF1f2*d>`vr0=at4I=8RJdlaqGoqQT^__XoWMGO125t}>>1`92mHspru<XN>{w$|B^ zXH}y(llu0RURY>CepI&+`q%K-GIO8pqtaYMzsKkGqgL%OH_nY)rL)VyeE^J%D|LQU z>ogMohP;F1SM@F)4EHji<(0%scx9GIp4{xM4jeu@)}@CD9bGkNU}N=f_^SF`J?~sy z<Al7_zB>-br^ElW)3x!4bB|igyKvEwP<++8Cf|L|$h*(2ymnU4+wFffo{{fup6JEF zfBo$BS@-zD<HAY@y_JQTopw+8QwQz?e^;wx=<;~g7PHf0tI;I*pS61Wo19V}>zL>6 zY&uh8G_1EVY4zC3OK{Jvu?|;!J`cwoOmToEM;G9BkZ`+F9Bi4PKYQw1xo$k1p1R6t z(_@sr<EE$-2kYeCJltQYxRsoad2;UsTx}}OThZWkuPW2peA?TCXHTg-`|qZ~{<VvL zZZ`h4bBA?+aefNs$ZO;MuLsutrD$;GQPL_i^K<*w4jb#}8A;sM<hl2`Z@R9ZR(ZI5 zwLWrPYEt;G|9iYAy29SZ`$xD5>>3P-&WIlDH@Y1D=}*0|j>9$<&Rc(kTUsZSe>(5D z)`vBoRat}{Y>kiPd5hzB@FrE>HM?h7<3}n_@UE)7pRav^9)#k<c&|nAlHGMI+mW@y z=y)g|!?Ra;E7o?R<LQ;h&?fZCgS<&)18+B(HJ(|S#Jj3&xUsu#+Iw>CEp!I0l7e1O ztK7v)`mVRl#n|c0pG6gJe%@=le!EJpO!(~t*~)t{b!=A8{KsP%d;m21`M1}?c+|OE zYUQqZ9XKzETp7dMU$mPr?OnB7m$ZLqZSDMdPu>Zk(Iw`U!L?OP+Z9aOI;{_0LmDsK zy#U0!Y9DiU&wesOGp`s{ZeiM9+}#eu!%EOiPuA{J=!I3TWnRhOT>`{g?>Y^{TkgsP z;>~vvfOu2%`Yumbytfx8iBeI7950Wy6~^s=z3=z@meK&{^rTf}Bd=VJ9y*^^aYS(s zj0=Ee#9WHL0LE?H_G@aMq5jXg`U>yS)EyU$wukG(Q>{JpbS*dOSnay^C%J;4zlNM& zXz8{!7dc+yHM+_)^|7v#`(vG$Rp%W9S`mU*_S?k$gh2jILCQLQ1b(Fy5q>kp!Spv= zRe6%TkB5h#(1CM83{OH{8MCswySS--KYpsD2v@53v%dbK_4BckO$3|Y9rlSmi^|V> zH`>C8<7DhX=AfDDTJHdaedPX|@4kln5xnc77f|D)o+haM)>hYQZ`ipo562xeak^SW zis*~*j3}@Pj#KQc8Az?`Epj!P&`S>NYu?l9{;~wN@r29v^51H59~XE1n)`lLckOHM zXdnHZ`X+X6_M3iQ<?$rn)Nu>*-6vA?$|KZ9-_-5)@z#|!wNDDnA9<~wD07c2@lF5a z{-iIiCRHcT(9_Fh+pqbn_g%OkE4KH6hmyAk)zn_846MyfsWiP(0^3BH902QMn|g&S z=mQkp16@+UY*qWo#9d4?86;+MWKajw>?w2=>J=lU)W1+>qr$ITpLBdamzs`0Ba09t zqh$+3SUoj(g%PlZe4apY3a*K2KZ%HB$_ilSsw=fVG$I9}(ujLh7nHCYtfp!1By<m= z_A0qNRtAf6fwdm*Sa~uS&uyUY1Ca=BL9bAp0&|otc=ibgQn+hm5o!2LB*((hY_;5{ zyFESSnuYoa>Cm=jPT|RKzy@#G0s*EF_He0mJVmyJ2)j+KMPWyTlic2Z2-!;QLSc{K zPsom?;eSSAFH^@rB!*j1SVsK@BEz{p143gw*FzRT!IvO871ZEy@=pOw6G!4~FE<xl zOOWTwZv2Y3TPN2q39P7#8Xe_+Vwu#T_KAJY5}%}FU05a!s0y)960_K0L$thLpxqqr zxI*4g4&~094L_>U&WShrFL{bKZeAiQ?KZGydv1q=>-Nu^735q8Hri@^p4`aA+cgG~ zwg@-wSn?EajEq{^FW&fj&SvGc`kZ*Bqr;Mr{L|q9#kDm{$LgcIA*KRmsTwB{w=-pf zPz@711m!AVRXIA!Yct&cP1{1Kqbl1rA5vQUq~e;@(k$txZS!V@In#j8F=9lkPd4#F zt4}TzmG#rHdCujWX>cPyhoq4WKN4%B=|3G_(p6nkJer^9Fn^*E>*&}?sHc?G1)_qX z=zeHk0fVDL2xpkGGMGEcA1dgB6zL{HR0KpFfZ8AfI`J43O(z~!>8iLXvOS#UxqEYe zknQWEW6Z`OZx*M7g&!jm4>DyO)_HKlI$QOA@}XVLX0rCSwvL*VYxZhg(T2v8n+4dX z?E}rSr|nx)t{JIyNrya}Ir%5A0~_q+jk=kK(&de`L;KZrglkif^)%O0MWzrhH)C}j z4b;NaL#vyyd9Z5Mw`rIPdJY-1q8_rwSh6F;UsbvR^(^dO>~J*oP_o>or@biUnhk0P zDgqU$npMH-SnCOnS5L;{9j!NYM(Yy1XFN5q_|~|G(N9q0tO_RQt0*p%lSFK|9bJdA z9q}B7#Y1rzjy=&^;n@j`r&k<<nb0c^awZ84yl67&%CM&Z7B7iwioTEcz7ZXP8qcVp z!QyEZWZ0lMt}*%ozQLZ8Pp;U59G7`MMDCY)g2*ey(SBf@H!P#avpA)}nj;p*`Em5g z75iZ()QUZ@K}y9=STUs{6nUjE`U4Q>0?X+3%t&c4=j4+rHXyGQMBfME>|q&CJmXUu zj5+$G3OMpgUUVi9XAaBg@?4+NpwB)*DZ4bkuOh@#7#pdL8-N>3d%3f}rj?zU-&Yng z3&vu!asBYW6!p$*N?KXwyiIAy4KQ|#*0&GdrKopgzb2Ov=50731TfZ5>zh$_Sv!zk zc9xwaj*Vi!R@K*r*edI5LOy70M&X~e>8-KtAwwFQBV|X}6jgn7$ij5J623TZ!z%k# zn-0YaLzL6?3V4_H-N^<~Y%n`t6x$M#sTmuFgW3UFSu(ppSw9J%nztFY$t>H$4iLp| zVcUyh?}YeiY@Wg!6<+r26XdeP+5u`AL0d#E+cm$hIK&f-_0q=mzzY=hRU!MQy{y?+ zg|WWu6T;ZF>?C2VH~TB8Z2SDa!jM@Y)>#|Z4W}x+%-JVMWytw`1tE1nterOQ2|QZi zWz7DXTIMppFE4})#F}a2y5K%3^<E4LrL?5krXtWu7!x7)9dOdY*Sj%Zr<I;=wkZo# zf-&3VzWq*rrPMn!C~2jc%{HZhH^7)Ja^F6uu9d#|jMwB+LbDAgkO0Q`$$c|Q%jNIV zOE1aawZ=p<qQo(e0-Xq6wSlID`WYu3flXFvHlu-9|J>;>`8y~E3jB`ust&v_*f-)d zCNH9w(pC(G`dfh|0vl%OVMc=}CYX^gifIYV6pRf!f${-bX)>dMP(SH3)oe5DR4acc zj`3%Bi()o2D1`cPr>^FGC4muO%sTlyYUx4wJ!)x+e4xjvD#go|5kM}DYTj2ANWgnl z1?qw^>lyjNm~{+_Fvf=wC5&;C4|F@7O!2a0h)JaZ&HF9}J^^AJ<pWQga4BA<i~v%p zck{mdzzaZ(m3*MfDKN#$kReVjwQt^cVcB)Mx?<U3+O1;QV!FC~*<jkOe0f<>UADZa za4TEZD5@_n&net4FTYS!mo85!+)9^+71fuPdlhb%mOB;IoMnl^jk7FJRF^FKXsj~M z#V+|PUuK<)Uh+~_XPt{&vQz#v`s4JHp3*JroOJoU_L|D=$hp?#Y3)Cvu<GS0?H{5r z<&R&rL8@xzIm{AAV+H;G$Bz@*Yof55%MZ1GC|?f$NLdn1zsx*$f4Nb6Koqv(KWcwb zzI^(FqIDF7P5juolsElT$#SjYW$|+7{0Q|NYAJ2Hx_G%t;a0p{s;DknE>OH2`jMnH zBA;8o6gmBJ@W(Fg0b$sc<s3zI;qpm^Tj4TGQGIcls&Kowd`MAUu#8i<6)f*oROc^8 zE8OyzLlo6{%Yh2Fyk(T4`ogl0qRxv*p>Rr=HWer*VRQuKI{?#}u5%;4PUD<r+LWP` zV018K+z)%9@N_07rE$`kW0z1@!0648aUZNx;ps@6By&=jV<o8lV01h&UmP7vq==(` zNBJo|>re}<u~C=_Yb@`|)vMR)W?(ujn=DQ?u|ZY$9QGIV4vL0Q-={sRQTJK<Mqp!5 z5uHQhTQ~k@;UV&%p?fkSYtZ_`7w=75H(B$i3a!!37bG4>&{49&{obm&Tc{G24U=;i zUUCBRrE`9U1|-n}RQt5295&4y%Ut=Ot|ZZJpz5YQCt<gsbQ*^O`O-MMh)GJ%-%vZj zXkX}E53G|}A%jVnHZs_CMV&1%ip+^&RurL3!Ds}rK^W~0`BFIv&^roe7j%!piG$vC z!%ivcEQviN4w_kU5j6xvuZG?|f#DT(ro<=`XDzcLA5{WGTSM=<V8MzyLt;-V2ga<p zfZ7Q}#c~G*Y#s2Pp4b3NaXxZixsR?eDvUeOZ@ZY{3CD`liccW-UG{kbMg?&P`fR6C zJe{xsX~h)ezDqtAz^IMffnHlliYE*!CKo3m_l?_npvJ~**PzC-ic7df>BVQT0ph3# zti3p@(?^%!Ib*Bg#<fO0^m&3BV-+*8Uqw-&*d$`zZJ#=n&B#i$Ee?vpVC{*X3foR@ z9KHA$*O*>>5Iaez<N1(L6~ndyZk!~l$>%=a^M+3ZYAmCe#*L#Dleq)ps79X)_&R%R zKDl@ga!h9X5V=og3nD9uef+>EZ*F>zZE;GSHC8N)^26$ri}!Plsl|J^1C-*O+#*VG zD6*o^=K~Ps!cFhC%}A*;$L5oYHy|qteC`8L_T2O*w(%)-##nt)F&tTu=aUIUnRC;- zY}cpM>8mlqP%kw?80x8Z7KVDL&4i)u>LoDLO|1q);c68a>Z+E3p)P7E80xI%gP~4p z9vHet%>hGKtJz?vqnZJR!qh}C)Ip6UxQ&0mET@Yfz5HGxj}?c${C-isPW-55aZzq0 z4xRa)t)38UYz=+>{fJs5_?w;+s^$wG&~wC#pPRFw(CP2laz~;YGv~qL`{s@GoUQ8P zf(MdEyhTt>7d@K#o}|VK9?)_E)RBU}#gDEp4mNM3<=k1Uk{gL0*{dVLM|Ns9zFPL3 zAnzB3+Nlv>sIA%=47E|4fsd*do0_e9zVDY83LjN2UT=Ot$?;Y%0ijlEH4tj4Rso?F zYT1hMt(F3zW@<hVYO3Y|p(bh$5NfPu1EEH01`ukfCIX=bYAg^Ln>{dK=`ii#i3y+- z=FcaTyXp!f!?FkZEf*CYaEv&u@Wgz=W!EQQWKi}%pXHRo!wD0RR!Esoxa4{PjNF(# z&}%7Cc)&1Xa$(YZ!nmb}W^~MQjb=2fuq3-Ez3>bsKpYu?u@^^ny6P%DW-K+?ajlUL zU7u)1S%pl@S5agrCP`I$+qF((Jz_bW9S22XF!m}Bg=J@U9KG;ZwlTf%AZAio%X1}b z%7-ll*>RG{CfEDZ9yeSgG@}`XwCp%qAvt?M9NFl4VY=2HlTR+(Ge0V`d^n#VvjpeM zi(UP|Nbl_Q9?N1ytu;n0jP%3klMDA}8&eDSWDigZcV-t+3Pb113tc||kuKTk-If`O zT60W3sc^%5d4cPFAkscN{fT9~qShFrPb!4Zm*=@=0+Hs~>0OrV6}9?^6O@8W%?T9_ zp2CR8<hTJ-1H6Yj;%i#LndXEthgmQJlN{G?I+x<%f;f>@z-&$^b*KX){FCGQOnXy2 zRwKTW3l26Xa2&{B1R^=E*VIQ~J#OkMC?7LjPQI63P?S8-8WD-`7DwzrOcFh69A*Wh zM+#0Lt`a?-o4!aMfFi;WuSF3OhYxrUrRnG9QDy-JAr?h|4i^NYjDi!%zVw2hlLsUb z0*7|Ihun0!c{H;i32~KBd&8j)?=fk5D><E3KuPwc73@MJ5j=i#*a=4XCco=3?QAZW znM#_iWv16tYHblw<bs&y@*)S*6`hC%VT60KFSQ^c`5mQTSMohdL0s~?ZqrjKwU&q; zQUSWT{G!7U5V1P>-4j!MO06j(id3++xjf&Y1c<Ose%EChoKkCu=t(VrHJ4v-*a_^2 zMGp)ZI!wEJItNhl@|g+c7P`V6Vd#N=!$pNV+*zEKcY>L4*<z&=Ul4kr&u~iN?&KVh zmPcVGT(Y<T?%0SP=rxom++ogQa$XWMVcgJzH9BUvhBca%SAs4|&pYEBAl?z-Y%ku? zX`!ohpE1;+<63t-w0OcAW#uuQzlwH*Iwz@WZd=r`tVaxo(Q(iYjI+JUU18XXj-%%t zLmSic4mwXNYj_r9R{5}@039dU(PVLd+Wm$_1Zy-SkA{w;<&n_?;vJ0^7p80Mo%6|g zdzhm#!-vcSnIXt5FShUlcX*@Idkl*eHP+5z;SN7%eRAG@v@tbr4|;%-w-a4N$qQwc z7g~G(cDSI^yA3lGHRjIwq`VEx@&b$dzz%zK`V+%=MUAnuJ}D2*EYGvZ1a_FC)4L4U zD{Ayr7-5K)3Ly;fR5=SnJXB`F5O>uQ7~-Z<gCTI03Jh^o$-od7l@ttdR`J0QClwD2 zS)<~BA*)qvFvL;C07GCZA{gSJ!Ybk8+RG4K-1$;l0>z3$UTQBw>%^TknnlP+95SQL zR!y)rwuU^{9#M%{f798aDn9E0oh{aUW@bSl)7osvQ3YqRA86h)H`3W#RmWKmB%M4B z2+>8IQ!AaVu&f6(c7Q69^|!e5x@M5Mk;cBGse+6|o%X6ou+vV(p1v*95}<xzh@A=n zhS;i{!4Mmj8Q58+X<}OSX!k>f!p=&~b>;&K+gr5+gjlK6K!~ME1%z0rWGfXIl@tgu zQ}KZiQxy*gF;Q`V5Mvb^2r*JIfDl6!5eP9*VS(^i*ua3U1Ab-W6)xP%dw)!Sb3~Cx z{_2R5^3ihdcqcu7n{an)WBojxh%fH~cD~HA3s0i#Bd^vyYOF^x&Py=9kg9L|#M+u{ zyrajup!~|{PJ*7&C*1#1K~Cf!M~7~1dO7N_yq)mu?h#Luk*|h_#xqh``|ZzG?fy>` zyZaHL>-*uOKX>+#?<D9rWz6r+NhANaHs^-X-sVpQ6CZ52=k8C~54vsWY<O;J_b-*I zqjU9TvDVGvV{vir+FX$$$8Y#t^~4|X@BaHE>c*a1|IL{GIDVBkoke`FKIa$S=n{J7 z&tG+^`;>b>9oM^&{<CUTLE$%tRfpC-Jpb{EPL1<a&Jn>9@%O(uv(h!2es5I7?b4}g zbbt2BsO<0AFZ`YQ4)_jaE_LYX71iCBSEGM@P<C_fwa};0>BIjzJ<W1Y|1tfgrSeAq z&87N(Hy+>(OqZGD;#?l_%^2!)kA4L3^#9TpZq#r>SJlM*r#tu{>bc?FDvj&5<=Tv_ zFMs{G_(6B2ekkTu-iab?Yp+PTgzv3Pbu#<sLPz%8&<3i<`4^!&Nk^Y;`Fo51+oGk| zDjgZZJ&WCtd6i*4ap%UmR?mtWcQ3vF`kVf{B@Nri`Xq^Ndq!CGYwoA|kCo*m+a&M) zeivW6BjG~$f3&xiynWoL%(HRlbnm$Tdv*E!Fk}CpJ3`kwf4rSO%B;*tAU;3*<D2VK z&&mdNdC4l{`si~^VdOeN#hZ}-UZu1*2>$N$zu_Mo_LP5Gvnlagoc}`#jXUw_-7E2D z-GrxOt^W(Pt37219=@7--y!FRbMmpw4|GhVye`Z2=Ns(NEXQ?f#F1aEa^P>8zYF0W zwKVTAn_q}qpH55bj!>1X^n~*!MhVBk>VM8Q>s8j}LQf7xM4kvw`dqhmwdR|7+t)Q^ zM$S5$t#JR|{clfoP^Xh{%QZ2t{@;+7Z%;jbZ1>dm`ad*-zt4=eZNAri+Sy~Q(l^`% zx3u${pOvtBzst^p>@}BO<07jEmXW{MEr;C>iEDdiypX#*_wwlHvj5<NzueRre)Ye% z6puR>bokqJa`Tbb_4Zhw7(X7e>aX19oNfBPy7Q}UJfG?PXcum33r}IZQC#=W$o%b} z5wD*XW193n%v)Bh@NN#K0}s@DthTM=OV177?Wr8PYp1?Q?~n71<31}KFoNcay+gVV z51pO~hPMCl_+P8AxYBR-sbyBT-uzj2>??d^>ebfkbeDp0=A9q+F8_;;3Ek}Vd+pCl zkFHvH{%5y(@L@f>^xl|Q=`{WEUz^@@ru{yaV_PxDPAuIGQ&e*7elzp?%p;#67gkq# z^?vRBoe`AtcSk4ZCo1Aq>vG9nEB>XspSv?lA9Nf4*Srw%P50DLviFbQ&u}E~e{#I_ zilNu2KhbxW@WYf6m}FF~#z&n=blp%ko_J6aGgVk&|9IbHe$X!tU55#2U1dew3;3RY zbSQ_=7UXe)`XARnTYImrxow;Lx_evv%0ZJI&<83$Y-)P)G3d#E&Ib&8itM#fJ)NzQ z|NAJ}T;Km_{?9*x3id_{zIdGZHOoZke8uj}cg6MxE8DmHz$cGE*e&_!46|3e*-`0s z>ub7zGeqA^Tlwj+K_6#RrCs9e7@<33$3NGf-dLHOMcEuCjT#}>@p9ukgsYCr8-DUM z2z?&2y`OV?U=2oWzswamzRlZmvjFjQ)q|UxIF6r&5Brqep!nH(Y{hWWJLkNbPYgcY z@Xh-V!}G|$nw}osd5UAGm>fUTf$oi2I(sU=1>^et`0I(dr)Q0FLcZRRWiH5GE!PQi zCS->l?}T<&3wFCjJHZPZR0USSOZC6@vopR0moBW?Rrjo~Z0XP82lgJ+GT2`2cFWVE zpkMwOR9fCf;>_EwxKZ|}Wd0Oe@Pf5lR&q%GTrcsIX|$_0NxAQjaeDlcs{pZ0@28(} zl#K=Yv6?8Y{o<baq)q*z{H^s{!Mk^ZQc=3yOFo^?y-L4$g#>4|`r#%TzkqIbubH_U zKb~kCz+tpTN6StBXZPFp;B2cc4vEPZyvz?QvZg}5T{5VjzK}V;P`+MxY0C?jRLQ@0 z700~q;Rn5(*zsrZj`P3!YwOE4$Nf9E2A52-F(;mVI^459%W85hb^mAW9?A2#5h#`Y z#!mX^<lM+vdkm=RGP<?VNVfIex%KbMhfbcWMC=S1G|KO;jrgnk&-~i_Pw;$&Mfj1e z@Jp6c=)Vph4|RfY<e#|T>K_Mx^6WUZWvkTeuQRKr&c@w4-O-UGNEbk_Y<y-M3l3fX z<)aDxFT&opKUAi%zyGrFR_)&NCXW4A^26d^Y){{OaF?h3nRipLBe|1L)En$(T134p zhY`$YpLJkf;{A_-x$G-B#QQ|x@ileM#NFF#p1InZel)bKXca+&JpUNw-}d`{pZcOW ztC;EA(u7_}>^o9_H|a2jmGwz)<H>(iFWP?aema~b8r0tt$Fl1^qhfwXcm2bfDSf;? zK>yK{`$5N?PcOlWR}FPiz0sO$1H-##f1u+RZ(3T$j^BUte5DFcozMSeXUN|oqjijL zf6nhf|E&9uO7uCGv3=$T^%v(Xrx!;oRliof&l1|oZ|3|sdis{;#UV&y5B(Qa^K_qs z(*xrJx*F|Yx#RcsH<ec>{L(h?T0MIHkGw<w!rOjrnw*WQ=>1mU@b>OMo&O~gyL$gA z=sGW{D6ab3Y2CvYn_IV9r60Y`9A49g{^{x8VBau=5Puq|CcU2aU%N#iALwP2`L93z z;?uXBFdzGq2J=gwJAl%QQBSc-jntyy$J*K7es9|9b0aa@Yr|3Q$gZ;w-OO&odagO! zZU2S2@n!tefApPi{~q;-Zp!|z_t~MRUwiuROg@U`o#lUtyqcSkcPbi`RX;x}J?JaD zjrb{nZ1UGm;RDIhZ#GgF>K?-Pol^++o!=(J3m@#}0=5oapRd2U0sY|H&Tm*oT}Nrz zLXn;81ZF6>%ZJ{?z3Iw5<|%z0Ie5iv^ZGD9o1qHi>hBHjj;05^7+-~+H7m}XaC*4= z^fB{86+V)LtTB#)YnnsaH2!M6aBu$iopN!o>t-L|dP+m2H!i|KH|O2O{V7Ktc^ErP znw-2Cc`D%RZ!<FmDd}77Zp7!4AGrGk>3$H7n^^EI{MP9S&u(mfJjUB=H@R=E*kI}I zVITX@%vWsZTBdz0ykZP2POxt~wmN_R#p#6gDxUFf5~A*B+l}gigc@3(!PcsyGvqMh z=MQcd-S7M5Zy2pNO0QqFSi7~4y*9keH^ZZ%;&seDJ0R|BTj_^^<)&P>FYY#k;Hip# zBHlrpO#MmqcWBclITu_<9Al&?`<+cW-y8Sb^WQ`WLA}lx9{+vg{re~1?_6vD9bP+X zN@{nWGO2<&qFM{T?&|z1fbMw;wtQ{!x%H}U<1f|5l07tldwUcnQG3+xy>{KW)921Z z)3@d#QhNT4x6!ZmnHVs1*3d4lc?+u;yj!0U>6P@0p555t9mQjo(~To<_T<x&><=Hl zSqDY$IKS~)O!RtLQ%Uke-=wO9&h<`76$xgCC*C=Y?Au*(*yi5+y6C8gw3^FFRiis6 zJaB7IG_L;;o%CXab1(n?y@Tt-_v_j!OB(;lJtHp+!~55car3d)Z;iUIF<rdxODvfi zNxqfv`>U=Cch)-rO{W{T&b2k%V?7!?>vuJI_r6;ee-wovroE$W-r%$oNZZWFD<(A- zr)b{Mdb_6|?vD$MNPA&gb$qFEqFObzH$xn8YBDTv_r9yK5m`ies?Tja)#qyL{z>_4 z#WDrw{k7h2D5lw$`%KNh=gU80c5dyWf2{|t^6s8@w|oNRhq`{wkNAv>ej#Rlvzxdz zO2O^R+kFVxyS_g<n)QYCzeHdE+5`A~j9(%&%exw;3nN_b{%>I&e@}XdXf44=lChY4 z@5OhW10VV44cQM24kFea@&!k`)>Oxwu_CkeGo797_@Z13H)5?$E&ITSrcszR;wRUV zXy>+5FXZd~xsktg@YV&Lq6=xbh?!5XFHcQuWj)ZHK2`8wVvXhy>B~Y8yw<Yr{9SH@ z&5PTnD2onELd7S%!3RsDQLgjM@=N2Bb_MMgrZpDsXU<SHUk*Jc)LuXqjPD8Mo$Zrn zR<oM#MmW1lZs90jVL@3&{AK@#YS^2I_pYJa`&xyWt7>nVHdT~wQoMYPGj3_ycE_XU zuNB%q^ZP8QOs)Jbu`T{cc$^FW*`%%SzbA?*<l09ub{n;(t7eZ_c-*kwihe+O*!>^Z zhIrBJ;{n!B$F|sKwtO1tN!9&f>+zd??oYG!jeq?Qd-*^_+m)Is>y-E4PJP_0XO;O~ z{lfJj<yS~oSJhFBee``%XKcGF6HZ)lK$~3NrEK%=x?)|`up>S<{<QbH<2Q?DU$5Dd z`)9z}%28hKi-v*SaYrUdXwn;7$E<i6LH89hWDR!9Bim1vmu^QX;v@H5c^F@Qy`jf@ z2z#1vB_;mSRqw6wHQufSyOiBUlMOf5)&%5iy3s?A*j7pLCmD&E?{rXOtLViqHety_ zwx?m!vMv2zi>LvsucP){3XXXni`*P>!hO&4vj4O76;M%q!T*%&5=$<%bc2M%QUVeS z(jf?v(g@O>O0ys=DcvDRx`cG6q;yDwbfX}G$p7*Eo!>eC|2cooc@Nl~xp(Gs@65Y* zX5M?a7d;Vw#F^iTwGtU40<+S3gTAx`OLi*>TSO@i)v%EJc;vytJ<TxvN6e(Ow7xy6 zABzbO!#t2&{@z9|$wiRmA(sS3_(|^2)Ff(YXlEx_4J)44Ca5$iiIL&1KLs6Gn&tLh zgQp+LU4!!y=>KuXJx#QTTl>nwd#n`uq^ysY+EqS?1t}`%QdoeZa_Fso1`>9o*OoyD z{>5PiD<c`Bzy)T1z=KHFfUJ#8f?SzX%nszZfA8_ckDT5k%rD4vshoMs)*MhJQdw$b z@9YD;<u7<XlI@Uq!V^02M7u(Rv=p0`2ze&<UNO`M*24lOLYyjL#6_$s@6utOV6?Ch zEeot^ta%aFhiXZHj%-IXkcZ&vo*+6|k+|sLBsF@bb7Dz)O%}m!@D_=jRsb>LA$6l- z<Pq*yMrgVxn(<gh_AZi9h#njb>aLanp3vPxB`g!;fWru#Wx?IA-p$n*sMca6{G|xJ zvNV&HdZW1W_muygWB>z8y=L!4@FV#sd5W}nEbO6Q%)ur&OU$agZbH$IK1bFuk31qR zRdRh#%BjE%Wy)2Wiu$1bft`#xIZ`0%1#<$s7AHd*@dqj<5Jm#-)1tNfM_!Tf2-;32 zA`w<u1YH^vV+00&Q6wd4Ubtbk9CJ9MmeNL8FB^#`9*JP23}a%PbWxy>)!m=(+aWj9 zGo7Y_H~+@`_Gf>~W_2>P(SwQAw&8>o?ygso<3C{?rdWJmowE^XPh=s`Z_$`nT?PD4 zZx~pa0>e`>bAm~*puS)}vFDphZXorreTv4@`Xq`P>p0m%?e+qPpl$3Wojbg%kJ=&a z9uk+N><lV-;?_~iza84vm058FOYsG8eY;dZs2`S8T8?ruT*MqNhLTV+g=<1NlVTf# zDW_rPUP(u-c<^Rib+;*J+(`Dp{!Z6H%?+IeXyZpdLX{9C%Jzn6AG~EPLN1GXXEDkt zXwe|B(Kw195-E;Fl8ZAHV;o0k@zB$DljI2w)DhguvvnE^iv37wucCRb4-Ht!*u@P# z-{Tvnrg?>xZXe#5(t6R~&i%_V-kJ7l#pNWdO?cWL=a<vhMTJ(`cK=D>F<P@<k9V3S z$`<*T!39}tI9^!O%un0a_hL1S#$YTJqNdpsGa;4qHO*UP5M4<6e$*#Iu`lgC(?om6 zG7UXVOe0d^doErfcJ@mCEZ@n;>-j|qKlqz3k!(<q979}0+a0SH#hTh0kJKFTM=nVh zA)g)VM0($7b_RCd3LoBS-GQzpXfZl5g0T3pWHGiI4&^8AtOHi_F<3BZ)c_ND;Sm&j z_6;{s&ZHyqM+5C${6-QVi#e0J+K@!Nxb4G?9tN(zookzluAFWNt_Il&oU=|U*C+h? zY__L45Eqw+7>i*3e!qwAZCvdy83SH3t`3FZ7-fa@zpLJ!=ymSLD3`7?c$?^okNrFc zT$u`bO)}xkZ)2S4p%X&67?WiXonc@zn#a*zPyUl!D7si-+{$}>=sjWd_A5B`Rib(A zaPk8+nEKP4im3N%A<XEsuj+Elv0-2?2Ifq{iCU&=%20_Kf^SJpw5a0vK-m&g^g^oS zAGsFbZB4(rKcUU*2s1$AkCYx`CuU&?Y)RMb5p^24a(pD9;NL2T@rTTkBIqc?B_u$= zt^F9bZk?gL1DMPfr(-?A0wKk7wEy(_f>W`kbg3l`)*mxYymQ2`Cz?pwpUM46;voVX zRybFq^&ov8hTIzMPgW+G{=}ZmPO2pGmXGW_O~DR>lSG7t36m@QaG61hV-;*z?7N*E zqCBzwqowsNi|cBLQ%H#P<exw^$;LBUdI>3~Fj^|@2g>qj;s<E=na<;CtX~1HX!c^B z%XJ({*z~90PWgO#mnKs2R_xASji=QK_QVHz_e?N3wAq&hX=`Nz^1nS8vlTE6x>E6Z zEbFg}eiib^vGqh^mJ-)g8=wA4R{z=AA6$(*0(;u9!hlX1IQ1Z&9S9=2go!x52=8_H zz5aP+$i1^*zO1fkZf9*@Rc&bTxeK}STG=AG(P-!91>IR)sHtIOr^WA&gb^r0C~}7U zlfEIvb8U5{@G2f#ohq@dyx9~+IY&aVho;82BS9mUgfw#*`nPym?Vu+sY`)%EY-6w4 z5cI}cF2Pu_nr!b)uo@jP@Cd(RTtW@Uj4)ytWgc={>_!)}dkc7+Jd2izz<mgfCEz0{ zAd>#7)g&qRt(wB6F~U%0*D5L!zL7u3EPA2+15)7@N<?jx7CHOufg|Ws&-=$cqjx3> zf5a%#a<t)?^0hJScn*gY8m*b3F`_jwI8hGJ1v;_%bVb`MJ{^a!tguce@vCG9v&T6{ zSnoYaOeZbU+ey!O4LBL~jOFXXczNuJQeXd#bWyUhPr%RPb|q=6b*$46FL7Uj#DzAD z3EdGnhc@kIkjPE3E}dJEO$r$@zj{`GCg8qp{(aJ?KEt6W#b>!YZz?3oQE;Tpk!Ga= zDw$=?@A!$-g(eDvD0N)xO@UgYl<43~IewpP#DouLoWo-Gw!+!DryuL4r|Mey`>l1g z!MgSM@86BOd4<(Fo<v5r4auFJ;!Y;%YR5jb-b<boe^Q0;5nAGjgd0SLrX{dZJ70K+ zi#xfVWJBpg2;3|&t?<vCBSz<&+4>pKjMpNP<!q1)qV*-#F-XZna>ThjH%8o&f&CYz zC|w*<h>AUiU{_Lc!AnesFBU@4Jdwe~5Bx|r1d6K|UV?Kw7lX+9Lu!fN^I(t<g4ZQ^ z<h89H)%`5uB#bFqy!gVp$!40g)L5Ie7&e0r|Dja#QGt_%nJXc#x=%8L_xpPG9#OAa zq?+-k_;`GePew3{jud^4q6d!Pe_am~IJJ<vVOHH}hs334%8xMvWnl6$wRpCskDxSS zk$Ih|`by|l3?>!WSNZvnvmNLYG%n%Wni3K-I0oo_9<~;v>u%&NgVu!fL+$?TI@=16 z;Wgfm5QB}B5oR(>r;qD(YWNP8ubB;{k72`W)}stKArG>jmxTtEoS%OSjfN#QXvult z)Lcfrdn9DbQFqrvM^gBrj!AuuwwqNhlqX@y$A&lP#eBw(NPZ}LcW&6Y3r9Wb(d;+q z^IG=UPOX@P`7~y?(BU_^1Zof77HKBrO9amy8{kAt>7tGKB7$CidP4g{k(kczpP=X! z;!K`WOBEXjigEvw?%-t5M;JH8gpGl5e?T#CF|4fJ?Or>X3YdDknY*}HyYabunCrfH zfPuYvEc}}D%i~)!1gMP)<I*Nkbv)655M!q2YrvjToqa&2|47-jJj38nZc+rwJTJH_ zk<6mUcEi#PT!|j_4#~!Wqdv`Bng82fmR~H(9QqkO;qQdNYD);om@OiR!0^ZL!w`}3 zsvS43zTJP|Y~^d(t8V!CYl(>Gv%z8q3RP41{2`{AFN-_QAIu_(bJq<7DD4{|A*q02 zh={$*PC4${(3gtS2(oTLo%!g}f(eH*wVw?`Y26tg<o)#{=LIG>sr&G+-0Eq(*o#IU z%|7Z=u_hbk5YNrKo@SeDd&wFi&aY&xFPskCqR?;_*k%(%KiT%dOSf<+pG2G3gSSLu zn{Lzkz%<LDEgC7qcFjxqt?k&M64J1J>GUAcdhfYtb_<rO`A<XRPdvAK%xM)}B|K6? z2rdrp2W2>%A=8+SjAW-I7e(gzO*Rq@^`<M2&q%y>mKUo<>@|2!Qvceq02i6AIa4?- zA(GxLsn)Tr{wS_>okg7U*O}pxZI7x%_G$m|lBF-Nh73e>FRY<*^NU!CK#yYffM9?m z!@<>6x1z8@ct^9!`sXsOtMX5{fx^1orZX&mX9&7YrR*%0LZ!!im%0X8=PpIf`wS4) z2d;!iSOf!s4OpUDJlMZiGAC{LQh3gld_p5f1&1v-C(|cw2#sHHLh<@?3UEkg8U5sZ zjvo+1ubrA(A4alS9AbCJ(baWZ_C?NZJZ0v3+Fp`OL*aKgzFyD=zEOgiFeoG*(36Cn z^u6om=g&Gl{hV9nUo|B4c~JOd@2S`MoSW{cuR1zP^9uX*rv&5BczHSVkdTl>iYKR7 z)XZ+!q!^aItlPu|iApKw0-8NebS#r{Y}3t;HQp`7Q=-czp-z8asq+{ot%l$rLzM;0 zjbjJxo{EA8wW+#hf2{aw9ZUzw#7N;vztCxO5$RrI<L6Oi3g)b`_$xO2g6tm|xnEg0 zuYZ60?i%N=!^f=JF8gR5!gHpuS!_l@%1UUl#6wELa00%k6Lghky_e|B4SUI*v1dQ` zue_b*U`wY6j-Zx{#qy1gy_jh7WQ<o_Za?d$&7`LPU)z$WJPkPm?Ck!a`2X3Klaqs! z`~PcQ1Q=2eF>oLf;F;jRv-qTs5za2YZg#J2Js1V~1qB%O?Huf!d>ECTEcqB^9UK_7 z@4s?q)V6lFcJs2f;-kW3#K6aU=w$8TVCU{Z{168ZgBX(p52C21DJQFDs-~%^jNm86 z5+KGV#Us?!QIOYActMN<#>27jaMC4y2*JZORhH9zMhpVJHnnpCns|T*&Y8WQ!6(L} z!odM!5@X<iv57Hpz*xjsIA9!NY%qANZzU0v7z+%>AjY7=V8j4}9}u%s;bT7}W~L$l z5ld3x1D|0qa`GR#AJ*bf)oP`5%IKMTP7(YsTHyaY(XWldxKt`E3_LLY{~s+YYp?$c zt(Z3Nc-DdBZE;piVovZoh%5%{^vKFl&O&AO$LPqfe{0KIFlMV;%AcF4n>oBLIdUTM zQWaWR`F=S6{V1^V%dDaO|DKw^N!4om{P;;}{j6ZPW0jKrn^d<{j-t&o_$~T*p+SkX z#@*k!j@yflzk#d5w_6=|7t*)qCP{aj(ziRN|8Bk2|E=C#OaI&YeD^!>-`t6n%I*p5 z?#Ox7I{NOYCGgKy$L;y!yW7;egTR~XxxnAvfxqANIhX&t9KQQG9QfyDK-hbt486d+ zx1O2%6qQE0!hQa^FaB0F*IfKoFE<jda?qREMpCGsX{g!j&JZvJXq`EE;<MD3z6myc zt2gnfS;0it@#o~|JEP+75b1!=8%O(xRV%`QGgfzg9R(ZN8MB_nB7d(N$UE#78o5@k zKNWQUwV$roEcE7Hd9%=LAk@12X#-(oote?`VrEuPw6fb-O;}tfe4)7AocHe9^z?X< zyhCN3j?A__{3^LriY7yL#^<*;`AKRWeus#s1m>n_zq4HD;-61y)|LORKX**u#@$`x zzq2;d)+vR)vwk!*%=-?|(y;m4YJg$zB%hNdcR<H0ha|`7h0-G+9P<1varFMtWA3NX zbO|DXZ;Th=;iiGF&Xf-ut+?;TX{Y~I58|C93m;}n>jk{>>fJBDO<p$t+h~38C%bg# zo31Wz`J10ii?J15Eev|CsZxmsbBf#iKVog__00*Lj+L<b=W6WUEyg*Pdba<HDlH|< zh4K3H*Jg?cnoJyizI&Zy`tP#AI4-lcQ$*}WaoP0l68~RS!A527zw5^xd2@eE-@ZC? zwRYUxc7%yK8rs7w?(9;!>9i>uZ^|D(ddfAY<MRwVcn2QuBa0oL3Lp1T0I|8oO_Lud zHTPp{6P?CSBP5wGz;Vh_D2}3>E*%x;Y`M>-I?XcQOv&gbzI%8*gn{cyg5@P(oOK_A z`)LIAqv);Bc+1Krg*2rPcUAV8n&bV##)@*DacF<bD=d8Z?}h!H!0Su<5))q&vt0LC z@?X%{DVa4K2&=}V%sLbT*GQ68KIHHW$1bXJji1J*WxgN=LJ!>}+M1i3rLSFLCP&lX zMod2#)21{3c4!YLZq&ezqJe8QDr2LJth7jXluWv^S$;P6KhP#}Nti|$1Jb7g(sKjS z&zR<K+*Z8$6UVDd6P3>J0h~`_^Y-*Nxv6zHJIY8{fbvU5vjbfp*K4m3gxT1`JFPR} z9VPSEi3(<li3%3}i3<6fNL&gOA;URD5Gk5Ji1(U|7WSHy7E^;mOzu$KMSebMP07+b zgeBC3UeVQ|{`W6^*AMkSJ^TlK-X4nku(AApo~W>4o~W=co2an)PA-XUg;fmtibG6| zG;s}lD%eG`p%B8-{S!wK_KlR5S~5y}|Bc}j4rRRGq_pg-(VqV4EaBYB6v5QW6j6K1 z6ybKt6sS^MNMd8snpR`>8b4(`j$%+IZY;4VDJ@AAhuCCe${K$vUR<FVzM8TNSnU#! zgoj^nkeQ!nkXe9vuz>&3U_mu+zAPyj87&?eDJ^W1j25$>O-wEZP=@MW84p02b%p?= zGh|3l1yQyRYdGJDi*eq8#H#M!iElc)12j0-KS5ELt+M8f&f~iyB60;Z!=oGFTQDho z`aF(d#4iiquoWK7LZV@zUDfjq&XZoZ;8?4NsWGRN&b6Rmj#k+XE@v&Dej0dA$EdJe z0rsL&_$AMr?Z7#PqXGQ}gvK;%jz@Eod|1fmkJr;t=gGrwr6ODvTt99NWX-;Cb5^)L zKqY?+;rFa?c@Vr+m4_Z7ho`(7|FjXBrS)2Gx;T+dK*7va?YTZw2Tt+iJ;X*<jU<gh z2fEz{MKy(EbT}``rEO{E5~+rDf-|39HE%>`Y2Cg>DW%5dK%F`cO0c|FZi_cm8PwOg zkPmWP^*6+k<gfS>l$WeObxTEL7^mlB((}W65fRUPddK1PLeo|iR^HRPFK<h)k<uSe z6ML{ea~d1WUkf82tY{_75Vb?Ux9^nL<o}}A^^{UK7j4?{<3S^PNd3ht&~Z^l1yW=U zat|Zkcv#s6X%Ijr((_50`QfN40%80`?~byorTo#1xw^9QH!C&A4AnOcffZM8#s99n z1J&#_1b(`z_>jIglI6SIA$H{?E+|pAJKpjqlmFtAo#$rp3>PiCXE4jj_Q?}*KfKp= zj4rVt(jB`zmtYW#(vEnIl)AF_x%Nx3XZx@LWvNbFZ6epiX_hSJ3&14(K(B``RQ#cQ zNzI+f=dox%yq~Ka!JRI!G+kT>^x340B@}`c^Dg(j-o~Q^yl{KKcC)9kc~}pKs)MFk zMp!Q3+wp!N_tm?a4V{<Hc7&S4(0-rDPW_M<HVxD}Ojw)4m!?yVcItTkfvS60H}7ap zPAhV{Jz(IGyx?9n80SbqaK8f#Ck^q8yE=E8oG`w*UtXJtKWZAG#(n|TQIbNH0`^_! z);38W-c-cL|GAX~;CF+8D**m+Z>2o`7!a(-j@YbM;zb?l&p|y-9!AfkibTI4g(7+j zfl@_%xqUkxwhMxkTal+KZw?2#ZT`jtAlb1B!P@D|Hg!mFjPK5Ga+HelamW*qmCw4o zBf_<14@6#mz;y3}o9XnuCg*&x5Pi~k6+0T<Q0y7q4DI)i><sg^c)2C1yzcbZFh`Ny zG|pRJDlu($A*q{{Xd>;93A5NoDUvJ)JYxL~G1+*+M7?z5c-WbC{JFC4XtjSb?|T_T z#tY%Gf0Q~a(b;MSO{6-TzGXAD23jI>>6fn#)3#mMic=lu%EmsxcK&t6)Ew3LvDs)Z z>fXjLSM5I>DX1^M&L^#1jm}0$>k4a_6XxTMu)T=#4J+f9UU@97tNZVT^=x(#A3A=T zjUu7B+d_K|fB`cl(J#`vh~E5<e|2r|k55g{J-FZfn<fj+Jj+ky;4(gC3jUdM&FbV6 z+3iU*(YVcoS>>k`$xTnXUV|)h9YhE=QZXplR0$UDE;*}eYLVNd!Y+m<Y`6~H$5yE* z#I9cbi-3gdi1oPzPw}LR^|*!C^2~_=)_#zkY#pOYyJ7g*C9MahC`P-+iA2zDx`b`g zYHZ>lg~SHeX1N^9nm>N&?DxKHd*hrOYu?mpo1z+H(PZF_Hnzl}Z0}_!<aXFb_frr) zoF7^zUKFD>mq1R<cdZk6X*d3>52haO5$EBL*`L7@DJM_K6viKBV@DgCGY<>1S4(zU zRr#_PZlk-23GE5CU4mq32?gSNNI))PsDHF$W;~%s1DUiGX+yut=!P5g`Ovvrq!0C- zVy|y#MHO$~vIj)j86QB4-SsU&NbHdxE|CVbvHY1mc1vq6Akpm10E*P59})*kKe#&& zpKPjSEyQWVL@UdjCa9)XehVb6w=*o7OO@N1%u$tW8pz=~u#6u74+cvX+gq)l1a9@O z^ilhhnK;V-g=a<59)*ijKC)8n5MfRJbQY$XZJlYZ&Ru@s!0xeR5N%|3(wgq;?z%u> zz=-}-0AF|%0=4mr>?9ycNIPc2o062bEJLRCQPb7+%E8h+r6KU`DaKAoSXi2BDA?UL z9<Vbj{%9PVSBuKn!E{!+^GyzwNN~j&anuZb0eT1l*Yi^fBKH_4U9`(Xqd+htz^d>e zat=kZVGy{Ir4&R^|0Ut0T1#tj2oC7OZ)J+2@nr-K=*e%zXnBhuB<HW+#He@lq<Np+ zTbgB9ucs=X?<!)W+Tq}FB#6mxic#({tY{($ME#2~n40?`;H6N9{t|j)fCi+12C|oE zI&L8;dCPiaT0pGiBby52k4A+q;UHM*y$gfL83S_Q!<9BAA%bErpZmoz;n(C6q)oV8 zND-0h@5pZ3R$VX&#gTjxZ1_C$lodS`I?}Fp9p8z!vy!ZES=F>KRb7K`M**hwbkcyz z;=Nt{7$qZ3l;E>gs=l6&w3R%M$B5g@V~ZW{toUb_=NbvZB%EZF*WBr8#^QI!fK2YH zhY|qI(GGI_7#npBP`QlC#{Qo0Hup9eg;er5B@U0JFPIoaV0jc9v7E&?p}O5+wyk-x zL8)-?*?SPlt0_j5DEw=lqpt)2;YWN3PIJmVgy_YlqgSk0Et2vUB}mT0Q)1K+J*ipd z&jh7lC67X*!trbnOv;vc4WliN_{NUdoB=X9uNq2l8Q&R`TnQ(hm%EIj<1Ux#9jT&c zuAW3d^?V}x-H33}F@%N|LJ+9Pgp4H%1j`{$Hu3@<iH-%?ReY2M*=G^8)iB<a=1}vh zzWr*&MsF3nZNVVOErzALeR<J|#5wklUzn3(AZ@(SaCmMwQ=~lH|7FJWF0GsHF<Il0 zHEBbu+In%S-O_0d##|duukhn&mzh6*r)v(|-gBRk)&KiBWz)DFkfOs{S>2NL;(MH4 zrg<E)uK(4}Pd-GAH-fK&tmTi^@<!n2H`=MDKQ*IPO@`L>E`0PP#d!m4{JB=jx?k5* zZoZZ|6IvvD%IdO&WR3OpVsq_6K*#(e`^AZHU1kw-S!eO!5-C=c4t-+R;FWLUjo9y9 ziI1zkwQgsdOE!(K)=Mf~S#tb$o*p?yWWsGxM	tv12=(qb`|Sm98A^;Ig~CM(Sff zCHtlFuXi3Snkv!=C!2p=w>8TthK80e4Yr!TrDvKq0D{w(jdd%IkD^nzDy!qln)V;o ze!HcgggxB2&0%=1xIw05QvSrK4kZm;{fcJyLemuocP98?Trh@@oh2A);xzk2%FkVe z2r070PTm05VQkbU9PAPTV$zso?B;?Mxn_W<v!Wi0L9W^jHW|u{@-lz6)zFqXCCGVe zQ|`*{lS(O@<WrW~|6>1@anhm4P%vz7xQL+tM(8H9MhsykoRl#KL*O6)ReQ&v^pA#C zEHv_2>|fOF4L|bFBXA;GO;^qg61#;q(yS6X-5C;nWV2Q&lnE|y^7%&)aC)CN_^0Ct zIlu(KaR8ni0G?Ct&*|?<>)QuRNi%O>*!%xYMuJ<}ulZE9seT}^Lf<M`M81AW>MSXr z&pWZ1Vq5n!9^*)gRsJDS)c6Eqa`y)lH9<wBi9=x78;8Wj{11R@PGpPFsp$sGiEy1N zsZdZU43ZXd8JMdDxDCI%8<2mR0>av~WfaH(;gQ+q2_%3p*(Vr#tzh!Dida*sM|O<k z!5~sPyS(uz5G>n{I1lhk9v@}HoX%=HPTR_5&*VP?zrxS5>aW_$sh_g)FC$rtff-ZX ziGXecGe(jKmwyf+Hv-I<T0lbsK*JFq_N*I~*=g_dj*~K{mFw3x2Q{1FrU6^f;8BL= z_rTjc3(&&FwhlM^fz)GMl?R4%_52sVeWE8HG$%F7i)z0Fwm>kGmFvcho)A8Vq}X@F zNjR5*R7tE=tqe)PBGd#%iEkj^_QCmONrMvOcmjy@r(GUD5(KMVu3um{qjOnIxmC}n z`ErX|p>A-zOnu9}!H1f1T9nUstX&E^moWoDSc|7*%wZ6Caie3BO~cJ{y>e~O7pLjT z!#C>2+WK^<aE)fir0{xmFYF=gU}boGni96q;FOGI>!OIYS4*E`rl5rJ{xip1VfSSz zr=deD*QJlu^NyL1>KwCZwCKSxAA@_TV4N|<!Tp>Kj^7Wx3KvBjy&Auv3^Pp}Jr;{c zH7@&2V42JHdvc4<nlZP6J5j6gogVBEXw{_5=8$ui4_58s7pFz6+MXk)=}QjuB5(_+ z4M)pHV!vC1qinuo>N21Q;JR7)fDIrP1U}Z{h(GG2*$kWsQyZTz4$xJ;SGCb<ks{96 ze+hht?<lbe`tT5|#Fo)D5roHKo98;>Xk_IjF$WP4l*l4WWqktBre~|6T1MEmv0ryQ z&v#V(uMaR_1XD8W#1J@Pv!iO}@++4@jZC^%p1|1z64e5w2NXdp*MG!!JXniukR-O% z#E$ZUYx!)}^Af>dwKxu^Ez`Rej?I(xp`KWJT?|Qa2wnEZwWwVji>Gtd9VOqx)mjv6 zzzcN31%c=MErug%QjbeCimg8{X|8&cM+FPSb&sYgZZ;;_k$?Uk7l_!yic>P#U@GO7 zWb{Pcj7=npOnqOY<+T&4d^qMBFEZ6ko(gs9Wkc1ErE^B7&q|d<<IAk^L0FxDE+`;y zr)I}mq<!0>h=1#G^YcaQ%U*G^k5U%Dfk`rO&oOWqOQUs`Csi2S>kjPDJtIsp(|!U& zK3XTz;#iwL%Ov_H(+(2Z0*&o8fe|g&lM7W&ITiJ(9q>R{$0lUf@2Az1g~+<}S=M{X zZ1=+YbiLI^)%7ZWPRHVlB}XHHsf;}@FW{_-9pwwx(wD(Tg*z=e6wk~$Mvm59H$ke? z^;#N>T)5iv`=5HZ0v(Jw$@Hm}i$Ykh$7S*#L*Um<_mZeK+&C6{&Z55C7=GK}3!idL z?PqFm1PD85v;?MLGT1^az%&Pj4)mYkEcfEjc>?0hh63WqELkVg-ILo#{ztBY9c2#J zqSC-dk=zR-JTC>DJqPYv58dE%w~lU6OWd!oOtZW2;0UrPo{0vLwgGMfu)DX*zLPeF zQp=wJX;$y)<2DkzHyevwC+>CK-vPZCsk@%)R2u=BSHecg{-^8UJ)$;E&llCoEtfv> zOB_!&9^SVO@xp#&V~7Ae)&~$?58$zu2I~&DjuMj;7RnbjIHGGP8|VZS%>C6S()O$j z`?wdu9EeA+=AR`t_i}2BMmuQFQEk%lS_@Ssk1gc^YAlEo4%rwE1NgWC_;BsmmRb}G z&+ctt5mPB*N1eg7(g65HJG?fk(`T9Q5vugQM+kRE?FBHesJ!hQuE!Ug1*ZH=e4q{3 zIIkvTHrOE0SL2;U_)d$5r9Shh?>W(wOWWU*&H@16u~9#o6Z>#^Q%{m1w+WM6^J@v5 z-;NtH(yM@tQoL8(v-=()|D}7dB#*V{$$=g`7xhN!=$kQtk*+_c2LAp&A(Jl!fj?>7 z90eY-cTf9e@t)0SlP^3QP~*ve!(4bjZu|1sQDbneT{&!2)qVRH{r7}(_k%IhCFKtE z0zgZ36x#p{*(l^wnw=*QdLn{xRul#IKWcCknc6>j<|tGd>NG7f^@KJR8r#Q)8gp8F zpFaCWG|!^=O9Y729$2-;f?x-LdsRD#^<M&%Sh?@yHy};4Yw9!S|7KC>y)@sIu%p!B zTJvhyDBk~uxkt(gu*i-3E-qbDySExu3p*C;fp%x^WpM<I%nQbOm>1lyaW9MCIz0(s zGOqU{5Cm9&AfH{9%RM+=0G2|P%kcPl1a^2EoL}`B$5c&f(DB$#&?TPBx50wL2Wwtx z&l|~g;5lOHdajqNCss;*gLfFEs%osB;mSo-0t@1X_rPRn%mF%YW_bbsv~4EJeQqog zm=rf`PP0>YZEnQCDV~(EfI*<Z1;R37Q3SAb_eC3_%Hw-@_9;@K&HZes=l{W@b`Os* zU<I}RbM<k*ho?O0o~P0slmlpR-B^TX;<NK`z1Lv-KMnrC;{kII4~LKI|EM1&tjz)D zkl5mnXO0iQe+Q`9`RsnVE$Y$QM=uAx4|T+au%-Yj5NZfK<=%qzr0+2@T)zhs7XWjz zahM0_6#$0(-apu1F0#g_0r)h*$J2h-lf{kJfdB`mb`U}HWwfrUk1f31Rk;v(kG}Bg zJ^H-BMqdub$pZumEbd!|?bid-ZRfwKDZ-bY!~*yq@PA_)bw9R-D%iC+#lb*`z_!BW z9+Y*bNPvkZb!0=7FMUvqFWqkb-rx=Q?hRgVLdHTEGQwXH-2c5n^>dw9qo-%pyjLBa zdsRQMjze+bDO$XLCc5+9gx2n0rP!mkfWtEiQsgvyS>!}<r4fC|cyLhnHMSaCqs}Yx zD2@y7#^QayS&2g5necp6kyvX)d~>gs_Dd=t^wN_}v5^0z%PxV=z(3pes1qn^hk6Y_ zQFI#7?+f3Aktw@sp;$emo)=Z|XG{zCi}BWAziFRhmdGJ|GVy)Aj&WNc^=q0%6$&7? zw5tHfIZ-U0LLSN{=2)fnY95AGlst&6`9r_0_$lTVV{uxY5x2+n$^E6>b93%0?Fva* zO7B&Z2aEUw!l%iL6Px?tB??~Yg8fmWRoZ%e&?r?v8JM;8NTKV3-E-G)!R4r;MwxVA z?I{HGKv8O+^-kgr;!^%P*H`E6Z<!5O))^wW)_Ero^9A8(NKii39Q7mD(0n{m>N3~p zd`zbs8dldaBJ#1D{Qd{h1TGUq2;=h}aOy2Tiu+w!m+oVS>~1<~Xyd_=^iARSN&@ov zel6f_^t$t1TBz)Q@odL`^1I(kPy4JPG3l?(BNg9KtyeP?S1%9=&1(}L<jPn-+vJmm z&YP?RK1k~(iNK?^{?scF@rd^Ir+(ZBkl3DceAA1Y{O(uM)AKt>%*z||NNk{qJceS| zuS7y(hv7ExoO495iSwW9Z?XM71mt4fT1l0HFV?9L!m*S&e0tB=ya0B3fc*eq|6?9` z3$O<P?Bf9YFTH=rGz0z2zCX&dSsnP&%s&6nH0`^Ee~BO&Gk>*~d)slFbTd`_TO0;H z+cBe;5v=vTk==Sk6Z7W>f1O2DlrL}oWxiE1OCnOv@)-^t`QFoz+z)~+WZHA=;T@^h z>pW$xUU`|{ZMUiidu?lV5?WcvJ@PJd1<5*l72j>J+78#7GFmXwD-TdI`$&}D8@`g- zmi(m~Xv7V+uyriRK&rowAXN=eTF)Y~%lepLQkZkb6g>5m)pZC7)wqst(w_JVJWK5+ zzEgl9QB0Eo+OjRVzo@baMjgI6MH8hfd>~S${lyfH{j{h$_u0b9^Qog6`=)3>s&9Z) z%&U6a?`52==S=(qz81*=pQf#(nj{{bJ6hLhy1n~SJ10g&LUq7IYAGpiUWc@eVGbRB zm+4bPgd20pB&0VO`}N(hPopz8${b!JB`}S|iMWcFcV^JmS5dU}x1>fLO0FQQ#>Y0_ zzEvv7CF1(^n+b&pulac;!$1n`JxGDQhj}$oy6<1!{fg@B>PX342UDJ3#8D6E3;0(N z@a=@&%N}DQlC(`G(po8bbKs$OBdk$vA4X4!gZJo}1x69jV!z0KXySbNycIz9gNc+3 zAPWKH<cMkuk^;zX=q)IKh=|J^0)8Cd`>MqWi1+alB{c3a;fYGhZ$42zv_8l{f{ibT zqqqUG1q7Tgq4!la9}viRK$M^_8|&!b^yFmW+2-Zv^CIh?9aeXhc80f<68jt!$JnW) zC}oE2-02tNqwOUDRG&+9b!*GmJ=w{5<+n(qbb&z3cLaPEI-9Y5ciC)OGo)hva(&c) z>xJ3t^)I+M>gy2XgIDhQ8{|N&S};NR(CkyUSHv3!OWUAI0!VduK8c7B9HmY$n&<dn zhA!OOpq<gb{FHBa2g=uu`;!`ob89Eee6G{+>DCG!u$sAmha1sSG!B|a(a`EOj>)s1 zMdsrXQJ0+s<zpTT!F#15o;41S!;kslp^GP5&LuzW1`+3`l=Q+|orjj|ugK{IpMS$6 zN*PG*CUcJdBzSY^W6an3;S;?z12VgtO<NTILpPZlom990oR~@-JL);GvI3GhBycc) zR*c>TRw=g{yQdH;$uB4hh}B}1DpG0g+r_Y45cH=UBwun8uy_XNZ_$~1Cbdq<<N?b{ zKVZGV0fGCCGs<`i8b&bzo-%D(7?j`_6b$fVlq&85{J#MHYYO^a{qcj<u!T_sjtFUy zV9O|oh(zDCKJZ3q8TyK1<&AzBek-Ts(eQ*0m8p-&XKb8);6lIyf%cEfSi*sT_oLQD zG*n5F!zlkWW?DE02q$wC(Qg3ZWFPr`J4x0H0`?CBrgm}NO4^iE&sRwFH1nk)IM)+0 z1&<+6VD(!l3c>otT$oIyv5(iIWMau#`jAR)p9z%Y7baVj(=Vg_%}i!pdHF%xKlL;z zDfu<^^*w|F5RWeAtwyn79pQa`U(V5l|K`T0V|z8MN&4yF)@qn<-l^KGUwqq@SL8|K z>5G|Idgk%c(}H#?W%DmYxD{v991{x&C=hCR_L2y9d=w$)@jD(YCCQ3P26nq;SHXi) zPdpvh)zf^NeyFGIY?9d?lYC0k@wwBAdKEynz0@7rL}wi3YrXj8(Oa_ZzyF1tDYO>J zf&SyakG12vPqb9KbKbCz^o2b_hiS2;kd}4VbaNNszxfEzyJ=JE1J?>};95amYG1*A zfnl#C)oZ97hpsvex@ye{WL|8(`lI8+ym)=3#q~775lNJ;`?=(46a<*@69~A7uTsz` zy@g&nJ*jy)vZ#6#AvjOZpwKi>b@ZsUq^$mG&*9dsE?wFFsRdf*cQ>*Ys$q^X`~XyA zY(YG%{_d+AipltjR7%X@+=$Tr*M>{b3N7ITvWMi_ZJzZL?O2|4s8I?3haRk+v{zqD zqtZs*f(>|5(+1tbsd?to#=@ORX$ZMv*3eBWu40{7gvhjS>)@YaS^dU@ulbSP7Yz?a z^t1cTgG*n0ra&9y)Gk#_8aPoL@QB)brPzC{cc-Rxo7cYDK^xS&&sVI7J5Zd_irD)S zX0k~kM~8B{Y%09A(aI$0ufAAbY$0hyxfN3~zrcn^Gf-PLN0&@w?edCMo_KU(6%#o< znBQH+<c$aweR>pf`t1o{pYHek<!xO`4XVt_&DGI2YNRvOcre?R{5d0!%V7uINl2)A z_ygU|O=#Z=M7BlMT3Ba=UYKJeghd45o$L`2^CRP6BdUyky$BohsOIRnqtTUxjkxf^ zXhWNc-9smDLi}HAXn9RS?q3_|XU^;{QI`b5h?IO79W^YE41w^lu?^!RP%q?02<<)_ z|BI6?#}I+{i><jvMyFAQAPtM6vEMxE0B{~%=BP|sLYHVU-=F#g3{!8y{}Iekb&g|T zQ^PEX5iG_Q78;v^2%*M}5*zf2&8JSfz?a{<u7MHxCgxC8O{?bvG?1#cM(Ryn<`S0= z`%Mj7!$cq?t!%>-3Dh?Q5JEoZv)<%^6&1HXbHD%9`t6=cA^gQNu&naBUAb1e<U73l zPiYxNx%4^Au))EOAo?xnxoHoqgXv{YVq8sb%?!=b@?#DIrxtRY;S&$GLAS+9H>@|h zQ%_d5KfXg$MSOXRc=vnwZ-g=A;OWqcmSDs_g{Pw-oGe*ay2#~6;UOLLrQ_7lbd*Ui z2um>vBJUJR*9#&Yh=f=<g*qOCzBI*aG|~K+UINiXLU1%lX{M2NQS&kzIMuRml`^9s z&{#5>PfH-9Y>1&SnJ#ZeF?wJj@71WIOWM4QnFK&YLr6lCW)(A^*ADRq$i2vDrW?$2 zTVx&iin5PE;=&DG?<}yRczZz?OmvJQTJuT{S`3q2aVzCM<>w4W#poZo?t!>GpYK#H zbZ<@Z><{h)scmje*)uEdxO+#gJD5kVcZj%w;)^eKOBH<K;dlA^r1~$k6ZMU?we_{N zC-n`r@z51TPzzsWuI~4DLGOP%mev5tE_Pk6iYpF9;9d>9;^#mL_B%OzwoxwVOBJz2 zHn+U~oo24y-zv^JJM#`HpL6MyD7=B8K4OXCJ}}L{%^UI){R!5Wng6)%8alVTSGSEh z|5l>EoHq2=cdugBQ~o2YE<E)P%f9<{!u`)A=_Js0s;CU88L3?GHlZ=9sIr@CR8A_> ztNi|V3(1f%4R^L<7hn3b8(4M4XXCV7CUWBNy|JpgsZ-i1NOesa+n7|7W=#<P0Mw}V z{`bV)i!<Jn#Kvp~?Z#w>$;M0vJeS7{(2aLBT-~8oIxwOnb!y2P`&(_Da-cpSQbZlr z0H=ON-W=di)wb1W=a?j2crak98}MrEO}U}&O#b44Roj<J^-^8B=0FGbPi{+PWz>G- zGxgUx7r#Asm7QO{?%vyyRWF}dM80vE4b5oi4t>VH_(-wRC?lu5`{0>8wsrpI3Y__g z#`cpc-WfTARad%Cu}R^v2WoxXwRCe)RU&h)bbCh*17ChKPPrZhd;%p&=O^qnzI-t- z7%<RQ^hAUF$?=03*GF@%-)Km9UtG}rj;Rt|b=9OHIV*D*kjgI~^<1*_lUQ_(ll<zj zgkUJ^VlCTzQNI}xsOFJ1<h{hdKQbO3OQQnO%|2*knl8;hkZ|HRac<uPJ>Ll$^IDQ= z{%$+~$!h?FSq)VixcHe5Qv-T^Em8G&#`Vdn>st#comI<A)7H~!n{AJqD~3nyeAcU} zuO4OU52wW4IrgpI@UGsOy<UKdi1xCh_cjAxo)JaWLt{n1a=28;K8-4boO99I#cOW5 zga%*|3V)^CFbfSJA%uTT?f(b*BRD@7-@{&A%``IzwSj--;BNz1>;M)u$9PRk6@Z0Z z^ed%>Ho(Fz0<eSuEL5xUJ;msJrkPS`zwlQMbRAR6jQ%RIQIIFF64GBJHVPAlRDY~) zW~wL9dwXb;QdQZk;AvtloWh#<hJTA}Y`WF8{E5HO{$`-g8EW;#)N}<FC7T}(1-l<B z1v)3CGE=0qH(*w0@mI-rm64mP6YlnNzr!tueU3ZTzK*jeDijv((*NEn6lxc?U3}<{ z(8Lv&F;XEs+a{BXJ$;`z<)c1GU3c?q@xAniuj-jEUh71PjK0bE+f>Fy-#z*eZ>jxT z(-eome1U6b3gF2WRF5(=rO<Ys_!YO)ZOxSVM>&6<8XC<sa?QToXBT~tp{hL_xKBS+ zc4E{}HdK{Z6PPZootCysl6G3^PftcKbjOj2W5b@wYQvTZwc*HwZ?k4%ji`%+r=>c) z=jU4Z(Er0q2c$z9_rCY4_x*^juV;<2nQo1;g>{Xxxk8PyrEd*aXN{Fk<j28cuxg^Z z9gl{HHJbD66K9NS#aCkwO7=_~3f5zFONRoE&NO+=$cd;Nunw7&oto+|EB;#@@Ab2{ zKrNE4V_62=ZmOtWS=Z4~o%dSg++gI+J>?y0316-0qpoK(LxygCpu?6i{N3vONFcTt z4?jA=|GA4RUZDXWf5aB4rGb+MM~Z#SYu_MT9wLE+EgKa};594yPV9nJ{%j!E%*;Le zN5c<_tBoz543pac*<to!?3j&=5`G}Jj1Z$W19Hm*KyG=RR>Km=EmJLg9X);DI3r#E zsiI0XUlS<*u?#_2=!PK7twRu&3M}43uC(g*_8E&OO&oqWDZ*|$R^3T*aaKt(zpaww z8+zkeQ`r5WDXe~Q3JyOkNtr~B-Zpv7u$8DAmBN<=9HBX=B~QDioyVw5T~P(flaJk3 z@5)LYGvszyqh(51qvZ!!qvaM^qx<by^|4x2YQlEE?+(A$ROOigT#lM`DvZvuf#g8B zd|$=m>PPf@%^@vMy!&j&G1(i@%blV)>EftP79dNx%Y=uJyqTZ^N(-+2l_G&OYyM|s z(Zm2ka97<sR^G^u%za{iiwO%@Oct~tIXy0jQG7ruwiX$-yUj%6=c5F}mShbL_SoTU z&5!${{Py8Qa(aL_tw}ny5JOUD3=|gTqZ|tU1|*4fU{<GLIKo^Ww(?lPmp|6(q`}L| zm+x;CcB#LKkiM3ZU(Z6?X8n%Gpp<0oWh06L_CJ%28%)&3A0-qE=-Q&Fh36#Y*P4+^ zOF&LElfJ-p7=g8P8BZ)B$=a<!q-@!Mv_d0~X@%a%I9WU!ebp=|^yU%}W;*$)EA~i% zY~%tw63CL+Ih4qPBrF&tw`7jD*Wnf*;)UorkBtpBH_Fe{y+0Ta`|Q~vI5r6_)s&z6 z=$X;I2(?GsOnAdU4*U}mhiaRNDZ*bVjD$Y1Ux{eY47w~%TDzw{!cTj|WW#m9WJ7Ym zgtrOgnnRE{jj|(&DPcgh0|`*=085o(4OQ(O<ObR&q|2a=pxhf8;cu0BSuBwH6bEw~ z$Vmmj<n?7j;S`gMp$e@0l%J=1E0_-Z4xj=P`tjYeL?mKsOr$r!jxrT#y9A*08$ihf zKxqI#X_txmkS*?GlTUV$s6e3&k*~#k^qdn>!u%E!-Xb7*ITA-~ory`qPbmz@Y4+Oz zlJhK!<8kbc0n`M*SP2*I4Z&llc3uZr!ojW|KqRr_jHn*?*EOv})hFWdEk4;%ic76u z-lBYh3eWOwWX(EB@WMc}#WGf%`B#4}Wt&lSn!tKHu59Ef{DcvLMVq%jG~af3Ni6iH zbm%bj>~fN^lfHoOrp7Glh~7dYu%PD9Kg1jOkg$x;{C5qoy>QY>UQo<)`Y0R3`@&@M zc&iM_X`KJ4mguroBNSuqQ}c)A;Ri&|#WZzoI<o!Kf!sZix@P`D=I9;x9RbyNo@||~ zu8xhW1+t$J-<8e0JMJ^qz^4q7>Y*3|cJciJZ#eRoZ$U)Q^?@dG7n)*0m?9I5DAcqD zbIZ*ZAbSWPJKCKWf>n_(3o9?pPTNy3>nwpMQ2k)S!<LdSyepB%@T%DdntN*(|BT@c z2Q|&Pdzrw(pQCgnrzBt&94~Sg(mykhr)U3pHEC3I7BV08w!EYh8`$8VFyZ}<T(&a3 z_>%_0qzAf1PHTig4pV@+{EImJmHdF!>XdKl_l|7S69D(cX^kRC1&!+!Z^G_A6Q0SZ z&chE&NgzyMdx|=q*7yLaDEyzofXiv8L#yR<K$A&Elt%8mmuCZ{TGxz=hun{BLWRL2 z!8cv1OL^5|T?t*nH=BYT2n#-j@NUVKJb_$M9Q{rjIi4R75(-z)jmbx{R@pVNIh_Se zFTt*S*GB+{bydR=**ej=>m%9?2n^{Ps_X?EQ@)5W%dD=azPE5^VzHi!7hbcC8Ef&4 ziEI4nx*<J9`nZHi_H1H;jYD)gH)?-s1Dw;KF`~A{n-I*ZpAp2OK8UbPfPMJg`iXvM zXUM96RC2aU37Z&eF}oPFm{knU&LM`Srs87dk|R-k>FxFN&kBDnv-5b`Fb&qoI)usQ zX9T!bEcC5Jr`HN28g;;Bsbua5_+E9u_j-o(@Gt-siQGU%q8=+C!&f@b8=J7ofIu#X zbqFleH`KuUG=i80Pyv$F)t+FE>rA}cck#k3&Tjw*DTBm8%HQB1<yvr%&lZ8INKuBf zSb{1S#pQNX0mrH-o|I4MGw&7RJQ`>4M6#&-Za4Lz5r2;RXx-x;s&5z8wsF^J9AxJ> z4zf2M2id)bgY3@(S_#IDB^WzjW)g@!(F(6_m9->r2J>f#hEes=Fvt9W*b!_~P@88G zM7V%%juW3Q@f~uj`MJNPTliT_mx@rhY_-c=i}y)FQ-;ss5~5z>#$r4sqop?98Kb#b zNpUh}wl-azOcu5ESchOf0r1TR@QrO{LJ_tyg_11!TCR%y43ls^jDAh;{x-XQXO#H3 z;_J#!Sk_uR)rU1Ls<gFns`xeVxHK@{cE_#N&Wpaizf-$ykK^Yh+zWjWK?$u)z2FsM zb1`QnODgBDW@6qe^S^OS)~>tS`F16}SF8?w-Fds1T%@{~Tv@vEU4($i!`(%)<^|M% z3f=dg;1PTBIJ~x1c9Yo|>=Gp!);L1LY`hM!Gv0zw6IhRB9!6uF@C#d+KJ-c5v$t0= zcMd_Uxr87#ltK{ewjqd3{ScPUGaN-wYT8fs8@yhBU@(_<ATT08I3ysP1|S?IAe<;5 z9MS8qE7U(ASR7656ql`gJtO~SnZ`xCm?j=~<@3Y4^3UK*lNk3^vP)}YH2%nQC@`oc zzM=ipz@SRFlO$XcS`)1h=W{qK6;e8X%@ci%{w<d4UJ0B{UEcB@`Th(s$TUQD4g(`x zj)NSG1}b^8NtuPIUjxeG1InI+)bB{V$bY<=54#1#d%h&xz4GAb+Z?eu1|XrBGrz9@ z(B$*fb5O9gu_?Fz%7~H+ctp)biATkSlSjkFHfzVG=rDU0|9;B2?x5PHnzI1#Jb_6g z1x%V4U?8(+=>i}m?57cM_VWmW;=8e1a--5Nz7j$pTp>M7epzj7DJe#8!7$8nXx*rO z@DgooAu&u&2rOm@hOueQzB}v8BY5J_&^!CS4&L?A^33|`z8a)X+C)Q9*Q+Q4$mOFE z2lPwJXQ6GF#iH{x7KWj1B>lqknf>!1f1&wRt<P%a_MvT9<ur%M`ZH#qyK6xH!YKfu znRRFz(=2?R#@sQq&8`A?$yewF<f05J0-xtblN{@?4Y#oo2`xZ}5fux*q+e|s<9?ze zmgZD>rT#?SoGPjwIqw{Je~?1xq(xe#b{2seS=mK9Kk|RX<U^IZ1YW8%vevU^LhIQw z;a<S%^H5DBd_LLXJz4@-edYkGPcn;+bGwDVk7tCF9bqLde3uG)k|d3CNo5p`^fz*D zQl(ur^P30fo$YAY@U51m!eN4M<vwg~_KTC9roVqm?Dc$GM)KNrN?gqCWl1MQ;>286 zxRSrS=bf!?bmmjNIlKl7B9@_A>6f>bNjADDdu~_h+3&4|)|>2s{n!i@mDeQP(q0ce z=rcm!<i@`Y_0P$kqfztmzSV_S+CA3|mH79lqU(k0jiq;cS^40l*Pj6E{@Y?rn*HSY zB>=<}0K|F`07N4I#CiZtb438eLwoexkB5+pjK6C#0OSt=$i=1s>UDrR7C?H<7NCw5 zo~K#&xu<pnAeXwQHuDCkTPzMF4m|n(NLXr~)<kTu1^y^GUVGeR2p?2$Sfpu^h&0jE zp6{o@sqfFpClM_|Kj~sZb9OOR^LOQ=8N2cYq`OGWUWKsqF5xJKiQ~q?Z8*dP)B3!d zl|8N=jU2j&dfhP}6F24pt_g4>KIv=Yjmc|Z7YU#mT?42_kG`))2i%lo^v5{?WVcQG z)adxTp_5*MU5>vRaFH|Q(@xL|v4xZ~P&X!8RGlJfg=W7b$Vg-QefVME%0xjS$tHO; z<4bAXiQ4Y@&18+t>k^)cK`w3&k0BUcmRr!JhPb>$32nZ8wS!GFv{~Fb__#x{@8)9b z$&E%aeH9~rUSr>Hlu_93-UpUnkDSapXmaJ8UlQ;Bym>|rl<;#&{vFZ9p$Ae^0|E-` z%4!onFdZz(XfCFl0jQ9Mg$nGkr3&ctQ+F8C#GGSTy78+Pn)JaR>S_tLG>a<c4r&ur zlsZ^3aa>I7y&u42mMX9$3l&h(GE4sWw=Zd3PuPmVYA7z!DU0{rztRc>Sc-AdsCDFG zqPR$&4Saw<>-#|PtQj@Fdt&H;$71om^E8cFfTx&~0L2AESf~Vb39$9%rIDKF(U=8E z95<0|RB_8U?%>%id506w2k22*ZwI0Tnr+e6!QoYm)t%(f?Us;!=9ggfJh>dtpTo`f zMA0EpIGe`b;?maeq%BLy-mn!viBJi)t};vM2LdhK<Z!)axgcF2(DH@s%}0o5#=##F zA>;Me6|QoQAq%njQJsb_<Mns&@D5C5Ekn6+=6CU!M4IHtMJRW0xnDGNQoIxp-_t93 ztL|_U(UjOmBl4qGll$F{lA~c>ZA%A(Kp*+Le%ca-42utzwvYJd$XU0WLb{b-j*D&K zVQRF<MG9&BRd-D4Z_Hs3m<31;6agD^&s|W&-wEqh{|9~Yyhdi=+tbfijcOYCyruXa zOrB9yKs-KvdX!aRAG0~ZFY>sEKL259$wbn$(5`}KsQkkrrz-O{<z?d<;CKKW`k$5` z)s<MGygtyb>0S;_35fUNtHhor{?FFA_0O-u_rLe8g|Z1BQDb<CNS%CO;}f$EkJd2Q zOw=KCS>Qr8Y76GL|J-#MIAZ}xY~{LM)FxtZ3)Dd~#eZe!q%<CXAa@e8MrCS<ck-6d zZg|-REiAaFY+jKFWuJeW*MpgnsXgwq*<L#Sz~%)soULJCnW{sGUgSc~Z+<98H(1es z)kwdg?HWnDQO*32&Cen6Ui|+s^%YQAJW>0S(jnc_-JL2a-SE=g-7VcE-5?;HFWu5D zf^;|1(g+HC^ZWki{Lkk(zU-ZyyEAv^&g{%{pV`IcIBHa85@XVwbQkPho=wa=Obr|k z{u#kP^heFUbUai^XXmrJmcVH$Z-T)7E15jkD6^6eR`g>@3A-|J&g1WPy=|5!Kht0B zAGGt1RQ`~kfMw2W7(^+nu3uxcV{sdY(GuRrvdeP~`#$9A`5ijMh<f_m^*qX3XXJM3 ziV&PP#5ze#9nPz?te=+G(9R%i;il+0evo{c`W<hXQD#XOt+&*O(cTTg%{S06P~$ip z*K!Cqc$rhmR7pWKlSG~8U?}y~wV7NL0w-;Me`fM<QD$<VX)Cs+LI*zg3wtypth`yw z;ALi!GgYZ|ZX6?w(oiZ^nVDP|Hz#d;BPVUBn<F(YT_=8Hgdl}FJlh~{bS&tbY6>%L zr0THx(TtR}!>LFkpl`~6zA3XNZLQ$KYKiV9P>Mtv!}#X4SxkR$W)bvVDf|+Fy7*~4 zBlcYz3}WUn3T0`#c-T?x=V3JMQR9POw;4MJv>7|NS}*yZ5f=XSh&4O!;c6v!^t!5L zK%|dR<Yf)akSlVAuqz@VlE;>k$-cy>A4NkSH26POmz-LDouc+gsYvO_^8ILF!q==9 z?vYvz%}<82|FVab!zkEKC#PgaO>0$ue1DsvN1dZ^=Kk!d-okLv%P7C*HI8zh+c0cU zW{>|%X_-d+;nu&!eoD{Yi}amor1~>as~lW4`0A29S91<%>j-r%;AXtFg8Q$u^BQDn z<>4kRZC{g(BnoT+zu5ozD#zG~kuPgSu#ClJj4?-lk<m`yc^qa6G;((g!K{7hLZ<-c z9#^wif-zTf&(K4en7Xju%BX#5%h~;HmaZXZ)(VT&k9VdSpyVepb{lW?Q^p}W^%EoF z^07HY0gJ|Tw{hZ7FxK`d2@Zb#X=%>g{4_-#I4D;3k2@lL0bJB%C@3e#Ex>DLV=ez= zAolLyPM=~s6;E2oB3>1A4C^vd(>V3o;U!bJ>|aw)HA?XBOk+V|yK-=~gIQ|!Q%{#A z!W_Sz;mfKyW*F@hT*qO^g>J*W_fo4a+q|=Re#D2X1J}-V2d*va!jif7W<(xJgsKdj zn!Bv}0yuRSu-8y%AFD3c70*z3-?nRPlX)^t?~8+ry*YRfCbKBrGSua3(+v1+6X0`= zAtGVU6@7}5P<pUxVi$E)bOHStI#YP_aY+U$Y!R-cq*L6ejxjyN7<qV{S~XMCq_Yfl zos8a+$pzi3*v|&tvigRB^+h8*zu=qprIu#^=U9)tP-jEtzaMv@Tb6;2>dTLRDH?uP z)i})V{cxB(ugorW-opIERR4l`$1F&0s|8Przcg+V%}T1ZG-(pANXl15f)TmYyNz@r zm^&<lVO5`gq#hkGIZRikGhh-tPnr%HG6_#3U4Zm$zv34p-9e0`*8k;9yeN>{r&+3u zcgIDNGc=8dfvRtLys$Jd{i+j$L<l6PPtC1~g$c9!?%pj~X%Un^DBraN2k_iBvWtA& zdLX#_>%U))IQy-B(>)d^spcX)1G$UFi_Sic`{;XE%~cu@ORI28$Cqy`e`-ApPr$Ey zlGS2VOmjQ>o?6qeo*_mzonNb##q?`N(^k*ob%&fo)#*p=3dJ<;Lnb&q3;K@nUajS< zS}qPvrQ*)zg{AO~ajm6W`D3((5leg>qq}K$>XQlbtaI~#yWUAkaF{`E9<V&{Go|_m zdD;Uw4E-5f&F-h*n{vA>(GUd=B_BWET3~)OY7p}iN&kEs-qQ2QJfN_q?wyX2^|7AZ z0>Sbl;^$kbmY!hqfTRj1P939_1%9CDa6+Q6kimD$N^>%?^cVpyVjmze_Q+M*gJ5Ky zF?BmQnR;huZ^<{g?(Aa0jq@qL8xJex`=5UyKff;lzKqh>+Ucx0Ua%Q4sP?O)>u?=| zs5pU|axr&>)$|c_5ebc<%DQpG=L8L2x~IeU$8XL6m71)=u8H>cmDBUbBT7RMlhp<> zS$VY|TSFAljw;@=9-*?N`RenTaoa?i@x#o=`luJ#$r4?Kdq@ry*8<>qmHXi@yI9rx z4=wkEXQ6OxPlft;Z6C|u5Flx8|D%xevYJ1=7XG2y(5oYtE+8?6QZ`}nx9V0smBl$Z znN~@qq5sl#`MKeNkQSA+#5|fhwc5E&zvbH}QOPmb*Ni%*q_oU&tQlvsr^6}@z1DK+ zfGdqc4K6X+gbj$)xqwKm+R#7kx-6DuheVrG1w$*3R%9L?yx$PuJNrtGPg`6r{ZM8M zB><?T%!#GiH@pG~;2NSJ!EJ^-)t>7uNRS>wnV68SA`_ZG(lll)lw+aP2p_WOO!4eu zFES4fPi27yCF31rOz<80fKoG(X%9ffPhFQ2?sb5il1ys}<eUFw8z848)0P5x??1WG z=uJZ}5ing5m_BYHyrHbt(2ERYr7;j60&*gdv4N~Gh7$Roj0t2Ix%4IFF_gdm$@f4e z2Qtv_{7?1*G6s-={%TJg_*RLpG84(b-Dy6!=Qv23CjQG0WvAZXwDH3O_z}<58wTOz zw7el~tC!DF$q3{?#sRYK0)^*CF;=@`vLt0B%^l7{CJ`p}Gv_X;a@ba6%^i$FrZrae zGnFox^3n|Cd3UbDY%w<VGY)^P1z}HIF?x-ZN1V#Bun7%ecq8n}UOVwLZ;5gghl!o$ zfjY86lqPzOXpFGRX{bW8L>*2ciaVV~G#ilT+y83)V`)(=A)^!RxlnhLvMO({2Lalc zj1@|rv_jYvA|}r0LKK|txhZ}eLMD#<Lb`2>@-e^exr7r=G+rGJ2}Q0+l@uJm3bOk} zv3vF46STCpK9%qsL)%~BdT6}Y7!u$A4XAKq`c&lcpL5Pl%7Zi<iSHu&C7y;pgDP$o z#^vqrIp*}~#af-Xr)F1}u9eT9+YR{2PS4!e9;odqs%)Y$0-K!T?jVx2*`3Jbdde~j z)D5>cau-X!zK!HrHNOl)MiyqXZp-aOpWcYH<Of&FSe>*NY(a0EtVBPw<iq@@6S#n5 zdE)aKBz8T({fY+q7AODxnus3Ri(=vcHEny5EPGMWWnZpkUti}k|8t&G@K|MCZxbC` zD+lWn=&i`EF0I?Pg2l<$5O?_Pp7hWzd}7TnK@w$04F$`SvG^F-YI=UAd>U_iQT8Qo z*J4vDz5h-bi?*v?^7NUV_>2vwkl^hu`+`%X|K-;MHTz-5m%mm{II#dunYF2lc|ES} zv9_eQtmSJsYOE;%BUhmRWyk{+h}#jL%A2J2iLJ4Kw;IbEUj#=bIN)e>;J-9Na5TK= z4bqsw(V)bCX-MFxpZ~u!RB+TA`d`{Kg*<oR%IUj1b*M%Th@g_XaT#QwkiPUkbL17x zziTS5;9V^Mm_qKqezEZg*Cx5`R0@>b=c1cfA}I#f!h-Gk-evaOTE?M=tsk^H@Kb(| zGUfx{dR2mBdtOQLJ<9{e&MB%A?#{PlbL_U`Se2zeb)0k6=Ke6__W$?=Se$1Ga#;og zna51Eczcja;$JW%5Y8WAHJ1PUc3G;n^Y5z=|A(2(0*e`d%|!A-9g&Tf!2Uij{skGZ zgC0X>!~ba0>%cEOQqA!_-vVCV7AnW+@VB@D*olBX1aOi@2VU!u3eEms6(LjouuS82 zomqLW^p7qGX;;o0Qdm9F6f@65M?enzp1<S@=S3oc(Biklgt1D7j1iodzts@xnL&Ya zdN-I((%=WKu!y7W(t9rNjC6VrpFvjp`k%_y7y6bpW6?pC;DP+M7>Qc|F>RI8x1GMv z`&&YQGWn34z{;;+#jRnykm0UB^g)QbkCI~mN8d9_>0Tn(CV#2leovi<iDL*y8xrO3 z3P8tX`nG9lu^CJRI&ah1hNMKR@?HUDeO1aUD5w%={uUL<gY`RH!u@F0ym)^a2?S~H z9XSFjiu^4s!UJSB2kL@fk+9dIP>Y5ncH{D1ab^81@x54JYOH9Vh5erVKNVve7QU3N zK%?c-+Dgs5r><>$2}Q))62B}DYm^K@7U$@JHw1#!&cOr3zXVCQNN3rih0!$ICRE~c z(9oSj3n^>4dHQ)HN?p<liS#ek{vJ(fMWEPUdRp9tlT`__)W;0GVFr7MLk5T^1WApF zfckeplpIuvk4HnV4=$u!0O~>!rO@<3qUwjAVkE5}zt#Qg`^1$b{(~LtJehbf{Dl4W zfA;}-M-8fAs)FbU@qj2zFOraT^akK$OZ>l0dWndXkF#(4UlD)h{ESG1=6coGk)7_) zFjCY0Br1in+jFHJd})-Hzr~p?jtN*Jd-am+fHiVZFHM2*`fy?!>q&sL@Ll9AVed8? zB3A$tXYewllp|^YHdK&>Gi(4wLa>@MZU832O<)iSLxyl{n@8G3Aaq8dfJwoePm3`Q zcs-=lF<ur8og=G|vXq0TUn8P)Ccco!{iX6*=}&>J-KPeA1vj1(ho5blDaZJXq)&Wf z)Xt}Rf4AfoQu>F?Q+mVAQwAT*Q~KM@Q-=SUs|O*Bm13c$C}QK&=KO?Y+m9RT?5HLQ zWI>X$7}M!<6rNM;lOcd107<d`2`RAWdXQ~k92_=R4^tc~<>8~x;aW=e*1%Pw)iOWG zJ{zM8CX4pt&hjrB*}IM=PnoCpRd6ggl-+d&K|uM<h0T^5Z(Fs@znURZ#)kcCT4tqR zv!cm^iK5A)kD|%LZKBD!$!L8i?NbjqQ&fvaCZ(eD|BEPcL6Y4WXtZcG%?=7nK>iw# zpB3c)9!(yv7@hx$1V@yTkH(j(TKVQHlx-%ZZ{@2*VLev`G515Y><v=2(n{KJ42^uy zzHB<yL^2EZ0gcYj%slfk;|1;8cR7<fx`8icbeay6FWFg>zezB;bT;{K-%+qhSbAP^ z1?%)M(GEX+?)RqnnYkqaL-(i>B`bz&l8sryxgN=tx&5J;QQ?*{m@z-UlDFF%%rU1P zD^oL1B~WAnKJI2>A_$yIP%8aV2}==69cH8$-@4(yy~k-7wVr1^KGm_Cs;ILuohq-B zuVSYBkHr}b12z|1`bG7Vf-TY~lrRTAAEP!v95WHbF&9A`QwF>7RMzB6BobOt$4D}+ zG@z#vDhIp?1+lcKNNcp)&#wkREX^4+nxkLhC*ct#QQTvPd+t8n$h+l+tXEdvS-m%P zCr*<Yjkv=Pg;~Pg&2kFYT;UalrJO?(lT51<lahxP*mS-+#5i3np_gKobOXXh51GAZ zbs~9|WdpT6<jvP61i#5?9ewW0oo6R_9KCgd3^$&_505NB09A*0y_+S}5x%O~!Y#q` z@4^UthhLT?CcFCKk=X&#-4$2xh9TX|$OP`=C%wQ}#viAPbCX!#H%OHWuNTqq4{w?S zMshIAE^e3;P(@7I2hGx%MNHd=CDLDe_g-P$>yU4gPt3MWT%onNtTWFfoftNTEKj*M z>RYuBDy1`@f~@4}ua_pSu>L~GP2Nq+3iMu~VYseWO$V>8Rm)8^=x?EEcT4+WytW?M z#&QrO-Bx^F;0T}fp4vvsPx@t{c+$b5yv?(GB|;!@+Z<5$IirlDfFFrv3;hkMPiQ~Y z#usQNh&A<tSkpc_cKT%mPx^HPnpV9it4nfC?<5SfPiQaG##?AMKSMQ$(b)M&1bF~h z-xt98Z~!!?2CzQP>MRQV63V1|eHK5Gy~+I-m)w1r1gOZMYPyU-{}m5Lqe!U4r9S-x ztNgZ#2t6}LaOmYJbX09=I}&#BNo6OSf=T~Y0<gmgw;CuS+V&!`*&a=Z3I1_}UT<uq z2yCrS$DO-<VsKu-q@DT$xY6(C1ok6glmIy#2T-%y05y9OP_vKEM8lsp(7#8C?nJ^y zb~oFeUUQ|)dFw=p8seL@W|TlDCb*Vl4aZsS%-*qx-lK;iOa)5BKZq!8(@h}o0UVxs z0*?>C^V}0~_9i}2H2{T=-?y>1@<9}CJ5Outb#NU1t(1-m8f4HBw2Mjmupwxd5zsE6 z315MB8Fh6V+wRcbrfO{`+KSX+a}KLA+<g=IJuPH_vesFkUlXIuC4PWN|Gu?)_!I9L zqYvv3x5fs#lr&i7Z9XTgwjmOlsY}H0YY>Wo1)-QE{>&3zrzqzv{;w|K{N~PSXExCz z_6U|3ZNnrA_=6-gju(jGIb9!$NBFBL--EbU5xrB?bS(eZnH+xe=}6IEc;wI;p4ksZ zo|u+mha=}7b}9X;;Y5smqW-cZIw$VitXcRVdhN8DHnuXNH?&g!4crZfh3lz!(ZYvO z_kM0JK<T>pt!Y{xScf9<PsOa90+H|_k}~42N!W)^nZxhjO>Ski<~1{R-ADv$Z#*~h zPYe6~74v(&PNG&bX!l}>xACV?S}(8}W(82_?>-S0hEkNy%wTdK-Y7m11YA#l!Y~hH zxqM`PlWABI^tAINPn^lk`!csiRZqQp%O7Tc{8IXC15L>7mrx_{28*|QS%YozX1(Z) z)ar|nWZryr*C4A@(j7)x8E^418<EmIH1eSz+RUpjOVLRDJJIs#E1|hV>!b0F>JSf9 z`&f3%n7O&2b)$^e=EEBXR7%{(=U7jUBYJ<G7!AIVRmeBddT{rYugk5KnuqJ9R?pn( ziDOKMCrh}O?jhwdEXVQ7O????-H-gT@J#O)w16{G*W&%J;|=)Z{I3N_`767vyq{iI z_?#3pYUX@sn|jcaV#I-v6bQtygwuXvs9(~n8YE^{hn!guS9P)U%CVzD`zNSa?i+a0 zeRL4z118a8r3~rnrx$HJgW|vjg_#XqT72)Uet-7a%wHBT2fjK{g20hmGD<l6C%6b7 z6z@D{eJm-+N}cysxm3*p^_$)~uc))xp8jij&I;3+5lflY@(owvtrZGgs9cV;FZf~} zzq{WMzr#UmN6NHX%=?2{BYxJRGN5NuPJAAsTDoF&bw;HTzYdZTHgv@21=K;(CM%sr zJS|8vT-OD;v_aCO1Fc5914vTZHx!>=`Y%bD{H;cO=w><{<1+ipvb`AC;DeQU?Qq0z z5%V^DjGT&NOoE2aH>w{VbB6FYCCo4w{-J>F8wZB}A7J=b_DUGE_r+=KA>X2e1(AHy z&yfshcUbY1U^{$yWEhyVU`O~xWp#-RDi{D7%VZHhr~Hm8x#@vV2UmO+pp=IqQkH`G z3lEsTq#+V1xb)N*{kc`Kc(!Z2OTX{^oh$+y+Qa-L2zSKEd;sV@-vGU5(>z7Iz&u6z zH<&CKj?uYkBr9TD(&YTi%mR}IaWGjR%!MQo{lH04wA_&AlT}dSV^omkvr$mwL&!|G zN8uD(#x>DzV2p>3m7)#PkmH3@M&o5go4W&QmB!8nPXwJWESmgH7-9ZlGzZm8%J8V9 zG9nzP1Xo~t8C|&ElyVeOZ0}iE`}b$DL)jvkEt?{l9i1YXZJ#2UT`9n`ngBejeB^HX zK#Do)nH1@JpuV1*kUp6A_49X;IbIuWOJd(NNaEm$WMY4gWTN!ypf_w2DA2m#FnXF2 zXp8`jykv~<{`Ie=Ib&sRKWit~W@&A-iSLt#t%Lr8Nds63V3X77{Pa#WAKSWY{jJ?) z#`;~2@J0FI8v@<G*%3U7m2WwVnxEKLGr!f}3Cq~(uEW~(O!U<7v1>N>+AiLhRlZ?1 zOD-Rb$)sV1GSF4Xu_VZLypcCmQ;9C&WUL-+$i&q&eeeR%Qm%&Hd}Sp@70}lB^TR=x z?<LlLRv?_a_O0^@9*vS<h|R^=?}ob78UkDAoz7F?$m{*m`M@Lr!%`d4i4p6j<7~Ok zduA8eEms9D;jYx**S-~q1mCKRNDk6YmDsT=haZxi&&2LQoT*z$$Fx<Q@5H678am7P zdP!ZEZ{~dTzLRy;qN<xDu!g3oIy0bowy$k|BjTGO8xv`QQ$fJc_d>3DwwuKCiaW|{ zlAZY#eqw!A0=&DCR_(i%!C<a=vC2RL{rS6agp=L*l~!c?xLD7mz`f4L&3y>;&&}hh z{6bIFx7EF(Q`Dt{r<b_y{Z1NL-;JmJTf0uyvDU5zRv(9Nox;M61M1jG0?D;rf8Qsr z_&w%s%&rS(%QvpR$Z`Mwe{RB5%#BP8#m9Q0-~L9AMvZ=<uW;p2oz4@?W*GQMs_6d| zsFOhVENd3sow?&~FrGr_xkNj25qp|!{WIKC7S|=%;0<kV0X?Vvi{{nKhExTz-&dG) zjNEmhtNIh|oe+<lJ%{SO2%L8&ATV%FwS7SFz|^<TK4`&H+hV(3Sz#86HTb%uBha>w zvLg%PpIKgp9(j0Kf#fnFK=igqs_uD#2}}Q_CTd=>Fepn9rBB4q5|{rJc0jRnYdhWG z!ey0B(%YE8RHO+xu65qE6U%hSX-Je%aSQn%&~}&dKu4GVA)}$)GuU{l%#sA9FsK4t z0~cJQ@eFo=rE|-q)Zl`1fK4*fk-$`;5t-bn`nxCPAYIPZH7?1ScoaI{hq%*ypq*8N z2RGAm;))w`dpF6DzJd@z>)AJ8+PP(xWPnsZ%@zhRCZMXWN9EL7fxnED)jNglv)0Rz zENZ6PXMhKv&{B<qqN16dg+#h|_KkRVVwr>(L~+hgam=)O>JAIx1lVOLVg=Z>8oXUH z%X$gxU0fs$H;xwNj_LLcHYqT;sNZD!BVbJMr^$lAd$R!*8-FF7FxEcgP;UYhq^6fc z6JYnH#0fdv`12V{OpnLrC)@}>&tNA|VB&-)Wxr1+mRV4ZhA9r`5*rp8be;p>BhbVy z4Knvsa(1y(Hyp8&*v~P^=1u5G;2&3yik-iVNxs`|A%!b{$jpp+xssej-}1!?6s0F0 zixm7j$PG872Hb{?WrT=(a2sBCZtWHuAWe_`jLKii@@PTD_FQU=fv(d<tuNzuzOP?i zpc^~4H%kz&AIm2ilI!fPA7}H1I1u<(Ls4NCR>HAPPQ}A+Jt>C~bFi+=avM=8Yju1p zmWtM(S6E)G`h$dt)wx^H{oWn{qLDM$K4d#3T;=NA?TR!%0qcD+3>}}K0WI>Ks5BV? z+kMUioi+%MvaVnsqr3!-yd}kiv8>i)PkMI?ghN>`vDbNcTr{`Ym4cvJq*sa=(`9U& z`lcbBTiOYgfB^SVNAN?SyhK=0xTRh;8!ju)gc{Z5rYCicj4`3bK6wYEJ+W4c+_>Ym zjnWe06DFudZg%c8Yb~&U>=AeVZAu%t<4ITKF|GLO{VFZan(Ln8%zWWhUWCN0^J3v+ z+pY?=zE?S?<DOP@)cj$KJci3VBYc-*xD17hi^Uz;3z7DqGNrt&Y-Yb08MdtLc=@}F z723bv`^j)!?qigOZF@*R3|!-^9$a0|hG=p0$DHQPOWy6xA!Bqll(n5E6S1|MV-gr} z6&M(}2GO$#4w_|<ZU@OHxNsQZYwIMonTHfV)Qn436}TP4|1wxsCv_V`8!>2z#9><= zk<B38N(B{Kp_LKXO*YH@o*@-CH$aPXGwC!>V@M1NK?H>y?k5xZcA8@b=r<(xunE3@ zmqA+eO+JC6mv)3Ov(qxlCLl_JmN2`Z+4_o;Ez7u?+9}2aBh92u;+CVo@R9IHg*wi) zoKyOjR&)&O$hwiBd5GV)@sm(-wykz`dhhM={GA?oOYf~@Q4#ksG4l4kSBVC$QNWUZ z^^8gFK7Sn+DEqYq^ka|f7<}C|iKq@u=bjR&I6WkPlXj5mVc5exqLpoVKse)cw_6_L zqK`K6&tWpPyQ?OP^slvGDva*_!U#xKRg9)(nglvjNE?qIL{2n9n%gz61}ippl95}4 zu1X=lREQRYH84p?D-+`}c>C!hiOt+<nb>6P-OAxt-Eyegd7zuLIB{-!iqL^Vu2c7N za!)}GicT`yAW@Lr5M(#1WeQoj#NZta)7RAhn}4W+Ot;VH?uzH%B2SmOJDOwKAYqZY zqav!{Q7%?0IQMFn%r=S$=qdnRty(6}BsVgqzOJ=Wg}cx423&nyG8?$pWK09Fk;f*5 z61ULNLTSjUiW$p8v$t1eb<0ym`q^=gFyG=l-#-|;cbXYJ$&k&ZhSEZEJF#ZEXI1}r z?5eZqKzk*eI;Qm%>)IEyk?iaQhny2V4_wT_-+vZru}&vd89etH&^o_;KW0y@M!Bt7 zasQ*eK|vmvQujv0r5soJn{3r)2NW`vj_#1X(p={>+w!DL_(XE45lh)}JUtzx`VCuo z@1w3kVNg#2;pZ;}$c&FUpG|^bd?LxL#X~;OeI>LPkDx@v{au#%**FuK5%Fmu2rU$S z6ot@HJOmq@wh@ouM7%*Ev=i@P$og#Bg-k?8IQ{Fo3Hjm1UOdKz&OFmr98+E4(5wL& zu8WU&8S`>H=mSim!pDp0FcC`*$^lUv-$N%{#*3NNFqn=k4oPje2n2U7%08LhL&~Ao zI9y%)#v1RfsDDI#iA83V&iZVU39}1}2x{{MnXx11bK!{7tV|^rB$tZrpR~vT{kFMl zX1Ov(;9klA4bB?{KFT3noT~&Yam-mzhYn=8Ne+{!EW|yWDHfbJli(~V4%Z}aVWbb; z4d-SU41OlZpg7!>tbGzPGJeiy(`aO(^z7*YE}Tb*qc}Nf*5?sPpljxZ^X7(wQc4+z z%bmM0vX<^<W+)6sD2GFm9`0)FZnqBo<3(Q>?r+7>i>Wa3jqGU|_Ul6!UvQoR?h3i9 z4*7u!+^*5=&+H9!HyqPpVu)EBy~a2Zgr@Jsf-!k1jm#B4a;}A;Q7ayrgdqor@S6l# z(V<<=1^L4Unu>>v(0%nb7mtXfySeBM6Fbl0=oQ6@XtR6Y!?4Cl+2??xw#G#{port! zW+G0ps7Sb#jVz(h`_{<1HuLjV12Ut~k=-W6gZS)n7<otLw2U^+RrqQcWk+BUtKrbn zmtRiJL-LXchb49HL#-5#=3Za8*J@iV%mU2NA6MY9;cgmH;W5RaD7cB>Ih>;WbNW4g z_MrAJvFG91iwb6$?Q89XetPI;6n!79l)ubZMRkJ!^!!iB!`KaT`kM{4wH}?sB7bSn znJsQd4i?T%a30z}!Ny$9$0|4Byrp99`bQJrL7eUL^`072+jbCVt;SYzTaSNd*J>xn zv~r_V(IKY@$LsK}{ZGb`$m@Jmx)-Ic<K2t$zSPZtl^yMNwzC!fW(Pf^$ck^=6WKn| z>g%^|8A>xls&fnRXU8Pd57+-(ofDf#XFp|Z(#gKddhYxOha5>f>1_3ZV>S<=qL0N3 zAGdK`XPS7@#j5XK`<w-We$d`{!LfO^Wfr_j+1uYWYdA6@!HtP>rQ}z1{iio8KN3YL zPX=9SeL%SEe78m&^Wwnk$e7=K1J?Vg^QI`c@M`8SleWD<?}UHSmelzNy=#XDiAcGN z$wD;|k8*!kk8*NyVGWAJD`v?{5hL@%XP?@`LYbb!U8PSgFy{xcl;``g*yq1uIm!28 zb`lA%rO1=^S_-_|ed8r)Atx$~5keXflo;~^#*yP*Jr8(0Ga5ak#g+a^GTIMRi49j$ z<PQ6>BFEzd)YanzX4O*;;RhWB931>diMN^Q`~$o^^UuNTAK^Y_I3l@sI|y@zPmaoO zv=|tun~6UDN~?Ad78{wI(BoZgv0I1eX&c3gwLiQp>ED}CKOUghA9$Y4{JzdTGP1WF zoT~k{8f^UD5Jo)`R$A-gEum&#sHr;wX2b@bh{#Rl)^@QKLgFhoX78{}^*-wUQxtMe z%XX4H(=WoPy1jo;$l-UHyj9|Aw)!|qLn0%y@I?F->b9_rZ4nZ&)S0{`GxhtZhiSZu zxzSv$D4)5yLtgj6-+%aHf983?Ie3O;T)s*<$k8ehw(S^6NUeXXaJThz)lysW`om^d z8_hL?u?8(c$V{3(!?1>{38CKD&_J6Uo7k|nD>6r6vV2r@nm(06<=&fJx$wKPLv>1= z%NL3?eIzzb*TOc)SopR$Edk~)=Jr1V+GDi6%W`a#QyQ+(&K=3}aq4(01$EkZT-Yyf z)yBfNENKa1R@3we9W`Aqor{xW6Hn6gVfCx_-t5@YW+0s~wGTp!3ZEVvzlhTr!jLev zW7${li9;)rQ3np>*vMNnTrZ)`$?`!CY5L;!b$f42WN0%8g_)b)ZQ5y$4ze3-11r{n z6?ec20aakdC9onv(-qmd30Of5tRSrgR;YyEVRBT9(|v$>&D@SfS`Lc%28!rGDM9D# z3XuuJ#uG7(o9vvM?@^2l+$Ues>(J2n>GLW>v{<e8<cGdcA4!?g<w6B_SL2BY%||iv zkXj@2;L^fYNBhKkpD`OwpNy_O9@%F~c0p#k-?<sNv}3|);?`35==$$}`6qpmXMDvs z1l#c`z3!?BBdw@W!s75o$FY#1;~*omP_O5(&=0%`L8gcBi`A!K@taWsYWE)mX6}u# z4ktN!c=fz~43oIXE&H*!tJhKyWXrqe=wpjRLQM`if>BPJ7qSm}8B_KAerPO2UxsAb zbv>&cHfu{II$=93Hs_(`@ar|U>lCpzeqe&2<jE^~KJw#QGX%4s3{FyQ=qx{-Oz+xv zI{=?YXTM`1u)i}G%{GRJWqj-lLo6D;Wk!fOrdZ>p8Ba9n#wRwh;;gEaz%xREi-T+T zo)+Qg-L5HNCB;ht)T;)DW2)E(ow%%ye;TVj?S*EY1;lnkyFniD-uv!{)A$1oeXLwc zjum5=H{E{}SA=<aeXOmV?iiG1aT9O4#~f#5Q@3iu)w&v0A_|ucw4!|pO$)`O^{j&g z)BPnTc^M0ce(5mu`J<LVj0@3FS?30*P;a42;&3d`X)Jlg@qsZ5e7X8HaYii&!+;#> zZT+}-Ky}OXD?1jRLEV(A3%k7VH`T2wG3fZ?^)uq4Xss#~)GR!`A5qac$G?i`6FC&Z zo3W&tR)=%NHL76lvd)dbqoQ-nh)XDNF>Y=sx2S|L;>&T)ixZXVlt&)nADcylBWBuw zFQ8?mIz?LPG4vs$mI&AsqLs1C4cMUGx(tiM<u*@a{Zb&tcis?3W`i&cNugS_nHQ3? z>6Irk;}dUHhDWqn{wxnV!IyI$5NCAMWf)*Zy>(s{4_Jdvzw%<`8Kg_O3U_S`e`60- ziKzkg{w^+R59%!p>aB!|4n0Y^K}Dn0)yKVmq6^?F8BE!C{stwDiG*N682Ubd>X;Xz zMJkSG)KG&G=ENBjbQlI)Qm*#*!@}R>HmSs@<BvP9eDx<1Fe`+&Volx24d=?`XDp~? z2kKI&C7`ZoRSM%}^Yh@w4vRA`fC>tM8@nMMU|&D|ii9;4lRD+f#-lO(%EY|TpHPQ^ zLK=TOGU@+_bo;Z%j;ORtW`(%ytf|{+vJW@+E8@r-;AZHdS{#}wAns5OZQ#pIPlz-6 z>M}@Rnh7zAX|xIZ%_;8O#xI$5&?n-Zf6qT<zC3O3573fY`?OI|j72)C%7AgefEFnx zTkxQsO6M|Q>whQkg$+AyBIOSsld>scO1G*;@?d!R4qQZJqYM&W%}v;L(rLweDYkc} z-gDMlJKPZ?ao0&A=H4QHb848y>;Wcte`vm<>RkBuv0>%%husirgB7BtW;t`3?PjZ1 zu<<uG^AaJjXf?G!I^x7wMhH>dEKRag#d0c{=Y3kr%%;+n`N7WAHuq(bU?=v{hi&Jy zN%D7$81G?<K|I6X)C9HI*UKl4_{|vi-(>%0*2YxZU5?T&ZWl7e+VRLDZK$k$tX9vd zU}?o(7jV(ZG1zA8NM(0(x8I!WsNjvT)Goml#f$VWB^B$w!6H!earUfGOPcV(<hsK5 zWx|+~ymUBt99~IBudzc}dhn?ISifsmvJk!0Q7Ylqc?Xz6C%XL4y`4M!c=~^~9_jK3 z%`*<t;{&b7WXx-&oduP5hkCNBu-BS!D`Z)3YvkDA?;Bd_X)}J>Wp%RDX!$a``SpB+ zp4r_hq}N)M(4VXj=$f`?=>Mqwm?2q`vGzy&hu#_Oueh!fLsu;_Uw!+{RQ+f<wxPTS zs%`-b^`ZTmS)Z|#6SK0bWGn3vC0nVw`D}~tt+q3<zD%{xS`O*D%{p2(aE%NZ*M>HG zth^JCPP5EW6`2Y;{vG?S5xP5j{CIh`&gUe}gg^I2{A6J4n)3^f;ZJ&$DDYd4$@CU@ zo+^5RZZ*BJ?VbJR=lFS^Aicv(U4KxDGW3K!lu>Q3@ozQ!)l`%X@_diU32wUP)$d0~ z^@KbyQI1*|@FuiNm961Ev@-<!i*=8sjy3I6?S-=cME%*2YA^MNa_AXOra2+scaGl@ zL#BrVCC%_m%pSkezwqy8o$~CjD*oU3Q8kP4kArj=wpH2YK6!)_U^KG|k6%+723Fi5 z%!b!RdS90`43`-;E0PhfF7os9G~;&eb1&r56ufJ;XV}umGlb8myeMkZ3MUwdXX+AJ ztL&c`8LcBdd)w08MMn2&e^mAl%~}1lN7r1eu?wCdu6*T%DMU9sBho_C`vLM?BJ?TN zuuGz~wu(S`_wHGa?78B3#Iwd*+5=*9rlf!1*HWtG-~LiaUe%*PkYA)6u#Q=TcIYJf z-sYZrnidD`ahy=sBJ2Jh7Ev2aQLfTBt8o%N&mQQ^%9{&thtfS>$|O4PcnR;)IDOld zs}|yKk207d0xj%dwx>Iw_yIi)@eYMoX<yp$3i_c3@+a8YCoiLAKAgPYAICETY-cNO zGMgV7ZBEL0e=Yp8G6)c!fk}V}f6RI0J4&kiWMj8E_>n^TUGDFsgUcQHRayKTseD<O zA7HJ>ZTDP}dlOuSCxVpkZ)5k3CQS6E1WJw+&Hp{XZq-E!Epfr$U8J6`J8DE~7}HJD z1!iX^qs36C%RXpiA%$wtl;?$s-%gC}vaciaqIAows6z1Qj-W;pN9{4{#o|N|8^bha zD2=@)a?7<s*=yqa2L+|f>$K*W=znm(aSif>KCqW5%`8@M-?$x<BMNv>H0JpRWhsdc zx|fk}cXXrT-X6gE3V4L%E9Dhss9PPL&562u9E)`b+`h`o^Nmtc67839YoxmYPr-*j zg7$e=t52z2WNw2(da)-4J6D^kk#f$H9r`6qiM9q@iLnM;L+BZk&g-gNF}oOP+hB2g z(F<^v-zsoxZsnBcLUTx7q_%OZ?cZl;*a{q*rr0YcL#Z+ppv$z}_R#SXWp#(<YNDZe z?;QN`bX+K*)6;@}c(PQK=|t<no6=#d#d5*@bh%W0zah(8;w<NiD%IjByMugfH*D2G zO*ieq?m32?7+!kOm^S@zf<&tS{1F2DF&y|~ZXNJPL2co$s)IV(N+k@YIqiD<T}Un3 z+fvYC6!wTbO>dti&G3xG^#k+C?s3&YBDZoB(O9axOjSwM^rEQlgY<~M=Bk4>CopoV zl0WLmxIQG-#rJ8Zr;wT7gGugslU+<!BqVA2)=m#$o}p-BaX3Bp??+Y1Uv&<wpQW(# znwa}6nwxf4tQ?QS<OO5?SW9Dj95p9CEgbxytA$(0En(RC(j1yv9EE9_I($3$u{a9h zfL!eQ<F&Wb85}s7Cr{^X#~Ms3)m5?e;bH|)K5?O=`q*KEIR|M(qWEbnG2S+{A#0Se zREB$%R59L;j_zt{zeDn~^d>bF-U%h=G)GZsW$O7C0^4xx=EG8@u>r$MQh-KvkeZuI zX<x0t(1C5RLjH}EeTz1<O@1%WG)0|$>2QvbPrxJM7A%8=%FfNeGN^tSFt5+%sH<I% zL}DOzWUX0B^-~q<)n3PFB)J`t>PqV)Hf7{nU)3>P`YU7v9HqC#*`=|W&qAvRrK;;O z@^?0xgZ44fjI%r-Uu|LBKp$buP;mK4%bn|-8fRJ|VF3Y142x&E7(PH^PyrHy0FW4e zfW#O&x`E2tokiqR;dHr-;W`;`4G351$53tLcu~c)TGp?}QOWUpI4{Lf9RsH^DJGJd zs{oF{9fL*giVOWP@y^Hli#LHwsb@+(3m(N@LE(BR%SqAJZ1ahl6t7P`J@W?7nU>sv zT8}!HW+x`K$WO0Vr4Tvo)R>YSdq#tY+aTHWpY!D<Io6DM&Wst3A&*f~JE~Rdqk=c{ zR;-`S?yxzRKCs?>T(`~9<!ha2iF@6I0J+5O9DdSuE)qz^Up_ag^iq~LbnR;WVQ4S9 zwW=3S(wA?$#^9;FJ6vBy%#SHt<#G)zCT@MTTIGTYts!nj();F`7s|7+wli6UYk&99 zfWja0*GOYq+NF$`KVo&h&8JN>J}0qrz73&G8@$Zo^?aL@clr0lEWJmhMQQ+JLOREC z#`hW86Y)zdF^;$`l+Tu}l+(M%lV<z7-N)eBPhIhi?ia`@NB3BI&-dq$wd`gpD(Sc9 zE5Y-VxtT%4Bgu4c|Bh}lWY0pElx?hJ%&`mK+EQ$v!zUcSqKtgs+Vg1fxKX)6q5(;9 zx}Q$As>;dw0SD8A-j*}|lnS594<=_Nt>|p*&J4H46gy){8FDo7PrZr6G;7Mu$lhs} z<*h*`du)_@uqU2G@<Y0BPq)nk=TX<cwFhN|rz<bkPi9FcB*|{Myj%wdsYPwjf|N2k z;lImwRJi=92$KMI<UT_$7)OY6J%%sN6B;5co8nlg@XOqq3s7*^Of0ICw><onEQ;ni zCI)B)uOt9^X#&to=L}La^G}^T(eF(X@MSB7`t-|`IDb@dPqw0u?xb?b`T5tf;N3S< zH}Yqo8z|6IH|4-eX)Z4s7Nr}Q+SHDAv8lPaf>)}tD?F~~Tk}#tTl4I*%1#C?tZbZw zzjJA(`VX4F)ASQia$!)se`4`l9jt14+WA|_cxPJW*91GPy(`U8OUxhhJm1F2QaSv6 zAj)>lAFXnjwYS%HO&qO!n6*Ey&fwSE^;IRW1I?9HjFwXebp8;^`8HLwn&Eg82(Ke~ zg!b-%nIx|xjI`D+zNrSUBet|2A6Y-^x>$nC7`$0C$*T(ejn$r}vLAOXq552Xy`+My zYp*gCrZ?HF{8n4jv$RK-H}3KP%Imc3kalbC^tUh>wNGZEwpOYAWmdLRjn*gX=)Bq! zM=@DG#b7fxH1)pa*BO07S8Ml0NqcLJJbV3Qi?;g4>QqBPtUYJ84f~g-);yDU(lbo> zm!(f(X?HENtnwApKIg4xLlbu`URM^-*6ylxniTV%sxB+1m8%WUuqSv>7n}6%n$Nf0 zXAEb)RtMT^;5FUqDcxs;e%LWNUtotj%zNr>yl^zygQk@7zQn4R9UjaPbqIT4isp7C z=GPvp#(9mQ;pjI+$^y{bJcHCYMjnH6o>nIFAlYnk)G31w+Dc|cp;DoAG3jd3T&*qw zhACy1MZgC@F>bSP(o2o;TQy1Vb~qw~M{7QHIGO7{LzvjmYAg3!z1HZ)W#6bR$1_6g z-}*h*P=@jYF{8Qa%p%$<$G_J$%9GK+`|EvY9pvlH^_WDDyirRk4W|$KlTuzb0(-vc zhEh9m--Uj>sbuXi2bcCW2b1<Sr;s-4G`}|L%x~e_TA5PXfSXEBdC51(wO~=a2o}X8 z4@HqV4@HT352iuI52jIOo4PA+pWd#y)X2!|E>s}ejSW?OK9Y&y_~?gSC80;|*K9kh zueS+p=?Mx3_<oS5Gh5Yfm3dQz!Vq~fX@WV*)el`2jGuU8GpZ8;GwR-u{#9Dv8@0U) znV*RfgQwRQJDSj>XMV)WeXQjXeHv_?i$BZBAr@*;P?FJ`VNOgfV~?Gh*q&ol67q;p z-~Xhsa55Wj_X3cB&DN5YG^w-snI60Vq(_aL!b5|Z$U^N0Ua_$<Ro3aFaV2;wCSldw zn$tn&+OD=yrK23^F94#4`==FT$6};ysrBgU_1XcrjLxWrd8<Z(*4yfYV>z~0^ja!} zyq&7f{vMQ~S36p@!fUkGIi#PY-Q?Euak@g}d)km|)a*Bri;0H2Pgf&OOb=KJEgpVD zk)~==6cN#z=`9S&%8_@r(Hr2=eo=_R9+Rl)&FS9ItiHOkGsTz}Dx`h04gB~(UhL&6 zMSuXVr!iI1`i$gj-7k-)T3p>D!y)aL&gFxnB8lV=_rF<YKd7<?zB4v{RvolREtuXF zmjA{i^l$X<-rEd?dX8^#?_v^9YQXSQ1%@BuvZ;D7{6K!q1%SsP44=R)7D=9On4*&C zu%-638jCA!8G^mbF}xng%Lj(4;R-*(levI6w_})L;ae=6T;H&0ke5dLnv2Dg)(Szv z^B7)Tf7uVRlN`X}F$R;~zK1no;2MU<CO9m2f~%d{DAQh=E<rF>R`>zlFVix|e=sh2 zGI3doS?}*9LKWM0b7?`0G>^-);;a^*D(~-d=Ic>9Eyx1hhCHjDYo?Ovr_R5?<gdLY zG~*Wbu8j=ou7ix>uAPkVu9HlJ)LHnCz+pA*kO-*hCa?+&s;92UFrL7wRbW*fsOfnZ z;y7%c@TL$M(s??21cVI5TdOa0%Lf57h5%25&`|5*;-WGnGI8~MTy4!wm}AXL*xP%{ z^Wt*)3~MV1AbVy2*`o)@p7J&6%KY_rvfp^3*By#8!Cx{~gCi4Ko{X;6IelDD)w2yr z2IrVVZmt$P`KI-gjEfZ~&*f^q6Cf`@hHf&efYWI5p!Um|J|G*$ebGsQXt=1l(R@!Y zZjexah&d|%>ENBC-(@S5ZE3J}K#jo_VTmKYbD8qYdTPVgEukke*@LS7YzF5t(|j|6 z;MZ`Ym1o?lUbeJ9N94J3yRb|_kUK^^GdE=P`gBx2|JkK)`9GMfN0i;?aRlMlRWiX# z+m`!+pN5WqVnvYp16AKxwVlo&tP+5#z%+O#Q^G<Z+lV2C`hjX-+ka-D&_Ahe4D@g~ z(8He|Ov3^mOyjyep?^jYRspOlwik=5cO@0^ec9L{y)^W6e{)BDe_0BCS;DVA(BJc{ zWse%s|L%+O4i{VOBRavu<qLE<jeAJGvx@6r^~SJd`|E}_QJ%pji(%>cmlwu?w5#_G zhiDl`?7IKrr^Cl>3e8~H#TJSBCVtGmqhoJ`J-|cz$eBVsJ%GsFo)|yu;_dToCM%MA z^C<4#V1T`sGE_>SnzR3ezx4}u2=!(;0!>j+P7~}K!`@x#2=W6$qDKLfKL`R2^+><I z$-cW_gxu*sVbcRF``{<u$^X`8%w(U@n$osnE?Y9+_b-REN3v?2n^o0h;xgN3nv|gX zK`aKuv{s#ic=<?8YtUsNRs)<1fpmGu!9FQY+=P91KfXGunv1;Qr^NgQf+zD~XdvEW z(t#W>$ss2R9WeH<;meFPK)i;o8pGTXvJAq9N@ZHt6Q-n!w;o;8nchLzF>k48Aoo6x z*rv#D&`Rh^AdJdyrR1OslW8UiBG$3f1NJzNnHK$6+}~y}lqE~KSyBrqLSuAx*SuVR z%h|vX*k^9td0CQ+w6yG<niPaTF4-0S!_~EpTE{2e?NUV?pQs<WDCt65W##ELkjJ1r zCrZ8Sx#$%~=3`bjltcA4Z6e00xtmLVUC<lXz-gEmiEo6N$TByAD31ZNpl2O7B8@>_ zH=<iio?kxV@xQ<5^5nR7al1ZU<W;PxKT-fn_az*W(72HD5oEWM9`pZn4q!IS6|qI; zU$H`UED#RNITTBB+<-W>KSfiIxP6Rujp2_Fn?e{Zh;`IPda)E?t+HtDaGS-P;1(-% zrCh34uP8Ff>Fre6P=-mnYD3VXLl#i5Erdx23LZcK;yA~;<)LRX{duvdQ#JPUqG@-M z)2JP^4`6pqDirH14~U1h(^0LzEvX};GOA-aJE&%6)Ae#6#O=m1O=7}*Gwln-=ya@u zqVdNUWl-axlMFhO@_ZW?@nE9{fF7av<DJd4n^?uSc#5a?1SeHrAz!CR3}n#0KS+CF z+5LtMIK$K1K((wz+uiRD#C{~Sz-Q|H2m)y){e(ET*=kTP!b&gr52%Ed%7U;sXpMUx zI3=BQn9?6Bz}*dH=@CN&kgEwkv1wcQaHb`xBFl{#n$e79F`Ci1-cFI&1B!01e=%*j zb+peTQK{+WnGLBjOIbHds!5?L3N-hw`Oo8_RUyoRj&;<KbdRavy7iwJ1lQPbE*9wC zmPtkU72!~_M34ixei^vF;~sN*zd=MDB)gPIL!r?vUW_z`n}1>yGTC#@qQf!Ss)C6M z8eAL|y?#{uVXS_durWS48rdUyo0)E|1fSTrlr|EJ8;$t-K*y3tnI+pQX(-FI-9>=2 zxVByelNo@x&^krpt@7{)fvaXdRaLPchCi(&Ifd9yuI;%5Mu<JI%-p&;`G!tAl?nnF z>(246A!w*K%i5=l_gPZ6E>mGJY}p)xnmEIRWdsG$j6OdWS03Ip1z|r*(s1-S17~}E zdthlQ*Hg()g`<AO3t-=Mak#bmX{<#Snb>-TcjyWVbJX!yF%~xss=sI!R!!#wbqrf| zuf7P_rHZqZsI&C==a?4$_a7!f?+P8dC~l^};ff{tSUO`{BwxUBoO?4XE8Q5K;QLb` z_psvsV#}@5SOhFQ!*s>2RA}868cNk+8FAyBs_n?q`-5uULjt*w(FNkj>p$C$B#}51 zt7HL}_^H1Y;s&BMf7jBYMx01f4pz1TtogKc`qgWIkyE0^yBJ|cWFn#dCZff$+YTsp zmHpQmrpqy;Ry;*D*J(zo3~z)PmkXCP1fh=oEc}iqS7KXaedlz$+C>CAPK2u=N)o>@ zj>Yu+-;#4e_%&%P_kc-p+7Qa^xcGzqzdSyISjT^oM#z%vpKNn6IhhUkj_H&}(2DiP znvDbIu}S(DA5GsP_Hj49BG1p69`VFMTr%m$a+-vb%5=bI9LDQb%182H0}%kd0DDy3 z_eKF-zNT8A$_ikWC&z_vBW?$)yC#4&0P*(&*7!}#P$|qQ$I~Ai$ATNe_+qTVCin~P zChenf$g_0b(8H5;s(9{Jw{-Ln(S}u_e+X1XtPk{HrANL=94ntnRV$b5*TnmHjlVtw zd_~snwR_Ud>4mS0^TbK9wJOFnRTDK&g(y@;0uRGR-6xSjMRysnHc4Fc=~A`gobTZr zXD(gI0I2({z~3>vwtH!L8t#j?#k@m!ZF<tngLrLb(xQV+{%hg^xd2J02T;Gme--A` z71s|wF;CUjx9c>U6U6fPWcR<nR!uo=TwaR|;cbXsKR|c@G?^%?1?pw*4oPvrHgkWk zx-`2|e=pncD7utr2XHTMYf@#&NQyY2SlaXA@JDa+G0I*uRP@V1aWnUY0ZizbV2$!` z(={>PpRp+K_{V9F|MrVB9vs^R6ORA5(UgeWbF{1wapx~g{AGFdid}(lrv%wdWLDdX zQ}@SVz`NyRv{`xfJ02^KMRR#>9WOlLY_|@(hC-sU&X~Lj<L=TOremTfp`12d--R$V z0`Sm@$|_4#F9q-4qC<0;3*+=X^oe19)qT^Gum1`#5Y+T%x$$zUPU!Z8<!~O`?D}7| zDvnw?;|w3>J>_Ktp)0;?s>M^bY&uz*hDxtJ<z_v_7b+fDoqu5#9Oyb_HsxC$&<C6! z#XHPIKEXk|3{n%VPczZwEB0kd9Q1!hatzpwx2=?3$G|OfJ88X+SzflA*2*(UXbkIm z04pIMCDC60GIG=OMt^K+p<6;!(uder#!1C6DK-B-$-u=h`i2$1GHoG07YH94e4_jt z`K}7C;5_%WG2!vtga7Va0Gj7945HvIRxBASON*6!u5X-n!egwIaRqhvQdjp2-M8Qr zhc$;0MkV~zyaaWY7Ed@b*0+2<+PSnh&hr(2+YaX7^u3P#)jW=|9YOi6q#Y2w-(YUp znSjXV$V67guxxnFAV9{@BCM3O6usewpJdXtiyI?)A^=dOYIRI77mjTE&0-Yx8cZvN zN8ZZT{uKBbYj9;f!jgKLVI(wV(%@#8Dyr?|^=0WmK*}^lbbLnAwDW0H2LUrNuOpEj z+yM15@|`U8#EZ3x5%2BkA;YUQ0AQU6z>PUPnmN9X2}t06dsqH9m)3ac_nauH^RXC) z(Cw?pT;Hex0CQrOk?)pE5M<yd3KMg*kGAm#(lfFdIgD;H#hgG+0D&uf8Fd4+O!Vou zE(h1Jln0MuQ+gk!Z;m^3Vng}=ralZweVa{yi<$_uB7Oal4c^dG80&PZU@shQh|LO{ zabf?*TaDa;#wjLm0kAyC1<M0AFp(wz6KRCy(0wh@VOkkq7m1^_vIq3cHOY=s>-W%Q z(U%H&dPvSUIi&8OlY}=ko%`}rZ&a#Xa>ZSmZ$cWw$k~KEC^B+=gC+o?iw6+hO7%pS zv)MIOmZ>dtusr!OBUJS8TQGr5_r>)2AMH8a`S*e^gAPMEwf#PA`^f=oo?|fQZF^XL z`ckp=RDtKXtqi{ptk^o9&i_qH)orG29?O;)<pw&>lz4TxT0zd`&P=l8@1O%|K0#-I z&c+9vwBlrmGktG@>U=G`pdCrL6`(AQ23gnjo3t`*1%driqkrB8=F-4yhW~S++G+m& zAVsjfF!`?`+WN%g)3PK-GvhlqY<Y*t-*K`G|3lP!KsEI|fx?2IfDs4;2rWqONa!G- z^cs5a2}ODn5TuAeLT{lrl`b73RRO^eAQY)m1XMy16qPQZ;=8~9d*}N&=bppO-I<-8 z-JO}8ot>3c`S+QJ*6znx*?+aP&h!5U);(9n!ie0ko)7$Qf#5k;?U+8TKQE>4c2GTL z-s<vZ_`5gtQ&P|O!QD6bq-hUi%%qmv%W+Euy4Q}lOce>m0VjaM;)XTU-ng+iD*x*F zcdRDIufr8B2QjCtPZA`WgL!}yAHwycapt39&I_5p-I_e958v3m4=n5SA9Ad=*=2w9 zJypWNsjyGZdz3UDpUNRZAnl3UYWS^8n+%k#@;)f~+-Xn)F!P-JJ62^ikf_@Hdh^Pn zLvT{V*l;sn!N;<G(mZv>ozN6lqq22R?&t{f_Yuoq>xA4!tYnEkV>&d?y3U5S3i=Sy z-8k2SzFiIUn}CDViMHccN<Y_*-n0VwF`|5!csMRCUI`cVzkjE*3}zQlxTrghbD?IL zHi!vo9io0@uc*Z(dVj}f3wB}KlX-a<`Iz;~{dZQ6FdK4v>j^i1D|q3_jFtnF=Hxim z({7F^{H{ALE*t12ULS4w$e-rY{pYJ=Hq-v5`BesGS#E+fqm;6Ic_NFz@xiPRKY^+; z7sPvW8<{&8l_qBs3%_;)zTg5LW)&Mgo2uIFv@P-v7<*80CgAS%RkvoP;4q<n6!j{4 z-9-557mqT-E_uNF6k0GbRR}~9egz^4gMdgvfJK=AixQXbY}Y#!`*Q(;;<r)R?Nxw9 z5(wuP)+e)jg{4bJe9`iE7wh!?2#V_6rO4XgR~oENQH2zUax{gyzP`BT!2KgmKAG;S zc*~w<Ya@=4Fgs=hG7z~FVdJn&9O2<<ies+zW$M+aCQNP@G{tp^-eI;Lv|na%@XZJn z|Ms;wqBf+AFqHTisl}C|!xRmLSsx$lzpBX0++d0(FXh`Ek!V!y%?nJ?T_k`qFSJX; zl-eaer>#8s-1w5d>D(-|3y4)Iqk%!XM8hRlfk2pb4@x>N`PIB?g)d<)-M}(8aOV5x zjrx@*0ZD7L+|92!d4TorQ1_P*PZ5`LAg1QY%chbc%6MS+U=9e8G5jw?rWy#5Ap#*X zdk?MiQT;1VkWW_z@eW<_nTr02S6%{GJbE~JCPMBE6|Vc%0Rca)Q$>W#6NUBS_p_Pj zKSR%}oKNm<E13WrrV3x}I)>!uh8|vKT7>Z^?Ad)ZuO)xw@h|Q3KF<!YOQ-cqq!;?g zVtuRpK9iH`Bg=KB=MR~jxGyZ$naTs0oZuHdVUb$5Q#A67>BXNv-nwcQ_seoq>!2Cf zE9nCEO2W;7y%KB7aLRC7v~?1TWw=(j6WTiMex43JesBy}0zS0@+%Hv!WGWml_BJ;( z+-t9JiQYl)So9QV?>H<AYZvI3$s{wJT6ov-87?7a-$*@(U}~*nlaEUW+?>8I05@ke z;O1Pg1l*i{fSYr{0&sJN0&Y$#%ME5%ccw2XC~Kyo1I5ONvi=l(^+A<8w(0E7_v?d> zru*3Bxz_4~ZcKNub<sQ42W_~vuysMp>J_X(cN#|1jPG;Zu<Qm$0acKIDnLI0nEg%w zCIEohe+9s_0N0r8;{cf3G<j2biJX?DqAjssEIH<Q!>!WU302;-W0GxvyPgq%g!cpP z`V9aQ-U+ztT>(h=tCXNE*GQ(KbIP>(;dB=_S$_y`eUK~EDZL~S`M&!cYL{*w3pjJp zP=|E;1hx0w1gH(6ho-eDWg?FJn#G3p^c%oA90NFqkDDs4ad%p+Ga(It9+A5vpX`_N zPirBu-Sgn*P~x*2h35swf`g53{#d3yeilVrd_=rk0E(l&`!6*0pUGmg--!B1KBaHc z81vx8PJrE?kqMFKIlc^0ApRUuysuPm0fO$Q+uVr#GH730PNO$}lB&f`dU(9mK|3YX zto&?Y3%xnsB{b!pLn`u>QqDur83UzVfzrBi8p^qoRCGY;=(;qJPqo!at2QpGF~9Lj z1D~j-H8Evc3x^8Hm?qt=wrc7g4ZLk}WpHgj!2VAj1q~Ejm*CQ^Erjt(K)%pc2F)a8 z4zf{8>hf}5^X7YdC4Fe8Wg&%>VMiT<`CXTMruU-(oTAEx+-U;S^~sPbYqiObr*)Hl zuq96;c;NyBeSYY0L&u?{5vA&I{jC8gQv$Rpj;hjv-nGghO8`93bkpLkPRPEq-JcFa znx%5yxH2VDc6<gj?As_F#(BQfP<iEd2n6*egNI|<G%emPI-KK$;=yH*t`0?Fs3u%Z zC#tfFmo6t{(-oINZa8C_RHu|8iO_-9_?#e@UV!OJWJs<rwaI;FsGP%O7?35}C||mm z8B&1R?6lgSl^`-kR2eu;9!gM%ho_|*9GN4?T=`O6LL7==KH<;U`AR6Ry62!`eWi|p z-uUY_r{lZ~n%0riIL_BsgX`m<+WPs4VC<G_w;Lr?z?K`MZ<WA}WpWLS*jb!UvF$0K zp?Wl2eUueTp6{LDlB_Mn?2~}Z)>amqPs*eOxa!Qyy<JCHWz#^8krml*wWCzEs=GCy z&y-+O9!E89N$*<ZfD?d6Hi`XON}z8PqATYScInTc+TKk<HD^G#cSiBPoacqrl~<%g zAt;QMS;`h0(xwJXXCO;*P1YtCThL9?VoPo{;e|6(RIA$B53B~$HSrgk!)|r-{uLM( z80<Urug~vVaa?xm<}HEwkJn_{<5)k}_+q-bOTf+J9%(*XeF$-aZ=Fe$_8XD&!{t9I zzGe>j2#f)4cfG>*qWe|}*}HL%qz5?G^+w-e1>U@DWWI&A)qoeV09=Yiq~zd;f$DN9 z90drj5jW`p(`C?%hN^$U4;*V{Nl3HGM6PB_Y%@-8T1!q?X<R@<aQS%OR0REHBi{E~ z`0Wzzk9y);t{97&D|7s!Lo&n^NlP5cF!`T->KjB;{^aD{_np2Y_i1|5FC$a`RUkip zYim}PV0`EJNz<E_$S6hG+lh>`mNPt%x_Hg}&-N_><!{Fb&2s6W7PczND)-rcX5X%h zRH18k(!?PS9NNC^8;WQ|zxqt7+Z$S35==oH*eD5~bcFML$?llOA3n|2fH9EzOY3Rr zDrVK4?LWPOEADI7`OJ3>YAb5l`(h_BcU>Oz+@5D@)xuGA<~tG|d;Q2k#QnwT1>KWl zr5&qD)WGd-wEA9C;3?r281+a<#u2-Inz0IZ>hU~F{jWbD%T<LUC7?OCIedt)E9N_P z3z_J4z9ei*7N%#Fw1#CuX1WoU*z<<(9XK#aYUof%1Czi&2lO&@6KQnpZ2vszLx`v6 zr{)v7^j^A2@ywF6l!K)@Wmw4v=5eW(Qg<+TVi8Or=Y)&RXD4bY9k$u%kmcvT4w!rP zwZvXl=5JDFOdat9^S&C6>f`Tf>4p4XqxW%o`xbJ-Pe%ptu0ZeT%0&ah<q9BNhN73# z0*&QdSM$bn4~!ThGJAPTqPk$Z0-s)t3w){^uStaCc3s<juR-}sxV6VT62LfC*YJp` znf&!83!#1`+MKBKuL=Na41n5Y`B)M_4FXVKb(7Y7yqq=-pgztU+gVSy(C$|{%?1iD z*~<w(A_{02FCR~9$VG<~OkP<7=+LQys5%*ZksA;t(j1uKczUxMp;Lb!dmcQjdyk9$ zeX%`U{OaN~igsl3^H2Lf>ZWGv+9n?!bTu&z5@!$jDafeu^9tfAAg$jc^XPpSsR*>` zl6&(>iH>XsZT7rmr=EO6PU)IUwb{_$Z>&WIe|_}kKciQCE<mc>e6B4->y@zHs;$fc z$jM4J>OezY?hGHkx76uF3UyX-NVFZbD#i$qlaj^F;1pIiWMLhc?j<0TI<?6sW^|K! z*pelUdEwg)dHbzqO%z;iH|8~?O2y}?2t4VMVhc%$`~VRaykz-ad_%z<v8r-_3>9EX zSZa);vIk^nLsX&LgR^#yEdGRm$uS>fHYCtqhoUP)^I&F<9)F!PBD{r{>t_h$b5n+T z?~G}}qEgDinE5w+SH7LzIW)4adLw=hopCm7r30D@*<7#7h*F+0jU7><IndPs!@dd) zUu{waWwu}JuZKAsjEt<Pa2{N-)dAt7Z$?0Cc)2t}AudT7>YxSF1U01;__X!6jrDRX zF4e<pp1Wt$-&%N6Vw6xj4ZL*GA)A$58RW;arb$oj^rDrghxKEBvrz{b^MZeP^9`2; zYrbD?jy7mK>u;x`d0oz(8g3Qi`~feZ4$rqc=_~EM*1+_d*{A>Zd#xoN6T61)dF0x! z>a%)VW%s<z*G@s+@i$Y}n5V{)mG<3Vb*rs?slK5C?%I4B<J?L0^zpMF{0W4IEx<4~ zl@q@BSLr5ooP(f?cAwMX0))9eAk49oR4VS$+L@2V3bILP3mR4Fkb<c5#%Y9{@T)Na z4HjUy*#g52J9(vc>nd7-w*>rd%p>iA*&V6gTrs*9zSICBpXdNPF#4>3(U;Q*{rR%` ziG2s^ai|j|1q-f&hmvx2p30e0Mm@-$7xYkuwBD>8wK_+|Wy?l=aE~|Y)x2(+jyJ!M zM7_ix(A^oTLDzJX($VIhH3{og+RCM~NttuN(9-7Reg~*vMPO(>bM%*Bz!-QpDLYs_ z$rKf#3bdr;ZmzraHk4_on_FHZXNlcmY~g7xy~9L)RvIKwU58>MR5Qp>2c#1^^s7<n zFbJ6Uw7Wt>ZN3-pOcE-UQiAwOX3RB%)W%bOW#a9WKC02~CXt?JKxqLT69ees+R7`D zfR4$`;MrR+O>?x1hQ9N;KY>?FKT~J`e?-VKklM9*N@uC)uJ#@WQwo2=zRp_n7KMMj z57G`Ix_}B^-l*4H#lGgTrLcb45dK!=^HX1j)dEDybsTZuL{6nK2f_8tU3%c!GN{c| zgpwdjM0HEp!u)mfGfS{cpYz?&-Qu?3AG%g)ueZu?|Bzv7)uW^+8K2W4r&+25$Mvz5 zFV%uEf7uQK@A0bAdMD7Sq$emeGH0PuX`r3;19&;6v!&*^D|amDQMEKYduD2BYr(|g zp*`6yWu=r~{jKH72`N>vL?xcW3f`1mB~)QKFP(JgrfYr%`NV>0lA@iSu$*@SGC^B8 z?R`>a5TLr9c)0~U`QB=#4;AJ*_HvW*SF``qtqOLqTfqO%5Bs%NvFu_c5Dm8+<O|<J zT|i!e0C~j=$Sd9DG)a9q*EETre%|LOKxIztxbiuxmSpB3Qi5<qNhdiK!z=_BBft;7 zWf0s}j@K}I5^7#8CB;!NHp<)Wg}t5m5k?fDL%QdzC;J{!SpYIQXY$IFyY$eF<+Ob> zxrk%2G9Q?d9-3sj>_aTjaSL$EAvFOuWex&%0w{SpK*?A7Vs`jCax?p}OwXUWp?7ZE zg0JZ^XUe=WzJ0>DmGAK7=bU{o!*(C+%lL-WjW@466|1^7Uwx3wWb!Snh-8+n?$(<? zutZ;FaFF6AxHC#Q9L$ZTK>~;;qdXl$H9K`np*#F}<sk;mUH>Ulc)726+T>FPNSOFA z+6-oU12OhA+~9eVjNo|PS4n;`@#AXyU~~5Zxk8B&Ke&bz`(<p=GCmm?GbKQxrI+K) z08<&3`D{c=AZ2bj<u837?eLVSz8dq0^~bSRy!O@J;Vywc(s!>a<V}u}_{v{G(dV91 zkqH=XfMNW%Dvfm;u+$;|ORe9H(&m;eH#1<VX#tj6kPX|_W$~U%B_8N=-jpXwC@5e~ z#^aBJuVn`iZ&Dj<X!r9!Y*vQ7v=7FQ*?M$sV!kDz5;A~WBS-N<oafM{$}88$*SbOs ze)vX&`q~e$5_uHL)@s03WT|dBE^WSMV%Z2xHEtJK<a=QwQ9@=l>XDR(V@2lq4%>+5 zWs`FG4q-joa?}fB0tBUHH=ElfFzvCLOj8`IOS>-y3W%B-K-74du|{-@Cr5?$-YQXM z%R=;v7a;m?0}mW{q=82kc;pKvsY(Ew-=i)KW~9sG%-J<6Fle=0wIomiT5+Gl(=H#k znaf>uIOUTb$~$E0>Qv1zaHo+p#;H#fG*aE*6CHlLm(MX1Ky*BrZOG>5XKIe9R_W?4 zF&Q}96aK+-tI))Wmce8oD4o7^nu?&8E(r*x#5uNuAZ=bUKrjs{rfVv+WJ6iz^-T;d z9M9-`UV!^8d=gsb*w$0qwUr%!iQ@x^F-Kl*e_-Mu(ucaM0S#IF_3g;CUpl?m92Frr zU9xdHDKQVwdHTF$Rz7?~Z0VXd4cWjhynZ;e{?)!(rxP#5YZ-MwFM}dw-nu%bpDndy zU->P=fNHV3$CYS7|GAY$ox@Zup;9C%$WYY~r`YS%KaZu4W8WIL&@vlP;{=C@v=nM- z&H!r6F=Vs6AtNee&NTLi63vW;4*042!v|83jfk+Td|D9sj<=}~k?kQ-S$*?hf+#1v zcOg4bUg_|_N{8$tAUh*Dwa%VbLUr0NBv)s@;uBX)#W}eGMnW`$9CSc}fI6+ph$@{m zjh$1X3DVX9zXQ~%Vv{P&-{)kV_h)Z@23_9`rHbwsych$e!$4ad)#e?c0ZUGFcTL{> z2&Z2o1t8c|ePtPtZ?sVy`}%{%!3O>sHg**6?St9JBW-r`S8IZSYYng~jY}O%W*_Z< zvDqmi5xQiR(J}`7D{AD|s?oNBy02(@p1*pscZv!w?~KJ8c2d)}h0ut6pxm<{8>D42 z@wFxcq+|F}JvkR(QMkOMQTH`dOGp<<YEu(dB&ZRdOtF2lyw_?(3GuNUXw{~KSvADA z+!vv0HKXLVdU5M*Fw>AtO>XIWEGlxTWl8l^I4rg=g%g#D(phR+f`_?>1yi@gCZ-_v zZ;86hY^SEtP&L=ZlIm&BFxr$+91Q~_{7e+xFXHG~a)V7*t$i_(Dc{$dQAY>OOJx3{ zVM+EWlU@2LoEzH2%Q`=`D8I{RZY3_6DDyo_Urcn$<W!|w>fLRH=SvjVnMz1mC}|EW zUpgH=`#;wQeFy^Kl}nHSrjqqCRAl(=Wzx3`E=bAeN|brX#r<WEoyH~DE8WgBjgICN z#5AH!_?4)f1|8va+8jq1`5Y-Mrxrk{*{NLWeWSa@;vD~2V3~CF$87*X9?HDe!WZ)e zAhgac)wtlUsc+l}uvXA*V}*%yCSL!SE5Y@(W2Dh~LGF**@!Q|F^+O)rzm0b#^*`oq z6S^zO<5onTcUO~RN<=<CX#;6~`u=;0=5wUa`*=V%LBn1Q;7=Pjo9yIL;{45hVa%d( z1ZINA5<qRUL8s7$EJ2{VehFd?DOtz4r5=RnwVh+M+E^uoIunnu;=@yl1ObJHCCGi? zdpjypfqxnGr_{n1#wjZI>6VBb9!@w7w7?P06pPX`hqlj!<))0=B4Sb|k8*tBF&RQ~ zgGj>mQ4zpL0LD|V6MFYIQ;Ewn)X}+BTf|PyQdG&o)NV%>7uz=_x#tF_?KsJjAf<1E zq$z!^Un`B|aR`ymoF<e}_bbUiR{v966Qjxc1Ky!cr{4oCS_#0c1}xfL8t__Vu{KqW zcLH#KFI2#12+xO3%D8LFOTj3k4tL@OZOD+2jp{>LP(ZWKu$4or!I*5e14(smR3K=P zYEUxW1oWN?PoEwRZKQ`AUh_(D0qpQ=o(bh|w3Uy|lc199vg)mBypV6QZ(EIcVGAOf zpfXuDZkr}>iY2f<1}tz<2+ySgsz;kD-zx!Crwz^b9Kxf}Nq2+ntH5z+wsM>2V9YsC zr7<iQ^?bsTY><P=WWtIJFUAyJD9j~r?%c`Bw*I^8u?01O3~1G2Qerd>cx$Zz+&>32 z)F1c>5RGLt;H!~|+EkrB38)fnXs7oOo*A9=q|cT&>J%uYoi6DM2)mR2N|k9-EdcCD z*M?g8Bm^KKJl9S`)Azfzd6ipds4{(J-fFM|kkNX)6fCmpL2A69FS4ZdQuUz$)dp~! zG+Vhs6BuI-7(Zs*D3@o`RD(h3CLD8Ac+>R#7n;CNTnki#-03EU3siW%^zg#7%-QFV zwTL(xs^NxMR4EN~7_g9_=aPn?y%{!cfZZwCY)EK1m=4bb5F(jQ53rj+pDqakdQXi8 zEDkr}rMN2d_Df{?{<#xxRKIl+bZK1n|9@YkdiL`_NS^=q{lEABJ_AiqvF42$2Hp>W z_o(!JJ!gQrDS+S*fI5I+3xK)`dU)&oe>wV89zfsJXhVT(4u3NKhfXGaKe|HuOx2V( z>KUL~j4gm_8D-!8N(Q<@^Z$K=r-B&YO2aD2`wc9}KJnGZ{sdbNbR``kmEo<40Bgar z>TP<wAa+?2G9F-`QwumQ6zJ{-Fh-W`pw$kbre&6DP(R(IaheJroE~mdLq9WY01PKh zUPvl1oOF3%%)oHkqh#YQYyqc401LVXFx`XyI_yrwQaH-x4Zte)bje<TRn|by{;t-h za`H?d^=Lz%dJW;t(R|iVWYqU<cp;fGZ}&}jVX#rr0?+N=BDcD0$x~j7xB%jTuFL(F z-B~^jm4AKgb<@LpjFWdXDU}njPdr!EL_U+{(uDu1B8REg#p)PK(SQG7O-T`Lac{<+ z64Yn$xx5xM<glzkNwH>mZw5gLa<u$ho(dY0S+=F5D6_bCVCwG%*NHKClKAUi;YU~O zr9_Liq4$?U%UcRQEJE*=C^2(DdJ5ru)qmVKi0{*LfZQL+9B5K_#@j$KorA#n`lPyF zx*6({$~xuH{7OmCq^UBfO$3z!E3KpljR7z1A}BjpRwe!Ml&R3gmJsa1jtL%lOf)@f z1)ekDxrY-$sqbc2nuJW5LSF$TUI8UWLWrhRN?(K^Wx)_k=6rMbnTzF6!m+9jcX&ai zN$;d7^y8)w%*h_W1;qYS<=gp)Y`^4Jq`{Lo2zZ_bo|;!^fYLY)G%6<Jt>kT;1Ia}> z%j8{`498oAek?*BK-!HWpj{yQHmr~O9FUB|OOb{!&w)njXONIMOYq6F+)8>w;84H7 zrVwc30fcU&F{O}pWLt>BH5igI161?^s7M#6Xc`Pu#5UDz()i94YPBU4Wn8B6=Y>%3 z6@%~OpO7n*{WG06q67n2!mIolHNRVbpp3g2_5@Yw=(6O-qU_sAjj~)uu~2n>piJ=t z5|z9L5|!WulBzvwfjlPeEJ9%`l&VKdlypaaaW;>cmnh@V0C2XWi;WM9a^p*C)UIs+ z%h}UGiGA^SN0%Mo$Ky6?)cakaiI0FLJ`EexpewnxkoN<~WCG;<_#_;@J7;tlN$!Xf z?7|B6oOu|uML1wpIvm<>K)W<;JtE6Fi!BA?BxX`<4s)nchdsNklQV1c?@!*11^ zka);-y8#Fjy`d!;L54}v(3;G7$KtbV4GsB(8E_kzNRp%uC45_i|30))p_LrwK1Iev zmRVqV??96hWNi6)y@)1C8NkXSLUmw933dXoe%m9aQtW5l6YjAdVCP5c>|0Snep(Fd zJ5feGt|RB-vZQ~W^Js~_ERXpFZqarXWWGm_XS(y2ijV=9icI`$+|j|wKCSpVKw`q9 z^y14K?}MM;zIh@3(oy<ec45_8t9?y;#o0kCW|jSI&9N&a(Z5>ppRJakciYNAe?V^D zR(#ihy7u-~xTBoV$K0EkuDWcF``@1#Tys7jzxFI*{Mx5&0qv`L8FTWAo+$cbVRmJa zi=r;|nVqH4+ExpL3>lS49>v)+oEp5@cfW7q*?F=k8|O-L^@UZ1982bcFcA(HQWap* z17Ya?3DA~7Iadx8c;90+ei^^irB$i$HAxd=2hCwM1hq#tZF5Q<UuKG5KC=>MzhQG) zK)Ma%t9lb|l66UH%DE(!Tpkx>qIX-a+?aLd?!4S;0W6x!2G{Xxsx29Rx^RS4ep?8h zKNVT%76BEpRmJF9#SUVbP}6S?Xs8=%>NH&aqRFnuSX~)ZV>00|Bg30Ag)3B$b0u2R zyEFh6-tVH@((We8EqDI;-b(?_548e07~cImzuR&4n9^6s<=@nD08BkE=ID9daY=xc z{u`AEk-w+#*T;7TN@su4KX`okO#JdU9bsfdX+c)tAAY8-Cv=036G#}adi$Ss>YJ{V zDF1;y=gXhW|87|XrH>d!ofiCaPW_k7o4WZxpBSNOwzE{;RQxAIxJMZH#ejJ3QOv4y z*HYhqjOV=9EV!SuW_ZRp7h8hUReh6N8sHhdu`^;oMA-6uveAFnRrNIJIH!8EMhqJu z!T+UXY+B)>$Sl~Lnb?(&J@P}kaQyZDAL4NXVr7Q%mBq}MrrgzBtwZ<f%^;m06<mL{ z|M%G-rYOvYM^&(zzaKd4q5;ukao1sP>s&?#xa)L|@|?$+1;d0&MpVuIM-~Zq+brTd zHTl1=0L>Jru%)a2Bcnx(|I3s7W#q5_k=*|(w=_}TBT>cXe}Jz5z`a7wQ=9(*-Z3CX zbOV5W|CfGI{x8`{0ARs?ZSAklExpbP06P2+u%;1zNdHmcbfyu{R-yZf`wlL=eqgWl zH;&idEj!9uSaElBg7)?6|1}M5I~b8E#o#<e<DbN{n<7@XIJ4(tjjO^4VVlRWhg2&H zU$?~SxKpZpa8+tLFEbs-u>awdGhhpo`MpT^-=}Xct4wuX1~`uX?-Q>9+e4Y(@6Z4D zY2`8TVTd}@C*@a11#kQgtm|gl`rYsJD~)aHJY~2u^vsosdeE;;!yui!S)X|@FWAg% z!Z+0rJ0VvfUD<7#?*$*!F5;t>%VpgE>tX9bp!nv7<+HIj`n+ysdzwORHO{BkT3<Ra zvWDAZVQkFO!@5K$s>P1G^A1(x!0UF)NkM;aNBt^;-mF+_`D-gh-F#UzV#NP4HWH;_ z-I+>hD<YT7U^s>&-B;uvbmVt`#AYQbJSW|zoxsCd)y-3ow2t$A)Gbk>>Oblcd;RgH zR_Yt!B7$r4g)NfEZ9SF<IxsO}X3h~W$Uzg_#y8!-Fe0%6h2sy#y(si;D-|1NRJ3(4 z?9?@4X2}u%)1#I8_?Lz#c;0X<hQSc>@2Vi|{IV_dfl9{TKjX~*z9#~QVZBB^JoZ{% zviUUK*7=@p^5py1h{Ps%2j;F!*KfwmmkVFKDZY8fyeAdZTP@bqwOM|%LJ-mx7n1ar z13lOJ>x%Bj$;zV4I>!*ik-C_lv((#vK0f+mc-y5I*p_~^@&tteww`NNo?I7rr*AS5 z_7${I_9~GYIN7CH3v<Dm)4`YPbP7rHu7-d6W}bX4Ug>OX@R4xoSne@Pcd=~NZj-Wh zwaIRXuzA{WZj;|YX;a*Qw!t=N**F~0iC?~()?9RUv;1nu(kiL5jtrdq=Xv1lr%yI_ z#24ok!9bW-PDbiK-9!dI-E=iGT8>zHTDgBq70|izItOq;9csxtI@EAcon%RAon$z^ z8BiQQe}$o4E`K&n&J9h?rYI-7xIfiw1CCJJlnF-I<OrUho&8%GH)^|8YX!OiDBsjz z2v_QZ+$#pbx%37Pa;e6HbD`sp|NRn=K?<EjX?UwdPlnzt&yghpJL}Fzda3`KXio0F z?`rT{wpqkQk6&zW*LZ|n_O;bls}jHMe3`Hm&r3}uEf+g?ojtSai+=EpE9OPOa1mP@ zyOnZ`=QBQk>rb(B+h-fzIGbl<IaEf)a~2{@fw!!Am!374?v1~Po^E@?PB*<(!@gKP zA!$Ax3RE^e3=}gy43aUX3FI@T2~sdl2^2C;37Xzxa$tD8FM1kG83Hdb)dR9yk5wN{ zwwmAXp3zTm#yIU^U;CncoFyEr?br2{2DMfO4U`C$weRBj?AJfkqE~EPlWrmqem-^! zm*ugXK&1L<R5q$aarDDNC!?T2REJlC2LBT3htIe-S%AHgTb#T^5vc<R+}OgZ04c9j zo!Tc_SO^|EO{{W?Kt?9c`b;BQ*#RA$I`yc)7vPU~=Al1>ODgp=p=%R$y<&_Z^1u7| zSR@DP7(pRlA@QY3c$eBA$RzV$@Oev>6qrO&d9A_e^f>?To$uJI$3aByi&vXee;tC; zF5k#si&=SmUg!8b|NEVGyyS!X^PT18x5Boj#G{i9CQq6YE*h)FxxusMKI&M3C=_Be zkNkA;i!MdvH@^txmtrmj8vNaUrYr4`@4NzCBl)u)B7?k%`UlhHQp$lZU_b1yxtb!b zZ3K?%i4!S!8*xkW{5ONAiX%iAS3`;a3fj78U%FXO{e7CVslLtT#P$0_e}CK5L0dHE zJF_~;<i54l?`v}nwMdscrwK(x(i8vRpK`W$Ta|IgW#RpWM~_>8*oM4gz53?h{ZWh} zjBUz*R9vI4e5+3E`)?p?!IV1ZYKKY29p<m3PV}R0_j1*mZ)9ruWAt4NbD*m(m=RgU z<i`4L0l}5lcwxDTpbr?wQXG;_&~IskS|hV~P`t?xr4P5H6DA4quk}>3nS)w=Y=~xg zJX@P%&Y@=-OjZ`wGULyknjfa33~-W$^Irs0_|f2G7+i|~5eJZ*G7y9q!^KnUF=rg; zF|%x7#bCn%woz$%I0|T)8#P>n$bhVZ{cI0u@@<(+XxBI%7p*A*i=a0iiHea>W*%E8 z)TuR)DLBlh!OOGB)Axl>ecf<O^qQ2bS6#CB(l6@|G9A@Ez`ef;XrrQ+P+#vKZ%v>1 zHxAz-m#b-r8G`-gNh|M78LF0=wg@M`9}^ba|JC6+s{P*MO0}qZLwU=tg^;%r<_Dw3 zXwtHm2<%+gTKP^I!Q6RfcG@oQ$5I;)`a3l(#*dozx;-NYhyCT4g;kpdDCG-~qoEY7 zq~KG|aeu;sx$VVZ%rIM;mj+F=cy4(nT4~3xoTKl!Bag$caaZh_!6)g#!PbhgAAzkY zVM%T34(c|JTH8`(Z!uh+V?dS&&c>G}869__C4Q^>?4nAGE{MGHFZA3-manSo^+0Mq zF|9$E_u5Z?EKSc(TZv1&vmD+d)`;xt^Mo2|y|i_9|H?AUX)kK$e#oSGD8Y1hLAU?U zb@4*~U6IA_W;WW~nCiQp4W_ny6~0*bo?uaYG~dROffP%m?lw}M7`4Y^8(YSAqb=SI z+-a`0?LwV5p@(EALOZb&gUr|<UxA`j1m96>wwtOzj@SSn7G0=|quQwB*|0I>-w-yu z#fpb~&5~d?9mT8DoZH+hzj7oDA<?6GIAk327($tO-9u^2n@W+z2h;CwR@_~3`Pww} zr?RiPr?0K!8aramH`EWY=pRh~!Mo~a)1<7pxUix8iG}T$Bwn3!qTM9J_Ac~M+t*?L zytQIcJNvf2v5@}sHO-8Ng%@|9;DTn1$h$nqEBh=ltpb@1a|qc&zsA&Ie<{yA>E*Q= zo(8YRI&XScuI)>gvnL#rz0s%wVLAdc_{4&B_{FQ@l>D)f#f4#vhi|h~LdJ<KDvkcV z+|TO_QmfVF@sTxc@T(j7Nxy3z`S|{@ac0+P_d%Rz1a&^LDz4XovPtjK(eJW9*!^YA zx^4e9%iqt_+sE6-3-UC+hKAv(;SB{hk-r%SS4yaTO9H#UKD_fM-`ndg*nGQq){j&m zD#ETsP?gSe8XVYP^$*;C>;F02>nm09D|u^+jlA7BgIZDP+UWUtL=~Z`501~YWW87n z@o^9xLF6$Pgj@PK(g^w%u2<c>)9s7rDA46_ZVWJNQXH;;-Nt%t%)PpYn&D54cyco} z8F-3-r|wCeIFmd{rHVU}e^2`4N?Umko4w)8LlEJ(s~TbWQMJ&{Zea%7+&EZMfA{<T zjZ|)l%gnofA`+c#4SSq_A72fXTyF4~@+{kZh3N9w4c-tYymswi$`ik7dFK1IL@Hyu z-YN=y@{M&><i1L6nze3*bZKYs^%lg-X3)>4Z`<Eg3FF_}6)Sanm2*7wx!Gqa{jreQ zrP$!2r>llzTqUksC)~R3`@b6^ae2Qy2KEQrpUyZuvjv@b+69fi?H1>hw{%{(CH0#a z6$qD1J-u9Rw}Id%dZOS*dnz`<rE(qV%J&sqw@BVy!5I&%evo?We)>00$^C7q(Hh=< z#7ea}^_fJc2g4X7!)7=IA1O5RJ#ui6eQG+AVi+AI-6Xl`xysheK3B^wYRC5B)%t6A zw1Im=VeI{w^2EBxTv~7pspjA_`7o!NvH9KHYEYlpyjp<y=MNm2Q(Xv+hXz_DR2&zD zW_m-@1?sdHMZr9#4zXQ^Uxd7(uD%P6e!vr1sZn}{5pJo7(Hdi~`KIvW%Unm3Y?)cN z3!5#?HH>);{7zS6Bo+e_^xXMeSohEK`vRYOsrGp-dCfZ`(pf@gaZLes?2Ykrvtj;- zmHFFxB1)c7vW~x+*6bd*zT-51llz6oMxL|Rv2*>ae|POC9zJB$%6{|%bs~rIbikn3 zZgKL@PrFRF<-<0)xxUu%Va+RaJop}-!pxJ_snHzD)$}9MG(F))+;q2(53__`n*TDp zJ-X*%O=En5$#y4E=x%?86BS_D69f$`aJ;=sAZRdUIBTurakcY&)6LKz!Wd0y<3oNP z!v-un-dt!%vP1v1S}UU8N;^S@0$J#<>=5;7ikt>6R+Sa61tHlOa<do+Z6bxyQDT)Q zv6m1Uc&s}38pGD6%QZCx4~8LvSX_7^f}ZOE6@!a}n)(E<Zo;`X4=-Mg0tq#wzpg>` z7M^=c0<+8OZ0Oc&k-UCkST6pOP-^R_kJOBdN4mw~2VYQJAMsS7AS!7Z$`9xE;`%yY zm3PX;v|Sq(P=F5eD{!POei3WqmH%KgEw7iVfDeymX%+wY340@==zSyg#UuSXG!lg+ z3|8^o7`YSuti=UfR$5rdr!WNKA6)-z85h6N)89}KH1s-oN-TH14xJ)U{V4!j%fWlR z67XU3ospl0xrUEQ*tcH~2sS^IkS1uk`k~g23Z|<f6VzK7;ESCu_YT61IpO1)tfXwj zU6x9S|IXuYSa%SMu7H35A0s0Jwx?TLiY`-cdxM%t-Ya06B+r++Z}p~00?*-4i&Yek z;%3ELV-?Sgq%s2d9vl?8!Bn#+Ms%>0;d(gw+q%q1zV~Aa{-(rm8hjn|C@aP^F(fFl z{ubRY;mr*&nq0ZcB<VUNC2%p^Lspiv<Qsf`UeC>`ab=cC!>~*fX~^n}2VM1BQhUt4 zy>nme!IP4PnZ-hxH=pL_Z1@jijOomO@tO1{M4G?R6TmE^b5<zcH3zOqr~6lzs4X66 z-Razvi*IIlGc6I9ErIBE`}*@JQi}!QiU=#Ht*%iwJovH0__6bk+?1&~akDcbV|scJ z$>{4@AMgU=N+_rCyZpG&;i{!!ZW(FN;NY#~DKE*<%FeOZ#jbF=d{g4|!%f)f(oNN{ zlCkHmS_WmMANDG^@kVS)OQz*b!=1!FULccr{#w_v6Vj8C|Hew<NnU!XhJ^29>5iES z^mjidxp%Ga$2fiACkp52?{yb%!)BcO9A*ldQ!lr-FzDdb8J5nKS8^MD{jKk<Y&t$V zl#3g65gHasCSun;(4ED?Y6loG|H69ZE?o55{CtXa=cnSYEv>ld$*BE_u~SR)El88E zGyGroUImF-S)%08*7k*hSg)IEcvK~J;>^d@^h<vAZMLJe>D5)!fg8h7dWDGSr-tJ1 zE=uc&1e12%QrQI?!xishd-fQHIjnRt3^SJuyI#wn!Xe10B4Gq=C@+^4e|Oq_;QPR5 zYsB!c2GQ=E!&P=`VeAW=50AK=PUqccuJV!-o$MSFICce(4>u(|ET9-tTzPq&bPfA> zc{Q#>Zq3Y+x8S6fbsXN+c=x|_T{bV`iNiOls|BnRavB{bVw+Y6DMY_O1GXfFi^c`U z-FMSvwQP#gli>on%Y{$`Hzpkt+&XBHf1aM)S5qkT2Hy4&BE(#L0e2;x3Mk$&UT;N9 zao|j@Ul-5ah!uY4)3iJ9#CX>wuuOPSaiVnr!`aw|%y=)ihspy^XnFxBGz-6qd~C{o z_~f>{Ww1{HrbbCj8Ycf{4L2XYx*9g_F-IZzdJUJL&)Stqs6p~}tx3(ams+;3;YLGP znf$uMWH^<()^G#!@%Ovzw@Vm3I_<YDM|EzxyKHy#vV42|aeXm0590AYznDYJ5|R;= zLPFsx!VBw#RBMFwV|P;+klM*S&!`^P@uePSr!LhOa!go3GI&!+q{51Dg*qYCcOv?+ zM=1=bz6#AHGy4}=AtM>PH9zt~i_YEnuPw6J-Oq6URkPLZr~CJ3=vhx(Nds_KX#ezS z@S{}E87U7vWFcA#NTzn3S1i}H3jGRd>Fn7ll6PO)?#?n+hoY(Or3(gNhD+Yf2aWAW z7E#|Nd@%cI+XUvSSd-|nk!@+D_q)PJ<nZ1qGsSQ%?u<o9@Bv00_R(x~<PHsGxU+R( z{bsi3@tF(xVT+b(F&V!0)mxZ8To)PBS0pKRD=8jLv2LSD&yq?_LhALw=V(<+rZ5|+ ztlekc*I@lcPcnac&_7R9wB~+Ptd`)Uon?e9IFO$O-AV7@;d(4xd<#@bMRMU&Q_!X# zA_T^TLp|QL$8&lNw5@?03}vp;F-{DOgiBLNz5}VJ$;MN;ew~--SBm*OX=d(6Jcw=+ zRx4l=Yq-7ox^U*(hfUXQ+++8TeH~4G4C74#`S7L}w>7C1%^iPazPFyuLR$7I&A;D@ z;cCzuZXM1NKUe6*_O^5s<071ELtk)y`#{<!d*(wuwEsk%_Ni&oh9cUb_jy)g0p#!? zMV-tEP(bW7TH*G`t@$AA)V&u?kXBPeJGlL~RsPI>%@3>`ks=N$^*$uZk`p_PQC7`w zYc2^z{L&Ni&6Lz74Q(@pBEL7$yTc%Nmnf+@F^obZ%sxO?GVLWhpff%M4&Pe5E8sbk z>C$Mjkb`iaB;lUAjYXv4+*M{QB-_+-PxyEz@=qY<w$i2xIq7x4@9zuCD{xPd@F8~< zf<-RnRlLRP!9f{w+n0v6#*3us?K3_0rb#Ao*+QgJhhaq3e#g$aCXL&U>HXLq+D5^f ztdAC1gGHY`<i0juR3n|tiWf6?k`_ZB4LhfC;}zMI6!bJQHe?_wEH_n@1*gmmaicFc z3pJ!z9_Vc&VZ<S~v<ZV)X}%jlac*D<lL@_b9^#N#t{bgHPTB^9=uo=wJ9UE5!YmwE zCe%WL_Y>4PGKDuuV6?JwRaWM82u<J{qt{_a#uz<h23l(3y?~vT47yKlqIC+xxz~lv zxGJ|tdVVuf!A<e@^&?<l_oH6{SqEXvb}urufK#WydD11na`0)P=PC0V<Oh&e&#~{u zm`P7kU}9YG-DA0%to}38g9x_Z)#v4@-{yOUzMsDUgvLvg>pEh&8?6Aciks7A^)Hnl zNFT_4$cj*YXX*Eqe=*pN$zet>EGf#_M*#HG`$nWOLDQ`h$jYs;u)rSyUGTCrJsvT* z-#*yB6Hs6@XOdPRv#C%%SP{JiaO%WB<9e;<Xi%q<D!cO4Epd1-@(6`MHc2liykil6 zJd8~&>qyyj?Gm9}YS8CCZNNh5eDs6Cw_8+&CeaRvzAAl*<`>vRpZd)Wj0k0SwLZ6h zgIU5IO1*wZI|(aip<Ej){q;ic2Z3#Y`K-yVi6Y4#9rBb*MIoWuG=WYkQAsNG5TtW@ z)Md02wuMO_>Efs7zfqvibvrlAYD1yUOZAbr*VW^hcKT>parHMJW+#R$FRaeNwzctj zLpZZUui`{-@%Bb?Snrh<2%_KhIKBoRH6xQTRlL2PQ*(ay!i(&qXmM26`#aUz-C_y% zi)RSzh@%6$Ir855cKX{g;%^^l<+QLz*L#67^FXhp;{WDh^8;YfRs3OahocB+y_YJ+ z(=JJ^m>C&6>!w^(^k9r`Tt55MiebDU>vUFzPDN&N<l*;lvVJeSgq9QmXZuH1bI^A} z{5#`@Tr+93Mn1)<<^X{S6-4<Qm{|;8*4<!hX(fdhUJ|E1#hHD@%%uJrt?pXSLv)qA z>?A%EaU;-jj>o}D=A86ZLtk8r6n<Ssd_Wb!IOFW~OW65quR$BJ;=}U~3Y`tuRKI$N z%S#dTQk6bfF8|W)5AC<{>U3Lg;nLYOS_v<<k>*)$ftd6Y%E>RtuI-~Bqa(CJr5D&p zw0Lz>x!eoYIxWMZBRe^QMBIRz&$zvLtwVenRe9uu*eI;14sA0&iYTl)k20KZzrrXZ zJ`|2fm9(1Kym4z0V7hEEvrAqL+NRD6Y$a5@m-1^72=nR|eOk%NDF(2gP`r)Gu1U5n zt~s}P?nSokW0w>Gu&{Y{Y(wIAcxatz*fP5eBDcP#$ELxnq6(nF8^KuJ;#F}hEB^NI z>#X63<7pT{==C0N@o<pIoCC+S4Bc;8#PKVj+O8V(QneR2Or$s}=fn-+3T-KMP__^Q znB6J7h>d!7Vug6nrZuOWwvfT%l3Rm@*0~Af78Nx?w+f{Ya}I{@W$5OB3PC`l4bInf zFZU@3Le9y3_vReL7G%J9PrKOiVrG<0C~@aj{8V!NkfffT@PjU=4#g!0CC02i67Bmf zRHjJ8Qmwu!ZJk*xzPjgg@X`m14>$D0(UF$*rke<Z37~!l-`jqw4z%_wGS4z!e+Z!H zZt~)8_Owf2PZ7Y!-YFgix|zdaPA219@iwWZCZfCAi%iwaE@>)7pk#oy+QBXQm&urx z)tS-cmx_YzqiOrOC}0*`0cL^bT}0~in`0W%!TeToFwdc7-_^XKVBg-Bb9Lp}cB)J! z1Z}{SO!`JoO;32am#UMOUF>4YcJlCn(;QUQBJXLSaxUU_x^)l7*fuak91suSI&;bs z3o=|UWe^lb)ns=w6JG?Sn9`b>%OW&20ftx9pbMXSfrmx!a?o+%A(b2=+Q6tbE#5{} z)lfpqy|^)=c0#o(`u8DpTq`n_@!ZA3aGN;?(5wtt0~q6u05=nBw3eDZvpwzYWz&(V zHOaIVa|&`^#i{84l6YW@IKR5ZSHQJU&wyv+uNIpj)K3n2Tas+5^(Cz8v58Feo31?~ zXq$R{aDJ}G1S0v*?O7QNy85PtH!4=-d%T0<J@ILV2NR1jEXM8aFc?s&PBHW6@)~qF zK=%*PVvgrOyxH(&vqsk5!VYt3w6p~oH2zTybv4P!#Wl&M26vujmH+@4U?w(uO7-^u z(!e^GF`2h?u6Sn99kJ0}<s~8EU7UCWn9030lnS+8K-jKbg0z0kMrvi|tlQ@MAay;l z+nG;_rwCUNN7K$gXU(-!MKd9qKc6hfV4TKI=#AO$im>KxcpHnuM;=ZJ3P|hcWX}z^ z6yF|TL8R{M&1~BIR-1!<^eK*iSUh}SHRsSfBSY6Bix?sYD60mVQtlaC{<E$Ier!Uc z1j8D8X@dtmi>X{>CJFZeiH4ZtDYcMMjCkGTC6O=8B(`|I;8k3xmmk)TL*BIXJ)Ezp zlgohDZ=xDSC<`0)xodLuakdjC{FwM^@!PZm*9wg6Nf@(g-HNgoSWkia&Gl*#%J6!9 zZdkop!u4vbFL%8D5kLEA?5tAq%81qb?TT8Fh_hyxvkZkL&Aw%xdX#B36_){jk6~-w zG0T?7ptfajt9qV7ejPSdxPB9vAaV|L9~pC<S<*Qm@QvyqDXUW!{Ft6<e$GVnh(!t2 zyk)X$xkwMRSzmSdg;{J{Jp@%MqNQM0+!p>GX}J5S4RrJ$vMm5v2Y{?WpG>>nEXfo= z7UFkVlZ~m7J8!9}?yRU6kW2D!9E@W%lH2^LAoCeQYomyd5q;P?HKurK*ORJZC7~WA z%Cg>{cYVog3+3&yg(`LtbpjhLVaIDX>=p4=Kv}|=qMUtCs*cr<_42&m;VxTbKkOrt zBCTNS%}wEo87tT$$PWOmpXkHD@i9ez04+OE^i|&PZjUXpX1j<aQJ^TUDcq6cCI3V7 zZnrHE3$T8m6#b$AtRLRl_9VWv`mvFgcU{3x6h@~5xR=4~w1G<)4G!Eo)php6$D_|T ze!Q1WXIe9}$?`=Ne%im!8B^rK!0pN^fvI!v<}eh-6W~3df>b^CZe>F;{FbXaYx9+j z@j&#F1xEDk@FopIo6%wUkS?wq#Dc$&a-dzvTPNArW5x-^QVu+~L;wg&074XiurUQ7 z4mW8?g(Xo!aAt8)JfXE6R1nYa-mSn~upZp@0#uNQcJGb?N#L1WbXl9}HO2$Ap!p7J z65D)S`e`2c@j!T*5Pr+Wja4GU)V<pwO#;6KteGXaT*m_`p#=^vU><@cQ4{*WJx)cy zJx;v9Jx-4_E@wopnMX;V^j%Qgz^`5WQ5j0$M~ecByK!J~_lr@5gN{K(0w(ZCSy})1 zEWC7wdY^BGk>ph&`1WO0ePE#At|V$R^63sTJbNc-Uup(_ATqN-3auEy16MO;b^(_; z{$x<${wVl}CrY=TCSye}5$w7;qDhCZ)yxFMIxORMGK|HUQ3ck||0oLNt$RoTE!?4o z-<n}8e{9CJO|mk}CwMO0-mM+7S&*OEcy3&gSoyGGM5{$JGx2FMj7x<<g{)t&!-Gyf zM@-e9&z9W57?;qU@0J|cvCoo{zWWLzQr8*QGO@bh9A1bLW@H&~W*8+1(nOr;a&vJB z@m;XeprM9al5d~Cy?U7n&S4+8syjUW%#HRD)8(ouk|TOFM53SR>GMiSy4rtOl<K=I zvA2qGM3v8?e6HQ61?O)*nA*}T5)&l)=>@re#oT2u{IQ{WD?|9#19@;!@~_ZmSZYpR zZq^YeY|oO86y0yYb0SG32l0A4{kWdVXPaP3k<(?P%o}6;(dVF4s6E{IeA3y3nzQ#7 z-Pi%vO~NUA0`G%857)q53D9FEF-6dVofr-M<@@<r@vq-Vve@+so|hT!d{!YUsAf$N zbg&U;no?ZP^q4>2AgV|4+a?51<gnMMB&B5Csa4SvxKPI?!;9P`F0v*xoU!LSI=Cx; z^#tC+uv$=E$sWeYWFhGu{>UOv!-J1|;!@@DqI?_O`cf>5x&j_nnx8~}g|+1Ds;}O% zO(>zriR|r4&dChejnou?90_BCR{4ukBl(U3pSh{Rvc!fo;)rGIBYdvm>QdK@^@b19 z+$5gnOyFHBg10^%+>mliD&R|%O!YiDl)x|ZF@A9hKTH}kv(Xd?kxX>s0G0_T7*Sc7 zpGMpN{*>SxrOiDY{S9h?sen91syz8o@tUkue#l{KuDWWzsn9?$4cbPnoJw?3aC_7y zT$Tu3$eI|m!zSA-aR`n)4(QA<6ufvW<(P1ysl+<xf2$}po$p98$xZbduy;U@MML!^ zS!w8aS@D4nECg+7Hi!wd+F5C?d_;#do}$DVz9Trsje8g?_EsT|82FH!2o+$UWK4WL z2b8-2H|b$s{{_fph%ltf(c5;VA*xRR{mM#_^F{uH@?hS{uc4^E@vo7F++3ML5EqUU z`wAyv2r?~#nQ`$Q*-<8Oj1{R`(O9w$Ig|vNgE0{r0B$G-(-x1bK4tQi70h|^4@T3o zgy5!oEwt$>h$i2AZj$sJlF~Yv=4SC`IqKa(bhi8pHx4>sz6wd2^KPB;D-VSrBxbax zr}w*Pjz`Y`6xqKlX?nU1x#<doHaB?C<PHFeBM(0OhB<`Pjo@Z^D7?8&kB;J~Hi>nG z(9DcSB@@}jB1^JCwZF}h%DK=SAR*=021pR7Dl1hDpmQnBZTk0e)R@#ZCkiG=%Id0B z{3S*DtIwIe!H#w~eoniyekX5iB$9?w!Kj>GPzbW_woUU*#N-F}H_MLDqU$WG`rjH4 zbk64+AwP1`)?q{rUqRN9&X7O3wG0cZOE%#mTcnIfC}a|sK|~Kc#y&pT{h2`zKj+=} zvCS7=Z?{AK$Oz@hS-YBl2;Z!@Zs-L67dFEvu(*-|zKaTWjMJc4-&K&C<-|2FRBhau zNI?>h#@@(%P{7NJKAf(tOn-3P5zO+paaH57=r8!d*j3PciFW9Zo~?!xxdL}kgYLEq zKT*L7m`=gi2perFE(2gXL5S+5^CkYF+soU6X_~65e-zZ98Pyx_)CGn2s_5|&G>SkM zf$dC32~IQ-3imeG22JAvIk=Nj@f2WJ5w$1|KHb>A6x3~*x4>bAdP-<D&dCI1ppNUo z=t$Yrb9#ITjbfoTcSao~7>-s)ooWIIOyUAT0D_Mc2h*vyR39<$-J^?3IQ!@+0PdF= zrW3SEii&D)yV#tY5)75$-!+R*e`M`szLp;yuwnJrjHOiswgs!&KzQX<mw2;({a`Qh zfo&EMUU+##`({?T=_VpHUAE{MeXs^2j9q%>ql4p&RlFdE>#G$nSGUlo8r!*9tpDIp z#z7hWn(-g2qS}kBTtX4|w<1-NdxX7#hNC0m#?x<#6L;Tm-ag(0w##<&J1<tR!kht5 zwo_5ebMps(!aaHyj-EYP2KB=E-~BzcXOrqR7_mPQDCC@zEU#p4)J+wVKgV_cdh|ue zlcO*iV1id4(%qECT6Z`w&yBV@J8eUW=&*XLD6wQ-SV2L9JL4rJI4DCM_Rf5Cgp!7` zTeqCP02o`iIyEL0a7Zs~0}_OZ_LKYZIV<l0hmUl}|6%Jb<Dz>0xNkAYrE7tuySq!e zL+OsCyGtx$>F$sekY;Hl6qZ^_k(TZdB~?&_Ykt@Nz8~H96ZXZv&Yn4E&YYR~en0Qe z40<BrqtAE%vNgW-di*dbB62hN@L>X-ywp@cgo+-+s=L(dvY1r5R=?!(O45nGLrCyX z?q<O^UJZ5cNcMkK#zjHAT@N;_GP0}EbzQt`lM*yN=lBAy*L0?BcZ4I>#iuhjl``fr zDBtC_RPyGQ=HN>oc%op>Jr-4LXnuXxD^A!&My6z6_&x1(ML{||7W+ME_B!Dxz8~gX zQQH~O@2@;c>H19Hr8I)w1PY?#bB7g~FB#mqc-fQTKNb33Q4JsD1Q6?P7Rfd8Y(av- z#D$i7zteM<&&#X}>Q2pDwQt+{{a8|UsVN~%?AQ)0b^-MQTTO$-F0k536MQ~A`8JdP zt-Gz=sI{$QOSOE>RwzX)=lK^|CI7J}h`$s^8?QHy#%9Rqmcd5iG(o4c2+1OFs{QOl zf0<T&%9O;I>{1UI%$_DYM2^_;2FL&c%P(aHMAj2?ysBJ}t-9`zlVVI@PH`ywi=t$u z#k&NmFj!he-bnu^kpQ#n5p<Q$>gUUg+|7I-2)Y45&|`5(Md@Wme;(G{r!OefN;v!j z9S+%~7(uHWjz8nD0<Iv;ZI16kf;!z>a?nGT$?cHZxY@8`^Z?e2Fn@2{zTgy5-4%vE zBr@~*t&ajff@#WUZHzYDYt~6UBKSWgezIq?o4S~~ZEh<O<clwG&r<%>cxWxu98vh< zz7cX3{A76Ve>!~l{o#vK!Z7YwyI-;^c-qnIK6}oTN8eT+alI$w5uzTf8VfLJ3y(g2 z_CF0i)cJoJym!+7G<dG!Qya#Jt%q2sZx3S*dPra}eo`2pHH(CdjGoU`NcINysUiKP zAAxU@EK(ma0%|%fIzDYk(du}10polY#Rqo2SXO*+ENHMasElXr;n6SXscsh+Ep!PI zu@6ALsstor-|8v&w<0TBR$QnGH*BHl8$JS=L9q>%0Rm9feW236c<(R+0Sj356XTJS zTH*!z)|8*6r${Fa$4`kuy^h+3B%Wk>RlAWq*msr9<==t`C&{cLORG!k%>z7I5|=V^ za^7CV&I*$2cty$8jP$gX8L`<sW;uC!22a|-`&n_2-Qb55imo8DH?2IO0dM6pNP9*) z5d2C{+`fml<YCW?F=Dwu<~v}tgTdZ7U1d?3JTH>mP>A?rBhY|I(j`rmg_5sX`~`Cy z==M@4Mm*9VNvJgwIcrdCLW~?>+|>>;(HSgo2?Zgn5shJAFg+jua~*~B>qRgrSyrbg z(_<cDy7Xd+pBOSfO7+6+eW&yuBCD}if`yjoyHgjWTvy-Q<VJ^wGn&2n#DaGg=RhoX zt4@{|6KI$g${Aila46UVu!3Ng?GS(!cmu3}#|XbDMUVwgAm4#eHH~LLy(a70RF6Eb zcmVq-RSxBoE(ui?N-i}tzVA`;K81(|jM6QAxS0xXR5ERn7>Czuy@*iX)eQs~oEE+Z zPJU0S7lk_Rw26`j8lBy^v~p^WogVYJBywt!B-bQse@0erT7Mq?dUd+3?Oy_QPLV4T z5(%E@+s+nfH4>3N)6<^q*gQuZr&xNjrSxyZE#1LK%Sfj#Jt`^1w^$BQAUpiv57at5 zG3HXR=U9+Vya2DKmsf6pbc_A#_R#&bTh6pTfB(W;s{cO3{>3<<qRgqyAN9<6cyU{G zqu)kK=Pj3&oO#Q;iFK&-x5(d)h1?+K_wuu|$-M5=4G;jn*=PW&Qj0$2Z?GH71H7MS zpA{d-+)f*sC9O99hW2=h{lo)MgGR5ou|K@SL!pn^$9=Et8QddA((;X0O@9WxPS(<5 zeZ6W!s?k<kb&VUfdkyvAL;O!KL~b4@kL~u8S?8bYd)S58xzz=VlJjOAHq<BKPlkB8 z)d8rXhADsi8@<NpX*z<y`o`sI6*3X9n4*p9KKP=HUKh^ldHgev;G*F$)4s62OIrDl zN6fQjLpQ-&q~Not0yeFY5ZoNIAh!X01HO1~<sL7t*IUxW3waa`@!l$y-r_zUO*EDs ztu!(o%{1X2?a(b6Ijn$YYA9yVpH+en71a3xqnQnytMi{{jh`%WQ2(Zw;irT^EWTr6 zEgxehe-DaGpbd(Qc@q?wVilzHYPIrXMfEd(7161Diit0WW7=3Ebs3C*G&_cM!d=)6 z#^yb~{a8l}#J=5rhUdo3WkFrzGuC=k;45O%w)NKaiFD+)*z_ln_+3dQdN2W5&i%JC zNltT@UkQ2k)#b?cL$n{vOIT#i=~BqCs;ee{sP{&0h9uBm!)6*4{_0r$yv?jTRQK}{ zSzj&&O3k2n6)*@tZXJ$tn+2v$LkxDE@R?eQC$T8Ejr~RYL}}dk5PAOBUVBTo4AD51 z*%|wg7BF(axc772clq}6_&AB@9r+TA-n2f$V9nZgxP|PAvxPz_Si)dD+Lt~&nwOoX z9x~<0<avJ%+&w}MhvTym?OsrOfPq+WS=8nWb!^yWA_cKyVtboruV(HKzxn855Rg#; zf%DAvn;Oi{!-C_-wf{L*IY~~_IFHpmW;*s7@cSqC3g$74JEH(*A%g-UYnkM6P&WP1 ze&v}%4Kkb8?m8y6j9!-Tv7~pGN7ULvRyOsX<h-7T=3O>o=z#35-m!lo4ib-*u&{J; zjNCisEo0=Da_eEvx%M@AK})eU-F9v*b`0*`o@oXBGZQ$h{sOCpw|NKWP1aFMG>-(7 z^s>4&Y%8hI(hr@Us9&0qk*tzOE9<E+PHP;pTXnM9_F0=>|HVi?#1pzMz(~%R=*LO- zEd+>>a99hGr|GQQuV49(!df`Grv2W$7`H`xW`Fzn@3!4o(zvQgpT7@cZ#rAITFIAM zH<<DV|5(uO>g{@cDkJBm*zMDBxE(#N<bf7r@(VJ*>0(7XO#RW3$GDH6%B4h+po77F z5<wtG$~t-$&A``3GKdn7xVq9!y(b&n6MdkOirt%7MQtPW>@t}t5^8-YJPRRNmClNX z3q@OMm!`&4lbs7DDPmLtZ3%*_0uYVen8!jJFgG3rDqjNT*vQR?n@|NJO6H%_f^0&N z+CjSKNwvKG9lB0B?9sgdAbXBOAV{k2;{#Lo>6FObIQ8M^-`w_zVE#z_Tw+v8dBT78 z|78@~YwA@JCr3zN_Acr^u^5Ucqi{98=G+Rxhbo13S#oM_-?-%3Mjd-5%;z<pqbp_y ze7MkC8v*Ij+uG?vLrW|PG5#79^!ph+7<{>!a?ZqQ+PIXYFh~vwjDU|_0+25fgCflR zn|2xzsF5!Z)m}Lwk{N>rJJ@(T^bzC~N46Ewes#_Il(nFvq6UNKyyAnp856GMoqD=9 zd>#DypQiOAJA&CArMA6v*u(!34K&(Ts=p{mJVX)^XS(LN1ssD-OPBtFBuR$rmJ>qL zG8$~uY+xJ#@JMia=)ttIAbqboMF~Ax5dwl+1s&xy$wfu-yo>?cqf`PE5f~XXg_5xh zk)s8D8he|M(BVBSX0J@ZsFUnKy!NfThiVv^#U)fUU~-gNxQT~Wo&bmI0sbKk@DE_@ zvjF^qQZrE_82hM<9QfLwsO(jqW=g#sKaFxApaYs0G1^jwB`-$Ma6IsNBAeN(CoFh^ z=?=uI`jnM1-~SuWAXNm1aU8i01eocG<??9DOV+#?IhKp*0tbw+be_RSHSs;z`_;yK za4aK-7q}+xl>R_WH1;;A(Gm>?W;jh5)Nd7!%k-mGhe_X6A1+#^(ERycnBF!Qd(gq{ zbrf8LouAU^y(ED0qzUpFK}i0fPEg<2b6XK+n>-0$7tglsxp;1PC;bUJ^h{0dQobe5 zE-2|n;B`v@RZKoa!TYfN%6i73)Wzb4;SBG)eZ*n~4%Vf-z8m*({)xBHVD8etAKCfw zM_v-nxHWz>Yk##_GnP-+fZ+8^yQ#Fguk`7lTX#XLY+ic<EjM+3%~uQa-G%|a?$>rz zs~fg4t3b{5B6<+Fx?xwlx&cq9hDaH=&Z37pCPf@N6_l_R3R*q8+8e+#&z5MGu3FHp zXRzu)g(}-be3IND*k?4LncN8J|66lA@>!*DGd?R}bYV7DxaS#e`A^!ZGI_f7`V%{f ze}`8Xc)Jp1CqC_gp2M2Y*{kZC6hf;tmSa2;#5wqZa2?I&xvd$oWntj6X1Lp>GvQxZ zY1|XnHE8%UT4$nVFVa~|@KY{Vl?&-b>-C5uB{{#~cBX5%XG2PrBl{#)%AMb1r|?4L zJAdg^_Cz%=7o7wTdhxcr8{Kt5=E?7ulj22B%?owgALKg&LZS^25=cNuECWI!Z#en? z0uoO7d>&n%rUhQrHE9Lu!il@7%bA5<rH~oW?;64<+h=#;ed4o(wE^IkQCA2r%j(Xx z<tyOHsSsZyBXMpw8p*?4)t$7ztVs>#O%}D%>#CpI|C3}ZxtLfbPyPNr&a(LzoxnWC z=NX3+QB_(xLn)KrCl_7Df}NfPJ&1<R8;tgw8>sAeIC~#-kU16C6K@y%`4@Y?LmX|y zLrehn{<4{wE3P2;WA-jr#q?bQ2E~zGN%SA6Ln#Jk!TYcC`MTdlb&Sd|=X1?<354s~ z?XYS+a^V&D-KKUZy6okq6vs+qBJaxa`pb&Sy_v5SrPTyl+TYt;q{ER}!aPD&I$hsS zqbnI1x@r4977Db`_B9-KNZpuIh~07&NA$I~8QPi7P=EN|QxZGD#fDW&9u3a|JK$7v z&>3^Yr|ia)*yfc*nbvRs-GbwMNPc};i~Pwe)+%E8u@{wqC{<M1G%exa+vARp360-^ zH<UfhE$3i#;?|5ZtB-cm4nEHHI<hv)$;&g^3V2p(wP*SZK_`hJHFx`r_C>?S-jQaZ z2Y>5A;o4Ip;`P@20USI>39nKDuwUEA6EHD<+w1ej!6ooVXl4xOpiitK0m0MQ?R(Ld z$5p8@&&ke_If@v+S}7xzWk|9TR@oTtOha<0V$l}Q?ATkOZ%-o_ApAI68{`TS)}(_& zG!Y>o?o+L~`uVSO)$?B`s5xzHBB`!I>@hKl@)cs8orpHOh~FAqeRX;W=}mNGqUX78 znAC=@kqq|JMELYD#U?B~JUbmKbj6aLtU^ztAeQ0c^kXP-;nOoT<bJqFBoX}t!@ys$ zHtyPFde-ZyObf|BvI49JPa}VScMCQTdAx=CH899DqDiOo>!X)#XJg=K9u|Ys-X!#^ zb!;7Z;p703(l5UcFH#&J!T6HgT`EV(s~>$VzV%DZw++EL8#;(%H>smxmH_S}|No|> z0QuFRQ$L!H_*~xdNci%&j?PrK(PDRo&D(XTPz55+xwC;84SKZEAyM4WPEAI76Od6$ z6no`NKSxSAW{T$sU9iTM)Owd_IBcNLccoLph*GAG&lA9xWSjXsM0RBP<=m<9H3(Wq z6=@;u`pI?_NA{gT#KARF=My?_W?Yo^Ts3Ew@r2{+7%v1W=J(<$1aS)7b|K4&GQVxl z$mA$xeV*<!Q6v4Z`0EO{yRy1NoObDo%)78zzz*JUW_}r;yR`WVbvk*BL%RZFakI?l zE4Rk=3VV>Ut#+tgkY$(Mgpr+LSM^V)P`;cSG55%KgXapjVg7D>p~-jH35-SkUad4x zu|<4>dG~VbVFuy8DN_z#Z!Wfa5wZ)D?^4_!$@T!P0HQsC5k*NS5OSM=_l)hiqJ4vo zlHm8s(%jcG`r5;;9DBPWWCj7$@k>(pLxXRkba4}0IVvwi$Yw&Q<Edovhjy&-PIv2! zk}ZS-(i1vbQ)MV&D{kC%x|F28l0g#z!qDp7%7ahyVS#LnO5W7*r7;NDgSOO4mKQKv z6y#r<5~Zio&vHg38)~ToC8cDAH!r(U-VUWJ3OTjnRS6UU_DOIAS7<JfkSc*PTMZ<n zcpxDeaG{MV4C+~_5^}N#YQ?aWq_{~&H0E|UfhZ->pox(TM5*!`vQ2eH_&M#qZ=5IZ zqELRMY|S9jB1`7@DJ#9S5$8t&BV43a7Ly0}r0EUyIdA@Oli3W+<BJF-4N&bdfdE&p zGIu|Gu9e6JFa`VB>xZWWD(04xCuHSy`+5LIC<ib?i7VrOFoNEeg$_KV+=1;P-e>Y) zqYdb^6|QvFZsxBj0S?ersS;A@axNRKmkAm6{@C3}MJqGkdM^bi@Jc`^d_2A5-gp9} zJznm-I2#vnYghX7pYy@3gJ2Pg{1mkL*LxY@NM)eaD@^Zb9SpnXM<Rh9t2$$uI4?q2 z4rm2+9XtWW>7Cl57<Xz^)BKnFiR=6eHo{VXb$}@WHPKe*LO^{c90Fwj2V}gdO39UR zX{s}W_sU&iy`qyU{6OC{0Q%<V)_dz&n9S?g9j*0-8I2JZWv-BfWlcP(%tx{o!cwMh zU14~llSB8x*?=56G1Gd_A87k9l??C1f(loNa29FYI<dHduvBiTD+~zoLn7cvqnU7R zpmJx3@(!|qTX-_<P!!XVm1x}@N#Cdm+crcGnHrr7sAh#H8duyaH{={tH;NZ16KOnK zPKa@(M*aE{pK6ZFEm|JwnLms)0vi0Zh~T_ie##t>v7-U5Q0YpX4d{nSiwakJ^QuBi zOL#XBtlPlBx-*t_3nGNzX^ybz;DLv$c>K8)Tbf)a`HWxlZ`u{@=qD}d)rszu6IWHN z|K#;*@Yu>+|9`~h@ZvKo9e8JXp(Tvoaz2s3%bj|JSI6JiU`A%;vk3iyDDOv69X#Lv zD32#1RNj_A%1#(V51kD^uK4QM<Ex-G;cGo(SvVs?=nO7K|GW5o2*6(=LFF;1TnwV| zKZ_tfeXn4r{J{CUJDrN^<K+U0|K*Gb<#W+VC3>BUX^R=KC|4_yEZ}7bE6QUZ<o?#I zbi8*_VKpbw=PE~O1KKMkXs>27_rt+q^x(W$DjBb*q$^w>ohw_rsbbk=k*1|hiR<{E zDa^?9%q3H9Bmw!k-j&#zGe0q4D)6Z&qUDXI9!qjSGC0~9)J1D$mjr0Sx}c^>fm+>J z87)!iBJ@QPG>I7SoSENP0Ue!DXZt_wg}^00=3o9Eyton&MXrp$01~kp&vtQBW}uPE zsFwByNYpWat^n_FJ9sba!F%cG%Ga4_R|HyX%NM5Xe5jE~d2U#am=hm7mmLW1YLok$ zX?u95k7pED7Fw}?lj#g|2a6<awpU65r~(G4njw6h3m>bQa7)k#O+eEkSIMv&RRPba z#FbzQpee|BHnrQsd^RUcO~+y8JBi5y+lb0IoVH38XrqgtAzi&I#3GYES#8${+=i3R z_9DOm#N5nd0R&^$R)$Ustf&;M(-(rK)U|-tv<q63A%IB$90Xo~k^6u%v6*3-FcRsq z6tI|q?s2e_q_j(GRt;Guw_kwwcHTW7J1?u%k7cF76|yXn1aGN~%b#f_`UW}@bCrz9 zB{v<osnPq7ENx_7puV|9li&dD08N=L8`L)|XxT{6vfsM+33P)KEC6l+t3?=Gs1e{6 z$^f_Eo*$zHxP`Jx6^U9GA^yJ>nySQ-G@#?-ORi3jDF@(IEY~9mfCK=VB`UIl%73?0 zRCxx9nUh^P`}CliiWV{}l8lkRSN5SY^q|UB?hojw<nk%XIx1BTDqQ?{zLmN{*0mZ9 zOp?`#!TnZ!nfjOnPm&zN*ey9X*5G-NDh>#jtjaiR9qFQjIRG<-gBsDBQP!&hms|kq zwk4A~dHH|?)H<TlR~tYaaFI!ri_V3h;tW$FU>Xi|K;06C35zn1uz)^7WkykZt`&QR zOozZJ5<C{H79DW6`rxquEW;QyC;WIethc<NiGeFztab5|46gsux&swx6Y<K5mQq2Y z4Z7>TO0d<Yzq1CNi6-bw3@h$2gU-aqDBh>qF#YFgr%0o(sE58M46gM|l1etwfR#{h zE=mrt5U(`Apn~9vQw4)cjYX9vUp9c>h5(<E#}#L$=la8Out_URqP-?Pw#5jIdSrC& z`!wr5OrKRyfp0PgLeG}--r-W<Nfs>8gf-a?H1$rd(2%OzvXtL7WE+uO(O0Hi=zE(9 zT!P`eo;toEO?@JiLQ+?im4MfKKbU9*z&T@9`h7#rkD*4mzFJvKb*||3fzO|3+3x0A zXN?W#1`%X`0+6<dHLLUArc^$-#Zt=>C^hcj)BZ8ZH2^dO14^MD01fb63~K;1m>Bcz z{feeh5BO1%Qy=n%%zBaFL_ns)59<?{W>kZjy!~Wx3>xenlb(f@>$1zpX4;i|`PH0X zP0zxQZ*SQcZ2dMyn~3yFo;LnrpPBUx>blExgFL^7s+SoQ;7$>kHSXaHTTJh^7Xp-p zz3namrkUi(WA0!ee#u^T_|gBXlX+}oaTapr)&1*vc+2KJ8&8QrN}A-;u8ZQJt4Gn@ zCJQ3Q0a}|+o8va^_vOExjz2WOWgqhqgz=+xH%tL;P!4bd7$<6X(+A)NF=IZDBuo+9 zgBe1W#B}T?sgZc-7!qc5xsWA<aNI{SL?4l`{ep^*^7oieXeWRnM&1ZnVtD0jlB5|@ zHDHTpaK~<k18TxFpd3&WKLIt-0H}#hb3jdG30czd<!qiK+aM;dJRLg~J@`@Nc4~f) zx+HP{HIe_F2T&8WfSOnZ)Wok$KuvT2YT`MdCXV@0td$|?=%Y=KX7os&gTbpAbR|($ zx(=~!(A0XAmq5iXy`+wMvq0iW;Y&6wI}JP2vKTv;vXTBlWx|oS$|(uxI66WD5?Q%Q zwy^u!T9;9|MF(*L%+*}5L@lXA=(Q7D7}N>a3nz3heI_(6J97&T&II_Ux8X0!*gr-n z>%~UL6=5f&*F?ZQzSs>yJ0zx2O)S&fwytF{aI><Jp>seqkOQK@0uT*i>|u%?nwQFp zxrXF?!z{F_iB2>mvXF12vIO(2VG{FfVU~3omm#Ka4$hEV)1<bJPl>~TbMfpy=c27c zI_qF8i&oTT^oLq6?FCUojkdOD6)RpA)*)y`PWw*%kd}ssr;7@#{yu4nFdzwU2}R3T zmZo-kfY~&6w4z73e$@9p|Kz(SCw8>*XXB8EIY60LVhd;j%KS4Rb<?9|fMYM^0Slf_ ztOFwf5XRji(6pc0Sm35=LRjB4hLNuw_{7pDP;4NZuibpFTZ6*>vyB1IfNca?I!d?w zN#dqqL6_i=bRT>)(htIzmrx4y_(TUe1kmHLfgZ2Xj9re+792B@o@lpXQ-H^|##^Kd z$bcdT7^(W6Jri0+n<*~^4O02h4vdwce31zBa;q+By@prw6ay~rnx~aI-E2SAc?nDy z9l+-VgawcE>s$a)T&Ppn%b}Tg7;<|8k9mAwoOyXic75LRTwlO9R7_?EDVt0Ox*}j% z@dqgz1z=eLDI4<sonL1(>4H71xNLo*-)Ztb_e-by0&yXMkmceOSP<pXcs@F(M_(y6 zW82`ci4T3qNG!$j#TgcVL&%W-uIIXk9W4=-HlgATQcX%i^v^XY%IMKdm+ZMKS@i0K z;o;uv8i2J>V%e6^7NS%GvIYnGK8)EccAF)^C&Je6+Z`Dis!RHabRFW8q|G7a1d@}0 zwZH?c1t^>k6z-TF-3baex%&!@98W!yiiUa-sb#YMO4Z!kV2cKRo6#Xp5HKk*(g$;r zZO}z4u2ktqVg2`r^?ixaP>O;AlRZMp1%RsX0955^dY7rL5Fxkv9-I|@zmCZ)c8(>X z31JItCBi9x&(_5om}h)Fz}J)D>u6h{*>z3Br?8_FW>Tp|AfS9_@dvg|x}fxl5x(@e zYhtwGF{4>j?;v_)^9GZt6te#tB)oux1Oo}L3ziGtWCx5rkirs5S26YO+uB_@?FoIZ z*q-CRSM9Q5scV3S6}}}J!NKj408X$Gz%Ot_--ngz$D%;6IF`8Ma0ZEnPUUNHd0F(n z1EMhqt*N6e!v#$$JxpwXsfZ@}et4CBES;ek)1?Trq|z~Ph{)}w$M44|RXY&;0TBm1 zAQnI*jscRXsfrkwPdEl`aaEx9s($8Iqn4Lvb)Um!M=}P)4FSf&F?g1#$X5hhrT)0r zAqu7U_<^gGJesLTj9F4IT#FGA49@Hv*D~qI&mMPD&FmLmlA4f0c77VCC?{vY@e#rm z!|3#sV}M6EJp(04W}oZc_h+kmQ-~`sGL&p9SC5er4>-^mfdj2f|J4u~E;34yI6FVB zr~dDYO@-8oSi9)1w_Bk*(4$xa<EOE3@@Pv)d1?$GM+74jF;t&&403=g_f++Blgw6x zY)`O~gT0+V1twMzjXcEd%2j71%m56DQ1pFmP=Nsb6Zk%|esa3}^BgBQi<g|XTRiv} zc2_)n$;UJ%^6CgNMNm2YdB`v{xRStP*FEzq)Cu^p&BP`IXAo8s2j?l$48ukP)7ISb z@uN)z4e=#S%O^osyhb{96&e&dX`4`jDQd%HVa&N9*T|#IOogAmUrI0~?DU7Crb<*z zE8d+u(s1&Kzk`fJY9cEzv)~%YuMG5LE4_lz(U@6wX$pZ&izV?t7E0ddI_t|$h`y8C zgMw{w1KL=l%Q3Sya}@%8R!aO}g75eUXbcHsAT=qGn4%wF+Z(yy>okXp(-<OjFz5PJ zBgc^aCGSnW^=0dX-^tBW&)#znFv>i66glQIT_V-#pfAZsV@PQNsfnq@oEs61q}~YY zuv{>jL+XfqOXf8aU9EMa&d)cH$U0dN8TDz_YN+Kw9Fip$ymryEdeMmbq@@si{iD>^ zN=FKH)$sN8`;lv@(z}R<kgDz*yO?#8$NtY%+;y~c>Romz#(dt6dk0@7$<)`l5mosq z%|MG>@*}GNTHP`(e|ReWsQ%rZtP+vn>M@fl8>z;oP&AZ}c*Nf)nE|$sv$b_!LB^6L zHs#wx9G@fx#s(6W0rCgv%Y}d4`8Z1Q5wTQk3KD+;{=FgiV5Ysbzxs^{HP~?N&dy)y z(;@JF&j=w6YQr;f%(ph-7o33YP;w);E`g8k8(LQ8iwZqqpy0;`RuEqsO6C=A*YGx8 z(KkErjBfF^N}pUsNDRl$#=U3>f^3G+-)UDoCmNb|Atv_)LF0==l5If^zFat@U6p3B z${OiXKGD+{$yhMR^~Qu;OnmIO6yC^%-*{q;CrOP6mH)7>e)79%@ZDcikJ$%2LPv}g z)IYKD&KX^iYo-QImV3?n9?v6&pt{>Z(-+>Yb_jf{!Auntt+a8Vc4@Flyx`@Lxy<LR zCNk@+#0b{ZO;&u`o)X3pf1yT#u=LQ~0|g<<bu>Ke3NSl1{|^GkW)`(j`K|Mre>wI# zu;pyxN5gtR@`)X{3jlzG^q@bHjlSPAlV2iZgLdbp$#1G;8RZ!EV=(h9B{0sbzS1{% zGw`lK#1RVqS2g7r8EyD*aEirhI2dznEg8LfPDrFN)igdvd)(xg{D#`Q!J8aurlYlY z_S>Sa(5^O1deLbYwj8*&Xu7@gEuh|bH<XV%@D0ASsm&KWhx@uz;UiUIop1L!Uqd2Y z4s03lB{4G-Lnr+_>a{yYy#K5id}&P}LRka2NR2W1AM2)hV$BvMmu+lOg4h!o68uRu z$2lYX^WtzT8=YEg4lkmpO(_MK<@kuR*;BytysoYJz__}`qmJLOGtQ@_Jb!-L942r* ztY>+YZ-M2iE<OrvX5?j;rqmHaJ<2%V=+W4ds2bzt6vP<V4i9+BBHq4H>g4?8g-uJ# z;tP`&=`SzTTE;1sBbM{uX#M4#oPz(I3}@7mCAA)J<h(p|VuL*I-u)V__!J6kJDY=N zuuEoawU-f{(8olC4{)~NL<;vIZ0fO$590)89|M8`Ln+TGEGqJ$uTLxkEJn*sHx=>z z$|M^ah^V-B4UQ`skP@~v^_EGGbX0u<?|Kr99C!yDd0>N4p(6}qnx91OiD6M~td2R~ z6n8Aj^s)<^S(b7}K8U>jGtAZ_6zS9>_BwlBtOxx&okT<e-}SmnXf=D_D!sN8TQE_$ zti2UGedKAZopuL!^VA-Z-N}}P%g4p`7A(_PxH~L_zjgPLFp#+)KmEf+&Q!p59fb^E zukpFIAKf<G%AV+MUQ3TtQRw}q>Co3JS$s_jXnE(*=C|(or*iVIlV9_Y5HB8-#X1E{ z_v>2iEI#=I_Z1w$_;KK+`cYsE0!tKmkW9?l8wKT$;u={X`;j$o@H(K8B9ez9Q{?Er zQ^;c$hrB4fxF`ZTVw^YH11id3YND)Iq|w>=c7A)Qutz|6;iDzB&_roz<dVovu8I<+ zu<L;xCdRhAw-i1V=(PI{sr|G|OB1d|E(IFhy<DFTOk6eV%s|@#)-KCh`nV2lG~LUV zXIHEd)U?jx@4?X(WG|V~mE`oX2@QdHh&@Q={VM6}!Rs3T^qaMr0T3Mytye!wMpubV zoK7HsqtPyz(p+_0zENwlg-Re?=m8e}<g0BZG?X&3^(OMXMfJ^Q;*(&XukZW|$82_d zl``nLgei}v!u5<al~Xi{u$FO{{uoDeF6s~wTAV+y;UtzlXTcTJu1t-@0pp-LBc%Zt z2WvP_d`FO343K4PrUtzTpJUe8gntjunm%QEDkvZ7l>ZN!Q(#Qa1JGQ8BAwIz@3QS* z91YbWcLx@IF`VgCefbVpHrhfXzr&yLk0$YQULH7op$el8#Oh6yQuEIHjY*to(h=0? zUhDgQoYR_hYBWce%WpfyQ?%X_ILIZqZOnA0f7FWxc5>TP=pVT}E)EimG#b>r%x=^& zoV#M!-{Dp#CyBf`H;Sz&fxk(7xvj5tcPR4bC}wPhdAiX`@};D6{otdYH@k(RFK;R5 zF8C{b3A}6bY`1Ry*6x4WxhB;AWK(mdz*n8;v~=;Iu1KC+>TdgwUX`Gxyy4!BT1P@q z8rGyQdh|ZjkRJgt;?Ml}@y~zjn~;p;-56Sgw^M07m|q~z2{x`DL`eAty849|V*DK1 z%}^0Mw6U?&pnA#?6N6aSgTvpvXw}y6s4>uDnj!n+%KGFB#UD4;Qc=W-xvqozVqKQ< z3as6QHO-swd29NpXzZG~23bce)_*^(H74m2L)JGa#eB#~x_2w~_etXQ%fBg7H~+56 zbI1N!{b<QO+m)Aw7T-+>GTto>4c<5tW}Fv4*PePwAi#PjIXHW>k3DnCSMZ3~YNvT4 zHdf5p7wWVlFP)or#}jdQ<4hTOQ7j8Vzx*XAawqw*>1Lk@by3WmIO?b{DA>hwN~XHU zV>wZBUOXh4bvJRwdJ=pldbfn?xN)XmzbJliIa-B3Eqo`b*l0;%+4E^>l`L$Zhfk^a z#u@ARMX}_cUHR^V;=5zJ$G0shudhF0VD7#cLv>sJ{2Nm67rLSI10K83l|npxv)UMV zg>_!uL+W(3zVkr<^0&a|<dm?*=IMdOrH;e<gM!F4m34_Q^5_23Ee8cLbSmr0IJbsZ z6dM-P9Yi(>eJWSy26Au$1O)+;`Rq}dH>5VcPtw%vG6}9SElxR}&=+cId^tJtrOX>6 zo9sCrvh%<qN+p_P8~XHd*&AM-Kf_HWpp0u!#vUj`umhAKsj?nE!S{#4))*8a42sBo zGMd{NkE`C=cc`*HDAIgTkSk8{oRoB^%$tvm@;NE3;<PwDX+qzlT>UFw!bn^j<pkbv z9D$NkR^_{a9PEF$dpWeXu7~AHT)WFNyLF`?D17&`*bE=yevFCb{v9(pQ2sAmP+1?L znwJm|5m_qPzgc1s5m~-#LVK(3JGv~iy!_Q7zuBN~o&`^7xj5h|dNqAu9Ynpi-vG;h z#|7Ab8xWY@P=Yx5&b4f0<YhK+3lxJ;_J$pVzIVP)jU-(3q!JJ2Z_9xJ;AJi_4A8TL zN!<Pe!SxUDqn9}cXZ@tI7=_Au*x3hhshYqrFa-<)wAUZ94(zVPLG*i@1cLDoMF+lT zjjUlZH?<&MzS9Kp^#@=~INSfP6rO4>%K!}!kiWkbm`0uQgNXcQ2K)$=WO<VTVr+Tf zW4KZQzv0h_|E#pYl91x1k$q2|)lBh5C!KZR!*Ag6&@eZ*H^BY&GW*zm@wDaT{ANmq zCFe2E$gocttRQlGcTpla3-0gR3M+_F+FcBX@r_Vys7tmJVT~hIB=l9SBo|GG`v*_k zKfq{EeSRJUzp~-miw4P)LqGgH=z_A~7lPF=i&t^Gi;CJDBNTSwRDnat>cmJiC2l4h z4z)<0?9cV{fR&FUyP!tNlc-TY4>{FLIG#Wc%p%rqcaaIgTi#&l!MAN|{|aWBoMiV{ zQVi?gIMVl$dt|T7TT)EWhG%3C?jzZLPic(9iqK-r=X%i`!Tqsa$da)=XEVNO+xf%t z=6-ywMN5jj7#ib61=`4u^ioe-2vvM*9kXt{)1Ey{c02J-Sj07^y52>zv|J;I@$MJW zFuN*qfHKrOf&kh#*30sMDCrNoF!A?XhO$Ev<yPZ}SWHbK!spmq<>CtWFPYS1iyp>( z-e!kyN?bYd!35LSn6Ocv5fYtBM9JL`eSK3H=zfq6jy#BX7^0p^l!8sNiR{|-2^VK4 zR$3s95RhSwjdXsv8KO%>$&bCY$*K_E!=(Od;UUf$f`ND~A8%yH#~)4#ACkTRb$wdI zKp;mHwau&mkm1;vf`?~kc?=kGd3v)bfs3|n_&cgtWIsd^A{L1q`69hrleOejZJqP- zIu8hq6jy}mg#&kPD9Bv`zVubGXYTgPW;@EeM*L@2cQ5Sv<-$xq@qBy<M={=7fUMZM zndY1V|FU-i!TE;{JH%Z-g7zjQo0RgO<EP?(jBmt~??x1@Zq_M|Ht(AC>F=tdF|Xvo zrlxmZrNp=5o>o1NcgueBJm2hD{3vkY>{t9o?5%)!f4=~K|EBGWh)B3X1ZrsDVN8q) z4gq}vDGVc<MM9BB$4A-Ji9Y~K_&A1Wq5mAPr|}PCBC~J^l8t^P`e}F2SZcS>$Y{6G zgli*d?rXQxD1vnsm?o~5_<1mimGAnpgm2dPc^`3#TS_nDL+;8}H#X?M;=}Gfdik9B zclV>bm+|FZjCf(63U@OO$~%iIU+veke%}bL$0DK}{$MLEa3c#0;_G0oi(8~<3haa9 zE}!i#-HVyA=QoHftgAq7!ZUZ^wW4<|$OQ>?>N{OPTd&X0XOgSozRYv5yJ7@9P-8j| zj2x`Dm8C&JXasnmIq=?zYGf0&X=Gyu;_RL<XiCI(K0V6df#7RUV7+|fu<4>b%Bz;U z;X@4A+AB~U))CCF3O2CMPS_-vZlc@M=T^Sfta|wTtrGHpbc`V-JI#`lwukl3DMVN2 z>&+-W1>)iROlt2Y)oledm~)`WpO=vvTw_TwojKAtbvnoed8%Y+hfgb7SqjjbPu)3e zU5HKi{9yO&(~mNc0J^VQ-AX?V_kIXquC2|xVkm)GtRCE~$1bCsS!h&B`&OXOe70qP zK7C!`W^a~si1RM2v2JC^pZhmhapSp6+xb1cI+;N@cgpFgaSzPd109M>SYNpLI!n6K zdl&W_9BRe=+h=6#IZxC1Jv@-CW#Ue0S_H{j-R1OdP9CHmqQdxxZC;i?Plq2Rcijp~ zllwPvZ0tc@J9$csf!Oi(TYM}DI}~H`vQHFT{$F(%7GiLSIS}_ELkDv4;)__2hyiW} zNYiQqBbcodNYhe*G_5ws+1i<dG_ApZt}tLa8yp2`T2)q%rtSK_Y1#vjriG9BNJ1?T z32;rnJ;^<m0?P?qvC!Nsk8?r3rOP|vUu*qou;3zZ?H)>BZ{!c|dgHI%R`m<{&wevW zwcHd#OEk7(F&OR%ll^9iAgk^ctx96}t^Ne}3H3?u@1pJA-!Bm0&)Tz-eMjTq2S#}x zCVt3|Dm<6_&@gu6Xq10+^y3~X9xEDZEyFQ@9riRIlJ4I`TM;GbaaZ+$|L?alJE}T< z&SX0)4PThMR=j^x<y#5LTCMntrkb}B^xRtUcui$*JBh}%;uD(cGM%;;jmLfrlD}_# zD}i8T9rESj<DBX*ds?$;>&|J%*T=~^fy#b55bnXp%JZ@E>42gK4=c|A;^m+1X8F1r z&o_Um<JpM6vPEh+TexO!ZY>$F^ZosoYux+O*eK&Bc`#WA*Zv?=xy{$mnOrcy^-p=T zyo*BHc_cbmUY`opOStnaE|h{&*;xHWlF|a3BqxKmff9Uq{$TzR%kcwq+f9!VCZW7K z*k$g|;};}6A2@$~)?a%sRiN;j?Y{7(Yq~cl?v0n2MNU0Gj6Jfi)%IY4MSg`@*%Pz3 z;Lhp5h{K3aP&T6gBAb8PRL9dn#lC~aLVF@c=u3`+cY~}#9brD~_)xEx4DxXt3XWQw z#Yd@|X2KrJB-fTP5dJMfE*uVmtLAHOgh_Pfm_JYC0$K+l9^EXycXZCsHt8e3aNj7d zo8p`@HhJHiA&E*?<hkC^c~x-O8+R2SMA5#vYxgG8?c2U!z5zW^h3tCGwVTxFgP=Ym z5`h4n`=J|rt{*>3;_Q@4RbVKMT-0wt1|Q!pjxMI8FGIFTme1M6hO64C*urO<m99}F z-czi}3@>%JzIF`~?+|j;=^ZQF#t$F{+i$2|CslhQCZj#CchXLU4VLZkGZwSW7W2P4 zE&h5EE@ix1q(XvGJM+rUfMnFlL*oI$TQ0u4=rZTTQg-1;y4hX*`I)W>`56D|VI@i` zz@+qsAdhZxDP2zyZP2JsgXB#J;sG}+g5<RbdFfVQXJc>CezG<zhAJ9t_gG)8_7qgn z@EHGPRq)lx)WGE>IP5~f|1Tax!G#~SfTd*4H)QR(O<IO)&Br#vZC$a~%3<<}(n}9( zYh2lB9-7Ey(m&J9&mRujo%3utkN@D24au2E-!3zg>a@+~n|jG{az`vA9wCy)i=s`~ z?es(vc$w8D6zH5sPT+8V2yCdqbe2o}J40b@Wbk^%4bRYIEA#99xvW5=Kr_LKH#hoA zfzN+=hW;tK5}0e%7Wsa5nY`T?A)UP@=<fQp{7|IDaE4A$3xRS%`;PL^!bG$vvA*am zGi_J)rg^=}bnNs(!WMYu`;8I;eOXsq>pr)3f6*D=s$8vZf93L()(uZY+%qR7(`vt< z{X*?*qVnT5&32skuGHxhx0uQG&LN*u=4*wZ)hjxJij=IsZ1rQmeRH?UAQ^rwwPbqX zUGr%vTX89$&!U88X5ZH!OW)TJe3$2X%gVp=+dJShqnaB2nA|_+>#l1NGXJhU#rDr* zH9-dr*-RtfK9@^IhCaD|p|d9HP&<85u9#43{?&TYOxvuwNZckM)F@$GM>B4hGHCNK z<~mL_y?r=i?}9nyC5P15inc_*^4&YZX<j$&O9b_Wk1kwp%8lnERZ_IX>f2V0=k2sV zYNEZ~jl3Z=$a9|j{<8bJc@%Hywj*HF*C3L~TU<`tQ5XRhmF*(*C~f{%^{#@W^=^T_ z@@p~YcloZaswZu&8FJcfFZ(q`1y^s+rD>(AS(BGvD!%{c-zqu`GOiAPgJ+>?SV@vD zje?ZkUpz-0{(hLxO);UyJADdgw0^s6^Gp4^fVpgw%iR<Dy(-Uhy1GJ{daj9WzgKoc zYU^Bod+*x{IdmyPDZ($mKTPv)`0F>Et_*4X)TY^|JJqvS^^0ZS`r@hbR38Obl--l~ zQL06uXn{IyVo5xU8Y09{_0#dtU$p_%yF15@)ab({JaR!9J?863qfdr|ry@x^DWbAZ z(oA7vOE&(bqYhI#?Qz<>=?+#~^x<zRi4fJCOu-kXj1ax2%I%{8(E=0dPI2QDp_yDQ zH%7W(iou)Xc`nk%zI6W+8B=-zlP?~Ja-mrD_V~@Z2EEPRT@W?;X8kEKYBGS+@pk=f zGW*Q>ZPmJ)M!Pl||GMTOaYp9s3s~MAfjn4CgZ6Fg#NFTy68zt2z+&xLY0XMFFR9{i z+s&cO<8GkrbQk9K={&wOjj36dj#F6#9zXgkq=X2$rboO)_nU0MM_k3hedS54OEX!T zr>_=cS-+g7gSGKL>l$8%xs%GT16iKFyj}Dr?L%|_9iYMp$uUrFA7YIbu+%0tE5XCH zlPG3t=AGh<+VqGYZI>t~Ld<Y7EpKHiLUk)+JrYQ9?VzVK%KMB7GxGS*Kdi5LwAV#! z4>BG$bM(%<Dm5V)jkwf)fS}W0(2IM5w$>>kX3ltAM6{a0pSG{mu@kyxXp`2zQz=5c zkf|t9$uZbJTY2O8Fw~pp&a=5=io%O|=YvL&i``Pu9mx`LwP*5XwI>!zZj7+;EN2DX z_-&#jWf=O$EwQ|K7<Rdkn}9)mA#hNBL&i!sSK$3ohkJ!d%N}tU?Wm8JjP;X>#W`2Q zClmuLq>ehSl%MYG$@MDsRKY>!$}elKXY{o05viq8E?P#6Uxd-2srE=Mi+1tUr<zhX z&I@gn{RER3+;|#a)fy)4X89!5AbXgy|2uxzHe%$%rMe%YG;C&^jYicDvH8b(i*pD& zJz?Ci(OagsQ+HOp$Z@44N`~EwBrbLzRvxP~ZeTBh2KF`4jKB0+b_idoY-xHuI|Po- zwe$?HX^|&w!HFrmq*B$M^gOR+ktgZT-ti32+P>h>hCsGoe4pC*2G@4G+I7~uWoFvH znHD)qb4)ZDjGXf<tJon{b*`t(e!cKiUim0u3(b5+$%3W)_-?ixnm0JWY8^E<+`%?j zL!XfEeJa+%qg&|y)5cF+Gv97=ZQ*QBMwI&+k5(e6VGh`{-{psHRW3eBaFnn|!eV&m z`x!wOcxqWI|Eb=@$b$PM@n^fMAoj9|noR*kd7Mtd>s`wqZw4rMy(4Kq=a;`H`P;VV z(ns8WV!5fw|L*2ZQ}4cc#aHvXQ>5BbS5LvK)v+lvEsK+<U#0rA7`}#8rC;n!s{1xr z)O9}Q$%YpUN#(jC`J6bebyt%nY}0iTG!k_ZsFHLN4wH1cq1CN{KeG2_>LryeKF#Hq zch&E6c&>&o__wb-@%rtXLTr<<Xwuo6{;D3?;iJT%u!~<R8%?9=x<=iNCvG>hRX=}V zm`hEr&59}*piOZaJ~M|CSi4LNaVLd_R0`6kh=N5qh7}VzSA_VRLcU%F1sZ`MD#WzY z#vrwA%lyEm6KVYFoNek}-~#?n=sO`_O#Er+h<;L>S?#bT#H3|?@y|b1Ut@Sw{y)>$ z3|zulT#<O(L~Rp>K3k;BbEd&2(e_HcsN6A9JB#-mkJhMXW>h$_eVHb-7h=(*cG<%c zPVN)^Zb@CH6@rA^qI>Y@;rozz(^!Q=($^KbQGX9*#{MRmB^q3C=tccKY8Z+;eeAfU z9P(B$IfvTc#~98xRlUSth`lg(EMAQLdlq+;)b8b`uE!7Y`h044m5PFzokM|YA3Pg_ z=Ih|+Ec8yIrh*lV*H7ef@+tlNHg8{Q4tE5Or2b7>2$gq>AAEO~b`x7Mo$$t+eVmth zi{|U_zx^@U7@pu4MI!G{*EEi}r+<^J6{|mI{<Aia2$tqMu=i?9+3*wVmj7L%m2R>y zZuSpDb9Cu%|G*{xzlwtxj^hoV(rfsQv1jj1U>sv~aIATW>Fhx&yo7-ElJ6s;Ccgu( z_QF?wjsay#>z6oP8aXXLX(En@Bmb)oSSB1^3DwU7{XXWMP*prarI-01>g>}rOZ#JG zs39W^k-h?_RoDKUV$lg<;hRBP7!zEDv7torh0!?j|6Y#WHXa2FUHuvPVDRgu$p@4K z+nt1}+^>H-%Z?vxc#8?f)SN#n$O&gFL%#1yQwX{lSQyNiTC5vJ7M$lH$!bx&*Auz6 zM!L7FT7oWpMrQwBVA43;DM1lQm?)%%XkAmsBqpk9$QJk}P`M)2SU-|S6AVisY6Z$_ zndL_)?~(i$jmPpsHC$jV6B@{Pez4IH-iLqb{eE4DvFR({&i!*X!wWGK-Zg>yMbP*I zUv7t&CBBF(ooie%XRSV7KIwC{{J579dbe~=jGU8_oIQKUbL#n<{2{*pbY6%h(MUhZ z^_qEp+^oH;zY|`kapKXq$XAX7MaPaZ4%3q3?A;(~n<b2dU}?Q_zYhQ1ZhOhEM0b3b zg(9}OY4>F!U0oTnDd=C+9{)anJas4>!gQj<hK-sLA?^+Zqu&0cq!GQjrK13(wm^?% zI<U@l$<}oFCV%B>MdtNz@18bU;)EW*<WKb(`m!ntu8jG=D0$w$4Vnct?%ZRwNL>Ov z*WXH)?s6%({?UqN!(3RSZALZ~Jlfe6DHL4eUz9ktv@aaZ8Z)EO%>kZXeP1mT8Z{oI zb&(P2wDI9X=fyihJo|>aIipIS<;SiD7-K8tfbBo%Z&yCA(6bcZt}H5&ro8lG;y~@~ zkt*;!?r8N82xs<Q9Yn`^pE#T*h10b?67cx<IJ^0gLz5n(y;mtw@&jC7A45jIWX?g9 zZ}gQ!ed@g!a+0l7MJAZGiDKRU3?tLE8W=(q8DUjqTN@5xBLwYLuM=opH|(6LuNJc~ zF@mZTVr5;4>`t)!SUfS$QTo9z>KG8u<g=~pNgRE&;1F@qVP7RU!TVcfN51zi6H3lW z*9UKr_h>~a$|8rET2rb>aE5(tc#B;6#i`*T^@7Nq>0HxawM+h|$|`(xwWBCz^6QXy zW%mdT$+jBenO2hbb-xScp1m&AZ6iI*y;VqLDnysg+w(HNG*!G|rFKmZ53so5Tz)x` zvTMY1M`3@NvYmUS<R_mD-`dgT+`z_q!NkUN?p!w1@913cw|{TGUM1)35({(abmFZ< zQ9-8q55hr`_@_V31Rtbz?1|lqKYNHmFcHJPB`8ano~_@$KmI!$YaS}xa3W8EV|QS{ z6IC9JB*N;wJ^M6%>whD>`ly&|#IJxtSg~Nw)NO_!`=%V~X<(jRuNv@+|K(#Egb60< z?5i!T=lZqjA2&8WHPwf<GxAW`j2FF2{)ghZz0CiHUlOeb+TlO3=4IPmme(akc;ap@ zmJ(t8CEK!ZRFpY>5}UG$i_^rdVcy{xLN6^(QSSfRu*bmKAcH*Tm?9!REw2P-fpcUl ztY8IpJq!q+6#3nAmtI_*Xje9@Y*H?Wl_9#f<(?FJkY?W|`kk6u@EfV1sGcx!QeJT^ zm6>p|y!=Ps{fxnH+`A_c&Q0cUh`Y!z(il!K?>aFQmK3^JB1lgouhD8(!E;`zH@LB) zJ*epAj~hF*k}X1{llN643z|K`8D-a_D8UA%Gs@AOxo?RGGiI<TowcbY7PB$=KpTol zbKQU&QiHYBF&T&7DnubU87P?F$m?PVF6mg)-H%-Zlgoc(1JgE)1ehFyLyx0FpqX+K zY6~JhpLy{u1N0vFnpeF}DRLz$F`<q+c!Np&=dC7N@fuwrZYY0(Bzn-%ox4ejDy`o0 zV}6RGd@k0>?7Yc81B34KcKI5#$ec;<KV9ajn`8d*+sxBCBA@T1O83UGEbo*Fekk7? zDL;W`M#ix1Zq;ancAnF|7mIF&T~mDzU85HZVL$N>XBy#N?GCx6{TdSDAt2X4qy5Sq z5f*jrS16V#JoZ<qwUJxoE9ZNoZ~0&)gVmbA$@;JauQ{pdn%{@Ns!8j^KE1WH6yYSK zJn)z$AM^XHzpPkGCvc@$yH;t@HZ>&tKKe*TMgU5mgU|MnRY?}NKJ1JBlw$3VJ2QKS zY`s!nn?#@A^3&CkSk(B+$Eu!$uVZP|^$BG~x#<5umGqOX9|kr>mhfd0&w?Ga#$r^H z-P>XZr{9wz35rOOd_^R)DAKH9z4bL#juF|2_}jP=K00E<%X`#WEmh7BcS98PvG{S0 zw#XG^d*<JT#~#WkNb-@)_OnL3@6^^O<eqJhE#|e>)K4A)#l)8IQGoO5f@0pW5oOxJ z6eNeiu^bWap*s46+u({O@)h+F)em=bRrRrEaE|OT6=Wx7U2Y_J6^8ONN?^}%j!Nhi ztS;H##b8iprKIAsa-akv{2=V_B8eXc3Z|FP&AS=?dQyNr*7RSS#y;AhiFm)LsZY2p z!u70S^`YY;xZ&Q1<lJfz?{h(s{)W`@{e9^rkXr09WPS<3JdvUH$JwHfS-mUdNn_SO z?kiAD5oK=UE6}zsj4}M}n|k<DK&!m@WLZ}q@d#X{o<7zE_K`iGg6#2^cgMuy;GSd^ zB<G1|`-#9kY3n1EHNM=fncfD4k8J$Z`(X0xJ4@DjSZe*C)-{25dPjAAU0AJVu8I7= z7fZ!t+FJ<tw=27o1d4B4bwgni@YXYN9Md|}`(S=o#ydZRoA9&gi_30k>y*8Ug*nd% zW<nqmZ7=H~W*+L~*<p&Hr5H!f*jpX9n-V@tG)_im@MPOH5BY_I=)cmmE}@#@n_d3& zm|%GOkkACuG`Wj{`wwA4py0Z_ERTS><Q{*UDZ&w)V#S`;vc;5gfp;E-U!LV3)lD(( z^T~e5ZN#3or^ytl+F?rgDbaX}lg@$%#hkDk;)1lVa6<ACnAXKas&el$jPPV{b`JR! zHko3vgRACD`04Z2VLK%O2F}k+G48u)PYY`{rPSk@M;Vl7mCx}eICi=sVOk(zU=QwS z6kLvoxn#CZt103ixSUV+w8w3xl-)eW6BS9u$pP-X*>*`o@z?c3Ql^ebJ~8vUm_zx0 zEzKuS#BM*<n7!}x%%*F|f7{dSoL7;M=X7X?2zP2^7zz;lojjVl^Gq&uOdzuahQdCw zl~Axsyt*PBAH!{JC-#@S4O%*(Z2#7Rzoa^$+xO&4>htbuD|{#Rb&q&6TBqkX?2a!D zZIhM|!{=l1xYzG?2lUkYn_?+o39g&AX!bZ9KkLzs+?;evNaJAg*8gGa&BLL5zxeSa zOB#c0V}BzGV=}geP>7N>>)6dqw(M)xlw}5EDeDx;k}bm65-E%^wyenrWy{`TEwp^^ zKHu*@zw7$_al0;aJ?DPTbI$9W`+m-S&Ut8e%En_c%<|p8?(t#QFP}ns6($fE8^4ot z`55*`CAv-QuF$pJt{BPm@_&bfj>ckcZ!df4-1J|^eNf0(g@FvG#kuOv7L>b!=#WrK z`YC4ax#G_FmYWJ(2=0|;1>wlmYBjp)DSm?I{QNojDB%*lyONEp0f~HE&<yv4INme2 z$r($c)(>WAlV>;-5|%(lqeho<@$shZ(WHl0bl>$8q}@1u&gwC9L-3eao&G6GFcutp z4;2=-rSQQeDn*fWOp;x?TR$$T?w$#h7Ho$i>7OEBFG(QCG;$i75|3qia$!%)OBh+| z>4iJurVruJ==`v|my`S73sg^vQ(BiiatQ)(=?WMgSl0bQC?BWg?k=z%)AKNzok;Rj zDwNt(uFD}8yo!4XWrUAo5=+%n>aNH|M^oEYi=7@#35Ktnbj;)kxQ4iNif$|-DJa|j z`L=@V$gB%20tUHi8W%7!;Nq}r@_N=*!RMA-gTO9Q2LD=`Iy(GRc=v(~KjXRVysPY% z+>`(HH#_^>XTI?)PJOARM3?17$SU^Uox)oV2dJ%UCXi2+5mj6^O(K@A{>B8zWJqs- zE&=MhK0=jefA6MXLx4OE?j5~BfU2*K=tc6XT3gTGg?epChrh7uY7WW%oN6m<V&ePx zsBQa*SEa{a`Q^CqKw-4vfm-i({q&`<=ejJl!K(|UP|a5(*SiCZaL_cbLF&oWa8xBy z>~W^WUlJ48-Ee~4O;KF`x?)(3Xi3`qL%Wyi52$VGH(^C()>;D!4g@HEZG>tj5&<jD z&RDFpzRqH*yu3i}(a;zpr4v8|=rT_Hs~5bhJ^eGKfGOv>pZBe>a_e8tw*u@6em>M| z3)>bULId7y-x{@}d4Hf<3=HY{r2T~>6KU$s%f280eAsYhrGQhw!Q}Pd2MUl}i2iPF zU%AHVo=(R&u2bw_q<*`fu)L}>+0)5;Fn`IhW%bINE(-f0Ov_|cqQllM@l=KuzD3qR zT=2*;uxC~RHY7!uiSM1$co-R8TA&0=v%iV|tP(ly;*hTl<2c)UNHqKjcNAjb@3rP~ z-|;-;74;pbeGkC%F_?@?bQ>JPxJ;rlT277EFvnR;hro9GzGeR|e+2h?9DOm4<!?!N z-29yZW?Fj=dqB?0g?g22EZ=_SGCO`?n{whMdm2Sv2%7c_=K3aY+9Uam+=|`TkULRx z#Fv`=?074AA>k@}RpIJBziyU~`Jc3-=0w0x7T_nNqzlh%qw=3`K^NHZkHL>ee`sP^ zzfF8g3>>gWI|!|@=3j^f$<$j@bFc$$+o~{0o2o_f^S;s`%lx}xaq~A`(Zb>u0|xN0 zW7|SoWag#YoMIc6cUZQ_Ce7X`6nDAON5D?SYVg;Pm%*V!3Cm+srLJ)$UIX~JuLr3= zJF0drCUU%b<{F1{13%dYq%NkG{gf;6r8aBje!8<Iy2y@X^qrbp9j~7+ynRPf%YXP6 zbb;{)U}tp}{N(&<%L&o&ctNCF2qI#yic)X%MuiEu@3%X+m9GF+R=l;~T0==W?8wR_ zB_Tg$>ZjP|vp-rL+^#O`1U(cPtM5d%xKcXr&D;xmIGguRFvdUz13)lvBDj7D8nu5m z#%_PMHTjvh1=Zd!*L|abcaN9%+fBKTZ{HXGbuV5tpP8N##2iNN=eou`Qn1>-aA|$| zy-KrZSX-G-9t8TUU$CR@ljwgnypea~+V_v~N8Z`H?HZHKT2cEvn~S$;Z*M{DMxM7- zo43^Ao&9vz7_XC6+?lb@1aJ859&COa_+ytIRrQMeO8q76<CEW$^<wk|yU-~j^m*m; z(ftoQ4S#Dl#ggNjH(RdOf3cgiv3f1f7a&p0JbURVul~|`Qt}5?pMEb{`xibQ7KohQ zu7P3ul4<uC`+ffFMnQLW#QOCohyPS>M&2^>xx?Y8bp+PrbM?l}#veof7{Qfz^Ugb! zFBQo(e38B7CAi^L6&@ZvVc)d(Eqijn6d7#PY^zv7ZZ@{k+xH=3eG+2=8AYle>`jR^ zzg=EW-GB1CaPxdpZ*uE;&ok9+L)|OXt>y7<13mpFx!R$*5E%@l_WH?1y6tsRoX8XD zn#3z;E7#|VJXSNlP|k;(4AWZ1jdl`+tvB2}s)}H#6CojcQ!k#~$uc~+@Z^ux)RQ$# z;4`x$r~GyKCmE?a4uN@>eiQ5e7GGU9DLJ(BR{SZZqM9=>>Gw-HAi61RJ$dT;nsaWn zGl!4GpOV(?A5~rhdS}~Sqgg4fSaD&mRPnv3?dzkQ0@X5nU3IN!R+?<<c7mv+?LqeL z!y6XUW)}ALXOCNtFob-|noN{4I065wJgU}wqS4Aec&Z$mO-igf9X|V8E*rUdn2r8g z_K*~`dcP<Ws;0g^qRk@G>QSr9;diGp=bA%;oeWi~9LcY#vRp*yi3*-X-}MzdHEM<9 zYW*cUYpbH1bD`O%*ZFexRFAh_2~06Zmfsuk%zyg=LH-7U=77e!c&5Fo$Y*-l+bqw( zuVoCmn9YuL;L;7)@NQq_iZKuxJqZFFhH(MkyIdSJF2Fd3Aw4vUxRc+Pa+8oX_1bQ4 zB<w53a1A^b0S!xG9yw4^QYrE1&99yMzcUh_ZrNLmqOI&L&W&m2xwUwW3*WwT@Vx$$ z*QkoXj5RCex$~X2%^#U(;`-j0b5hRm1{hgBh&w48h;-5u?Wydgp?R5LCB>X2Ry>$@ zwPSnpEtT&G#Df>x>O%@TdcqW|B^Oe%1H|e9L0onliPmFat~}R$nO6^1_>R`eUaDon z{5yM64f5Nvd(=h`dRWn`=f@kqS}GxSF{Y^S*hNboY+JH~_mN(!7Kr#o-liV64}U!H zJvABCT+!R<#`}`~RDwguY6%yYe7xG`Mq-F;a-DT$I$`a4v<C8nT<uQxYK;W)QB`l} zb>5fgA_<NGaP7n7<8Z4RiBjNNbY*(F=k@4c5I&jO9Ra>)iMV^o!h<&ZqAt$@?+{4E z_gS6KviKwIvTc;#xqLi-TFLfg!``>V@EgsqTdk|>R_x%EeCIO}1`}@nYy3%S<z-6r z{nEEe-c1o{e$^j4`KoS{r`9#S>m$U3o@;e5S8<R(u1i)Qjb%9DiOsvoyR}VUoL=2Y zod~LKj$o;({z$!E^`ow9JsSSv@Yq1LR=0UodR=#pQ`#wTai<^I=LhzT!_7V6Q_nwk z9<REM{Isq)*bpHW1m+gDj}Xp(;dq1?nrb98sD4>YF$TR^$Hh&ig5xuDv{G@+pQ*(Y zrgUXWK;AOSZ5*2Rp^+%5`enY(_y~1#oB{eFIFV6R1oK9!9O`T51TS+u@_vM=H4fS& zd$P3oe4rxo_D816qIc`pj%oU+U*X708=1|p;J*Mr&u8(*SM}}4M44JEy)kY`^-FQ4 zapbDUxRyFS`0l={$iiEGK9Tu7{^k6L7T>8Cq&u(cH|>q|oIfbjPUVGupDA<HXz=7P z_4z>K&VzoZm+5NMud#B-tL+86&9Fc+-Ejdl&`&Qs(;`*B%+(mTwcy4@eWx4KZJU#s zNS@>3ZuH>zwH&WY9aaOxI7r!)GQ~1)nS>pOzHav9&-D2~Ig_`K94%uss$1h+^il6Z z8Jg%ikYAw&U8;?hQplcZqpDwAvOw(Eb6ixP-k3hGD&j&iCctiubK%i}g7fvCsW+HG zJuc?HaS)`@lZDaygI)}f#xRgZ)7r6UZ}o2Iz@U0^-S2_!cAVaYn?hdxf5y8n#wcEn zRyuEQ8#m=##(xAHzY1y3b>YwR_>hUu&%HLNT7FRn?e%`;#?haTR8T)W7ks`655LK@ zj@xjS{`zLwVfxW#`g%RDj?>Y0`oR7B+nQT~4pvU5-`Ln$AU=&g>*SR>&otF6{XREa zg6~$u+CX>Y)7Td>wRftTU#D8~TUm|fKRM-GCTDFSmyDi9*q@h;b~3PV^s&<QNsXFN zD}{tKv8QG{`K=f&qHH~x<h}GrK28a|1=&@G_PhD_mI*+Cf*A5ZnroTkCQwi$P>^UI zv~IdgcM~Y+qP*H?lxsK{P2H>a#22W(9i^0s1i$d)pY{HrYm>J>N-bjyZeHVj?5(bI z)zCyFzws5idO@A8tQ(oP999ziQ0B{AlW|*^>$s@XhsJb%Rgt-u#)L4pajpVc$(!bF zC`?~6nyN|Ow69(eomQqv0;r4tsML9K`~aM2=0W`@Gk7^@@+(E@I6k4d3Mw8PM{q%7 z9)>a6(wnjGUhWXPJ>Wgr$<<Mi^5!xf=$R(J_JnD>%llVTrylityHbv@bI&@TJ4e0P zw6DCY8p19x_$#9WqI7N$scHKiG^^|v608s0EyxkBJ3TDssxaXKD}zC3lgx`Q^_?xG zn+l@Rf+^`InYmpwd~PYB@}fRzU|>Vw3eUIz|Nj5C2?VY<Anv3;{9xC(!80?aUoNi1 zdf2~vT@5<^x=8jfY^rE!k=5XDNmNItDfdpjWrw|w|J-=@({kJQE)IdA6N8JMi+`3H z$+msv|J_p9AL!m~YJIjm+ZANW9^$hd<5qWGjaC{5+n0VaZNS{*Q|;sEWO3kR<+^xr zdsT&=8Pz4p^(_92iB?87E3tN8{ov<NjVZS&leDB=1e5UZd_+_|uH(V*+UfS40{9&V z=>y@}`Qf$2zDuV#V4{Z_558P60#z8o%CBB)O{1NitRzoDqiU9rKU%KKrmDsNzEv6I zz&>5>_TS$bWBY?uEKb)^RZfg5FWOzVveR8TecIC2(a~uXJ%J$3-eL-3*sg8CTdDZr zCRdW&YwWPM>is5Ft+R;&Q4af%&>S9GUCC2a+7~IR8ht;!c*SEUH1Fq+hxIBd0x1Uj z@h2(Zr46MokP`QxPp?QhFcDUyi=XfNY%l6Il3oajKG{D?D1=6EzoWjd4PKuYian(3 zjDQce31YdmCB1)LuTr2Xw7X!7>a;)I`-OqU23AW}#5J7=A>#{0t-0UPWMi4p1|ytu ztb&-AP8b&5JvO54FU4pU?DlKRPxpx8hvAEuI%qUx40HFMIs|!{z;)<lW^|(YPK`E5 zo3JrF|K{#5Rc!%ZuB=6AZIPM(Du^2=_WV-|wQ5c)ckssITZ~3nb9dQ-yhnAZwvi7C zA^fcU0R@H7A+C2++t>({u9;Z*Nx?ZDZQcAc9`W1}2_oL_|6Q412%+tYw9udGatMX2 z;^Lr;)svXSHuV($m7Pm6M<qN_=YQ~CGJGw;M8Vqm!;2H*5*q!<clkt@$Gd16b|*-N zM9v@&F3i47l2i)Mb_px+Lsr;U66M>3&HMiJKBFUtaBAe<Hm}l~>x0*W&{_?S_7?JG zBqi1Z(W-NP6*0BU;})}M%JjC)MmP3c$fKnSG;1lepd7nYS_cc2f%iDD5gBs35c+0x zihpqwR!!fG%p+NW#&cQ1J6UCOoKNr?o{z=Du8y$cEXu<u({IH(1@^-i9v;Q_KDVJ- zwh8MJ6B>K&W5s!44)CX^<1!isuUvCgz47QCHO>y_xK+qjEiY=Br1IqK*R(US^;^*q z)h0vX<LY<DgsU=wJ_cW&_YAK7r9qZ9>VDTG<5wXQ!!M_0I&<UNfj3S*M<|(dI*{CB z>lXErwR3Ek+qD0zw{A1YsAxw1i82ha%6p~{M?Nj~F@BY0mv2=5>qYS^1X=5CbmG@_ z8@)JK4G+gci-SgQXX%1A0&yoBo!J+2YCMKK<DBJCTPjS^DOp5+566ll-gmO^NlX?W z<v)oqE?BX_?qqz)3Q{~c$QT4MAvKWAyV<I`HCyrP)cG?Pcb;NVPc})J*F)D<%soAK zOu|D-9L8+i1#kJQwhz0}Zuv_;UzhukbVut*x6-Zrl#HcybAn~VSh%P43>VHYu#fj5 z`BDIucu&eluscIjYs1U`uqw;bTdt=0#m8s7wQAY<|4lZ9*E-yR@7@f&n<cg>6ZLcM zggh*5Ja!Q#FDzV|K$L9!?oZ;=f`WJ3&f3#2^fo@P+)_jpBJsZkK_xnpB~oP>o8F_b z3Gy!-1y9xOR9$hFy7a~N=b%-d_t%=C-6cg0u95Grf5Bb<P%caOPVoOV+rQA$(nW|` zD(`sxGiKn=5RCNm^8H_z>HJCQCH+IS{z?_<_TqnhGM|T)KWi-}x7PTC=<*G`xi$z9 z{Lsu7nYH%uLB2V!0%gDUw1|$<P$6TVz=zEI#kaLGU*}Z%9A8PiB;>x4PVOV8%C^US z<p~{4?GkM@r(fk~V_7wt=X`ulxCmDt*@qdmvg{vx^Rr1Csqr_YIMSdJ@>M{D;#jiS zf(wTF3Oy#;>#xk0Rk8^W8})ZuWCm_^Kh@Asl@UuflI_sVWZUX^Dlr2HDYvT6*LvQ6 zaYFA>JN)2^ozBvGXG0K~OQHtCo6#&p_<O1j2v>GsqX+*=Aj_n^7mq@02ahDO36Int zK6&)Q%U?45VRMy7wTI1U*(;NjLuWIGlpkkSNXCII4cES6rTa7o|9hFf=6ptfxGUlI z?@7U%q#TDy6=&~7)_#SYLSY;T;3<Ouo<s%VK_B_Gzqs*4QJjo=@KzI4)98Isx`8@G z;RZomdR3t-3~9N1>4N2N-?GZrGI4z(_R-}EQOl&>kKQ857TN^ipo`7dH#4YhQR8X? z=N^Xb6(R(eU^At>{dX>~Oee~?vE!R|dwc{1t7Jb$U+U3)bL&n3E;^)UiIJPor;E=` z(peU#$%!Q2gR=+ey?LgK_X2UIk7TQPC3N=l&*_1Q0^hekolC^no>sB6oXlP9iaA%I zGE`M@e8ti-sX?}XAtm`^mnUy~s)cL>%ULVS1a8^GZ>XB$+X0)G*jBQy_}CBJ!g|){ zeCb{|8&}eod%fF#=@J`v)A9bf?4Bz^w^9}pdW1DVCWpJ=&UZ_kR)Gh+1DBc*_LR)Z zG4U)w*qRc?%%R-l?~D`vp}ag-)8jBRN+L`P?gSX(7<_NRyV+Cq!uDgbo~fap;?)E+ zeqWNsg%u;yXo5lCui+4)w+1PUsRu2!<YPaS`4(z=BxY_@EiV+>sa%MgUN>~a+Y2tX zR3cd}fQVFUkA}lIDZNVYl)oNM904LyQcbr+)t_OUnE63)OdBV>qO$DLu_7BZ>WmXT z^c=kEoXWIXLgs7-@vq61>l0DIX2ccu5sT=`;SpV&@T|&mVO~Vyu`d(x_^=YET`niN ziV$YU?C2)9)nZ}uI|5sVrEJ?(iOwD=i!XbGy~jz!=RgRlJyG7H=(}$PPmv99T&l8a zo^MBOpFfI<w2ZEd3bMorZzwOjJnwO+26C7X+#z4bF<1jRu%+suYpmaDpKZFeoocpM zy6t0@8UP<9#Zd)=$k%Y9e76Q^YN<@9Dl(_LGT(fooeICm#Iq!)Z&`=tqZ^Ok!DuH_ z)lfA^ewy;~d__-`FyH~S77VzC<AOf3+8NP){%Ca%T5+DY{o|d~fX#7ITsxTfDo!-i z3zRjdGSMr^oEMe(Fl}}!Vqg9@@z$`FG#-;0pfN#;bH8K*<(f;4c*j|5#WSBBD+_{! zn^iiyZvEnNS868@K3Pde&|++y`nSwy^Wtn|1Gnt-L_bzsyJ<WdeHSXrHhM#kOZ|5w z24ik3QhPb4(I{YJBk(pmUsWRJK{AT(S#RfY-j}3W3634hC0tGN@xdE65~tpHA|j(7 zqrEZKr+Zf--O3m!DW7;=3rMgiqN+IYL2O*yObMu#LQZ~u-i3Md!#Uyc@s3WivVVXE zyGH&sMzOQw|8-O<vj(WMOXM5evqOfxXnc7BGW$o<HuPZTT1<ih#Eg}8IROQG%E=$c zD-l6^QVvCc5B`p!Rv$1UBFgR&oIK}BZVM)Q{yVkH1E+SskHm7ac`r9J=Vsbwyurn6 zt|Z<4QQxwi*JbtixwrT4JC5PHj5UAC{ErOkl5h8W-Kw8p-@Y22HWs_c3Y>dc0#O$@ z_xr%Pk4SXfv5Tkw$m?pmcv3vZpOF@0B80pmm=c%Cytt6n8HVyv&>DiJkc41Hi9v7A zK2$Dtryu2YJ-0l0%j@H_h&G?-@F-gFupisEE;gz9t<VtF$yRK-l?wf__x`UJE8h-{ z=a)&pLaWz9Ul#22^Cz^O-9BrkWISOkFysBQ)ch{?;RJa?Y~`E0vM#ch%MucFf|pT5 zn`(B|jDPs#`aF|%boJ)b@nco2f>;%8$?CPIR;0z|0w$K*AfQ|W)tnhGLQ8BYbTEqG z!zw7O>6YidB|o=1bsqe+*bw-nHluHb|8n9p9URbI1Se_y+SFIXLalvH<qoIVWV!r8 zuKf+(Wpu4Bi%{??wgjr_Jyw+dRvl8OM-YEKiiv-|Hl{R%$MzVS;o~0LMPG;sX&!;} zn3FKV32HqS^v)A(ZKP`$Q2f0KioY47jORHm&Iw~=Z`@APJ;AGcO50IbyaHBma(xk| z%_w}<BXRUZs)ByWc5EJCYt1fu(a@J6L4ePkgyTw}9%gkRa=;eJob3p$KYwgM25!B; zllHwV&gpiVz!iPr!84#M88|%1E7V#B{5!<rNnppc!`uI8NrkSZnbw_Eq)Q9V#y!$D zWmDQMR=DcNc5zh~!yhfP3BEKCy<6^omw^Y0yx+ye%oVb-dd-aGG3$D<lD1~Bctv{6 z3HFiHLh)8^WgU*xelLFoZ2`Q8Ra$Llg9s};$B2h-Lz<09<xZfoC3j&3^e|$%GQx9g z3AzrY{_$S@Dx}B(uX7K$Sip%A7NxD3@?S;zgA?ou$%V!IQWdLuy#0AgDq)V52~Qyx zvSew>aYsC$788?3;0gVn*o6?apwqb7Si-K)a}Fnd@SizT5oZq$GTU=aG-sr-(KF&6 zciT>+`kR()ABKZ7M}E7{3mfk3fwoi<hA}}+)tufb&DKUY!f@E1+guc4W1)kIrhy*X zLbdugR-^!5wSX`Dduu>%+<k~P7MAZ2jK!l3M_4y_)|LA<+*z~*LS?PeJedhHgZ}Yd z!dGQB=U@qr!sT`8+&obKj4tt>(@pbqJu{XzfTD~s>5K@vZucSGaOBt#tE{z<VD{uN zDpoONQkLKO()c;JTM7?frVJZ#iYI{@oZO|gd;`@H#YRA1euu{$*A_7Xs_e7d|ICX_ zO5EF0(PlIfuRtTuz4OUf`J)r72sfAIABR}qAkAC49}fadpnk|~f{$!7?r!SLan81` zQkT(2mOY(;;QY4usjaz~Tq6CLoQkaJ4Af3wDQVhC&Od4aTBty|%oYSGsf$DMgd)?r zw0bO19Vgfbq-*c+iy`ihlWXz|5oNaovd?}slz2}&70VoEGQtWz4;Q|bbTO$A;+NHA zZKSkXmhXUcTQ$L?iSmSw5WBGR+VjVLpA*L3HP=g0`@sE9n<cgtUG$F&N5F;SKQ6>i zxWb<CqO<~PJp@usXR3IXTl6t3x4|<oBrs|`^-sLof7}wA#(g5hKcf&~?OB<*vLGd) z+TogTxMll;R0uK6Iu1ZGaa|v`iP1`694zvWpSq4S*u)~Wqv4VsiB^KCe(m6x`I&X% z&oeNPyZC@-8K#XP-z}~q{^r@QOYdR`wLo=W;7O~?t~f)x8LLjZVmA8X^g9|lmKmmN zM$WMC;Cymz8k~@WgY3#O?ko)6{4>d|F0l(T4eSoa6Iyq#3-KNZOr4D=gSz3JW_uz; z!TzP9R0xs)?C*3WtAc3}CVyE)F#BYCi32qwnW-U=mzFgzk)Vb!qjw5mCxs_LHpy#q zO#?@`nFo)}&HLsL&eimKxxUFrZYno#Bq^p?Gyj1RtFpVGc)7)@ZsFlXM)j}{7UhV7 zJ)_ya+#iLCtCQPd!3>JKR%zKATIHNs^UWEo25P<_TYw#87MPfEOtP*ovS~9qNO&af z01IjUy!Pez=hYJTPHjJtRuTv?p8`jVWl+Y!5lrHmdWwQ^!uBuq5<aH_r}Bx2p5G={ zo0=Aaz9P5=0@4eiU%4#@xmefd#kHd~Bs}iYPOxvq7jhM>^Dd(*by=>4tS;0+HHAlt z(nTX$8H2$)W{rBvh}vTx#HX8mbGEG}4j)^F=OnZRUYj`YtM%8x+q(xaX{A7vXWA8# zk+f^i{S`5}<BzWxIXbL8{1`9;g%Gk9siejK5=4Z}k{@_ASM@UleF;r1EH*Xs_?k2E z?-?scX0U&_v>i39J$!#F!)K|qcU1p`<>l(d$kIp7ACm^>j1Olweh$feC_h?;xsAjX zLNvjPKC!UaS)zidbz*NMw@~YlOZjq4Y%<enZApmH8#%blRTdHT)e2qy$HA*b4N$83 zbplqPl)^b13@d75`^<#8Mu5akM7$q(ul_v0?`73IL|bhL2wg3<6=iD1!4HHkp-lze zmoxXI;CymKME^iQB3P4j!J#S%stK7aO6LMQZ*zjU{+dEpW$@bLpEoIEqLn7nSCv*X zzA6OKDV@7Z7YK~P0NC7XuTeb+U(V0p0|<%iqcXEE)dTv;Msh(>>W&lFxn3N}v$2q> zClq|&R(5ka=8s=LE3|Z6n`&EZ7Jd;G_gOQzzfPyp02pd%mVA#W-i%H&V`G8o&9maN z*_OY?4uf}tsS|quwc^WM%3Thr{aV=49LQ94RpZU>w;yah-@qbQ4KQNuGQx!%36i^$ zAFem=?*#ktT5y1jmPKA|-QY9(LPeQ6K^IDG<R*qbNxXjOG`UoV%9Gh#R87#GDe;d# zx?U9YB8`Qc8WfP6xaa?<kYVVwa<^KnKC1RcxSRKQ#*OotWCPFFut?yf#q5Fe5KoYV zk318p-A-J1iPq_kKwlp@HUJ_qOkjz(^!QryjYmr0AhM2<9nnn(T^f$)y7QbDWrQ&- zH#k|8fCpyN){HV9ImQi0j%!P%Ojc+pne=N(TFw0&Z24~gIHKj6nOH8X?4eg|@{Qjw z5=^^QEm+FIj-e5%nLk?8q7i(q1}c(FTzpXpS@NY7m_@vu`9fd3?zH^^=U3{L{YEG< zuMoQ_^?%o$^Nuq!>>sMscfr}XR?UV&H@k>_OJ&*Rf)lhxp5A+l6*;kCbM$A{|7oc- zbG@U5#xm2jM_4aTEc7?by@qOP0E;20L&$mruGa?T|J^>nmzWVd)BcWfoele_^G`4n zR`&hsiM@dPg$z)jq50qdsSvUuK{Ry3Y!|%1k!=WE`;{{H-8;qQnYQ7miqikIPMQ2s zofxLR)BU!;_Vs*^)}a~dvNPM&)ydb{<~PHog>P(#5673N?*<qV#M3s+2A__5_RfCQ zEQD}mB#Q=AnA2gAFnx>|MMfBVB0)06`^nI&Zs;M1j2(-OfL$9o76*1)3cy%KD>TmT zd-YZ1_K^xFBz^xR@$4fFzS>d6MN4b%k@Ul=o0Eja^|=y)kdF#Qd%&gy{V$AThBTK| zzWpQWNuc;OS@SJfM<OXA%-BrKZ;apANVn0Zo^O#=Bx~R@mc?{zg&dD4SRbJ%i7<!N zP&m&A!{%LEMFPL^Zd#iVermlTezjv?7%yT0`xmfoIQ83}US$2U7l>M|Xu7W<rq1<F z*FBbbRcD0L@uXmp{gHLT@V9PdEfMu!)~*nd@uV!HYW0xb5qpAgbnt3nE!1&$yom5e zeHTRM7?{@;y8jc=hgDBxlw|e@2frr~5rR7-RyeIzPx$5AsR^q^WL8aHzDtil%%kY~ z=LO_uk00n%<46#m3|^fpf;wu96%lCayIWTX3<Y2^B@z9wkG~$)A72V9M6&z<>4F74 z8Z#3lQYR>p@kvc+DMcbjjmR^PekJt4oXTYR8z6jTkL2(WVsI}RO@QXCj!?}-BG6^J zVgn&CmjtM8^*c<wRppMUPMVF~Taj0Q!waBL;p+60^p}FC6ijjA%U(v+gS^tU4<`h1 z=n_lBYd8GHeM~%5O@G}CvZT(l*aD?0)aZ)gz<l{o-IPg8{7E}`wfDCMJJms&crB7c zNO>7o(sSH<44wE^kf;Es2HuvFzLkP8e-?-waLIuRxRvV<!va&TT@nznV37p2#{>3v z(iQ2*6TC|2W0N0(E%jiP?yaZUS-AQf1{P<K*};<<PI`tE8&~FYY3<P&96`rFd}I8Y z@qSx^Vv+8;&%K`}EYi>Rh_wX|Pq>ow%+jX7+Da%yEQ72#jo8Cg0Kmtrf?#JV89XXC zh%_KTr8h>Xlj^$)dIYVqb%hRP5j?4e!m2c;aJ#L%3M$ZAy7BPM)cBJ^2qSAh66D!1 zgOI5UfU=I6nC?kI7nrs#<g7>h^N0ks){PNu4w2T?N?nfj;MI~BQ1IzpOyX;Go`E~O zJr`<1dg~mYyGQwm{CAF`9UCYtQ4vQu5fTtzD4GT~56D<%l*tI^SysXMr%sqO5%u6Y z3j!i^ZG_@0a!4<s9E%KrVV^)HH%%!s#*G&0H&Ksk-2d=>Py}1Lv*&1RvFd08&}*M{ z9iswXYrw9y=>$7Dt&r<Icn3j&q@109NjXqW_`9NXJ@5{KCWz;N$c;H~zn51WR6zCF zUP|rrrnQg&BZ2o^SvXB?5pNJgPCc<#msF^w%B|eN7mNRiFDyQrb=z``cbWdwy|dFz zq?KN*%kgP-gm(S+Wd`dth3;D-`shsj%jt~i;BygO!J0Ty=gnBfC}XoU8K8%3uqOmb zkioHsB<+!7O2EPLX-f{imxD*>h$I)75sJ^gD_T7na_;~0uhqHQ=W6OVNmcKaUN`4} z#K`y)yqe<LA`WLOh(aL9omr^m3xd6SCj}Rv+LAL9K&z(z|1*J!yOalKKeU(Y;*ZuM zdKBXLPq>B|n2}e{R-pH}E#bdTt`|kOUP!A<hn`^fzf0Fmi~}2=YqbhAMiKQeu%@R6 zug;U9(Hf(eyXESTEh7R~L6w=4Jt3sm|H?nrJ_gy6YO#U&AY1YjQ0;?4Q3P<>uCdH5 zz>C;`_52Y~ZHaoYzYzhEwlP9EDRPLaq#P>`hJn>XGT-yl`tXi(m$TLQxxWMN-&i?4 zIMwH(cY(;K>GZ-n=E2|7@;dGc!|QtAZtY?3f$<!SDKMD4_OM7W9KlEeqshzgJWX+W zAe>q0c>yM8B4`jC@dkk--aFukcX&N|v+3|yBsk*r1xLICIZiBwKId;M(mnSe7pn_! zZ4;pV(H^4NuAM})YfqQA7ISHsAkc5_ajAY7+rTD$`CNk@Kx<MWs#ehMd(iiG?L-yp z^L&NY-*DLzEND-|bOKdXe%T0|WEL3{&@SU#%eTGuUK}`&kB3;eHn;xz4Jing7wrDt z=v5`Q6eBPM%hD$JQcGa!Ih@qd%J_3Ej|~$8M@!?+aX1<#ZjU~XuQ)bqn8-F-5?{fp zNqiweYgk}Eqn|;Ox`Nb@6;EEooV)=Q&EouwMQ>e63~&oOc>}av;N*3=dGdz1el{mB zCU}cE@cXMUiEgxV*-r*)qH=TmxwHVOD{3%joh;%>sVm_mv`$ufk<=9?n7NK+VyDy< zJ(%UIdk(M8eY}iS7DE5Ji!~B5)8V=L_jIxFuAF$k-lx;W8oM&${a3%8E<V01TVTm? zp2&gFa^mG+``#L6mUw|0C(-!r{rdTxH)4h-tlSk!s#NY`A||b4vi~~jEB+U^r6&RF z;Z2%@S)S?YoRi=};CYh1D>Pn<Psiv<9EY4g&4s=4Adyw_i@^%X?Nb75R-ZS?1?G4r z7H9N;l?6w<(n2>o(?vOy;L>`}o5WhzeC<l2ik^f-b@SQTPRk=icVg4CYbPlEAWvQ{ z8RW@3o#~?1OK^$i@FjgGKfQM4E>%xL2QGV>3sa=IhA5-o7S}Ig0z57AC(V&;08cZ3 zr{#Y<wE>=N0Z)UCX9p>h`^UsGzc4UJPciBkHL_eGjWkVs%Klx7{O`igoBpMSCovG4 zw#LJw`ZuzCIi2kp3$U-bJhZLCrzjQ<Q1iW2@f5|84r;!)o<40kW(sP)x2m7Eunrq~ zs-}6BZknW6<{BpBT0mn$8Z~1<*x{Vaklu@$((dq8C-eMtQ7J0Zs;#+0qLUO=Z`HA1 zZ*49@#*+)@_{1D4r~m3Ts1o0mlNr+fo3Y>k0i=|UjD@IRc^Smz+>8aCV1x`pr`-I} zTWYHLBjc1D5ks|Vn9{{89@^+@z@FcA*(bzFDQb9_6}VL{xK-GV>*dSyZZa4x-|W+T zbD=UA@vm8@`R0B3r(<hdE1P|A%wEYX$m*|H``zVOMYN?352fpLF$xN>IOlTm1_GMR z30kWHIHmzO&UpYhrU5w4hix%0psk{8us1bt0FpjHVgjz53kD>ifEMEpNJ7DtF1G>6 zKA^?;0R-38|E%eTwbsU;!~d4L65xGayuY*gbg_fCyg2w2fYg-(I>7GTw^CQYS8R1G z<5Q_Zz0~A|wv2C+*__n6*8uV%0P<*i0QnsNd6W%+d;@?yXqZ(gn#0erO|A|zyIV?) z(}Bx~@?ZjTtz#Vb-OCE?)TF<DwL24!u>t6{*pIfq#+;Yjqu>n}qy!Xsq{I>Ra1IW# zf{XKkqcAa><en2PcFNM-O~pvA8h$Ufw8zv?N&VMCdN`ObU+R2|m2yw#mtrKsgeP^& zzuqGy-9is{JRc#*y<jv-Vs$5~B*cjTik_ArKrzIhI*)P!6l6e=#s?^#0tyPC5S9fL zE`Wk1StWt^<=;ITegleq-qd-zJ)kH66kquPMJ=Fc0~9kdIXWEE$q7H{cJMPD7pOWq z-ZFfrF+r2opX820h0Pt`+UMF>TiZ;!ZfpenY50~CE!Qa&^mE2N;mJkbmVf8oATPP6 znOz{il!+o>=bvAe5NFZoAD}3+zV0RDC3maJwbD&mFS=R6egY&sP*WN!xHl#b?PSU} zijjQd8O7rE7PcSFp8UqBP$tgvJjh<-S@^)8@WjaY<S5Shufzjg&-D*kHm`_6&S%U& zjx-mUkRE;b;jhG7u-Nnst5bg^)z8Xu!cwIkzsZ3t;B-h1c*3hyDWezS7_E14=1`*V zj-{3DV=8@^?af~Y#~ok2y|yXAl!Hyvf!BGuO@203y_rvoDnq_j`J4W#>Pw=|zWHN2 z^}{2#Q>x)+i+7H&&EQz4RYP#VTezmf1w*k5gNhx-4Um8VxTMk11&jUe;z^P%`JIY7 zzkA9M#kOQ8U}*Jt{)JXf{uD%)UR-8+h3SQNj#`yki=S4XQaP&6D;whV-1^9LxCk6+ z$Zz;|jH1}EOP+x<Rx1o}%Dq+WlEv+#+yo!T+3K%gn@7V*xL~biLC3q{`uy0}qk8De z)&+gzvT+k`eRa#9UO$OBZILUm+l<C*@ePblVnudA0{w50K!1$5haAB&Lh9+9aq_BN zoIw(5?;n-Mg}AL@+ifH0E;(ANk6iB>y9r<$Z1q=NGwLM;Z{<KJMhC>U;w`=bg-)!R zv|V+a0pA9;o*MD<12)=-Oh0BJuiD)ZgPdj^{!1lYOPgPYUxZ<D5c-cw2{+u<aE-Ri zFkFs!&m-6PfX1vh-|lywQa`y>YdI(N_zl`^<g@eJoT4gF|JHzJnU#O9$3fg18OMV3 zCHk>;dDSao#MF`8d=xcjF<Wf_>XcVXyx_K0)P6wHQYX3IO>+|{&IGJ_XVm+xyXQcD z8y^sl%9P52<iF+(ftmz0Vu=4otfNC6nuA~dJxz+p?mvYEIYo3<8{@Z#qcVnnyn5sy zm>-rBIsvbVw#+C`gioS{o4~xX{O8*r-2LCe1!~3*24dv?G7?=ub%Ag?s0_dnL$<oH zni@@Lu~Tasl79ynh;Y?zEHSk%-dDp@&6m`$Iadaf|6OxLee+7wFS-fL)!5=&+;h0T z<~`la%XyUX-%*)s*)MAJcm&av?m`w8l7HXn3+fGMSWQr0Af_v?+VS(RPc!I)?H!-= zcN)m`GvE~s<Z2D%Y6W<0vSmgCxxNQ-4Qb5!Wd2aw8OZgvcQMC5xhgEhfNl$B#LqA{ zG8AuLP2>Xg7Eh^%Fjq2zzP)!Ml22%U@UOCTM#&2x*9cIYPypom_pjo0F-Cyq%MB1@ zFI*C$YA|)-v5J)TzH#oKa`BjePr=hzfv;#d%@Ig;80$C#$}c$J?`gV3_V2w|U5#cs ztLb3U60i|y4M<+Ba$B2!WP8}+o5SEsa!t$wV&#+n{NkS5_jhoCg3$w_E3?q5mr654 zNInObNmZwNlM&6Ja>EZyX-#CWdyCceenDrwxawtXm$m`~5C#O`1O#9M1d#gjG6Q(} z#1J<DOuIq<YtM39#ZAS}=fCDbK`jUu@iXcc8GNCpnz+cfF&|GoM0t`KOl-Xq$$UbX ze6<I{ZEwdqMH_<2lW@&VB}1{Jw~8GMz=%iSlETHMznUi?J$X`;6Y4SKK^HuzQE5b{ z+460;G*eqiII`%nU3GdmpODLIDjw}Z=Hh$A-G>~7ix?>y@@E3`odo<P+cJ}G<%pL( zbd6t=mN_Z|7G6isdeh<;v7^-B>S3CmLH@kzLWZi_RTEA4Hi{akhpSjJL#v&4qA#CN z(M8SmYXju&d{-9W52(N&)Xl)7fj>9~{6V*DLrUGFob8QUN~_vu#s7>s?mpe&AAm61 z!YM95=UAX~Fe?V=yanhS19T2%y#XG)5j=SN;Fz{wL+~gKuDPpXDE50$@p25%cL&h7 zGSGJ_=%qpaA2zL8{gUM=DxhVDFKF2TigxmVBy*^Tpo0g)SG0Fx8vxPA;gqB$lvfUP z2w-Rj=sOnZ+areo@z6DK0O*@d{`2H-H~&v?0nw3v`aUn8GoMWjd<Bl>z1A8~&4~B5 z)r3914O|?RneIUrSGDzyf4l1{BTv%}$`R23@=OQv<ZjsAdIx9JP#WMI2JY}wW>)k# zpT+5$pLSDa04f_Nu>$+HaM~S!$_}ifa3h+703d_`_TG)v6>g%l(f}&yw{oD(fXgqS z42NKQNcYTP2nAfu0{n2uQ>3RZh+Htla|i#yKJ2_nbOr8B4HPQHfkGwhBWeW6m#pQ6 z_P+a&PY9bs)hGFwoS_*s1pD{H9rINUITi=UXkiTyg-*Dxk@5g*rjg>G0-uJPB(Hww znG5ou9Eukd4~=0(s+-aLUZB=!AV$?*tQM}?;YDQM>cL7jmVaW;&cPJ`V_XJKjtQ_> zWP6CdnZv-B=b9)5Sd;;RG<F}kXQK0~bZYh58!@o~NQ5n1*N^yl6-S010)5{BD0&0* z?LuZ?2Kv?p`mO`|ehl>O4)jfhYX$>-&jNklZh(wZ;F7!l^vw?RUGzVF+aB7b%2U*U z<@k}I2%v9J`!$~d^zBV%r~&$}0{Z@NNeDoF5WtcGV9DCBt1t$SPEi~<Rz6tD9`FG! znSbEE{xIO+j3e`8YT7(=yTzI!$YqA4gN86<TlFw(4x#`Uu)EvZ;!9g*V9(;#qdpR@ z@e_Zs{h~BuoSVpuqB{SJ)FFxpST`qEkIyKza{fp~bTP(d&W;G`&bpp&e1E^Oh5M0f z4y5621}@E3o#d4RzWZ28H~?0654c5-9C1Eib=02CfNCXmmW|XQDs#zB-RNqRw_@ut zmJ~#{cnMJ<vsxopOupA8$yO0Ab+s;^$3mw5>aZ;`w;qkuu0tbr!O#QaDi{V}7=mF8 zhUtHiHS|EZlKWI=AaEaDaLsCEL-PIqK7B|mMPDVAqV>VhBdyC^EOv5}aq9)5bsWWQ z&%Vre4Fi1`Oo69Y0_(>NRudGkiB-v~u+{Zob*lkLx!)%75KlJnc!6PfvSHVM_}ykb zuGKGxK@@mT0<b17`Oo)rJI}N>Zrx4q`yWyjiLPkS;6@ItO4q^q(GTj?$Y?PlSU-%2 z?4SToGUbfeofLW6B=DXjApB)gDd8oMQvvW!en3uu*Mom+5;Q|BGFgfux*dd5d)Rpj ztaV`4VKA%3|6A*t(PHTUufWr9bzmiDE}YH4y|7iMyXGK5!IZ$JaCx@Oz{JFzfar4@ zvw&#lUfC+99H>3~x6b!rsjvF5YU;qE_CZA<`vFhHP(&CgPEZ8i$qAr!1%OLn_JYAc zz%*QB25f_F1G|p~cHaSK4F3J{27VQr!=?U@RsFnDG~vOMnchB#G#X9`0}juf4DA61 zfc$?B&+Y&Er)gjS@xTBYdO7^N$2yG~f{_%srV-e&je|AL68JnSocim2&UOitK+4lh z&X|tl{A&U}a3%WHAHT@1bT6{-MW8J{&^2Qit2qPK<=a34K1B9_0j%V&zbWlD!BPeh zpTgIDtlF;rGCX4=q4$7CX8oF7(qQ7Xqr~H8vvhq164Bmj_DIpM?Y!WSf0@eFai!Vv zD29Y>&>QT34Z^^mNqr|m-h;o#!c3lMg~Yt+?foes`6jW~>-+t)2lVw2?oUxvbIcRR z*40k_cJjNDr1B@|LzIu7*<FiJfrWTSeUxuzfNz9L?(rW!zjFtSe;-6;H5?cF`RaZ{ z#BBGsoqVgXPxz3nBKD1WoRIZ!un)T3&zG{E8YE=>E&q#%Ou#UL_v?pJ;>FOl`DVw% z7S~(`-w&>dLZJdhYy6wGmKvwjR}Es6q+ak`KJVnY8H`;xc|PYj?Tb0Mw`_i+A%FSi z7{#+~H=qZ>nBO$Oxw5I)6@D0bg`BnO^Tjqn%X+1_b|QS$Jw)r!-tn$<)u~bM5H4o4 zLq0y#4x8REf-o%qoH2rG<AM3*p61>(#}qrZk}$cVZXZh1Z9@fI$c}h4HkT{MF47eH zVnSw<tj-_#e2Ah|wL|{2eq6Y&m6PQ;qDy>zVd`zCVih;q<f8n_?${$OlU{@N`ewuS zIxuR$sBSjo;Qum4V`_u=e?)`~kq21a*N#lcS!mA?Ho58{szlXJ-N<^>;EUE{JJpD8 zhbp21ZDAolsAv6ziM_gfZV+^;w1c57i|&^jVda^77}{va5xF@=^KFCF4Ip$k8wXe~ zR=1xG=wIK>_YOgrpdS+!tydOF6HKUEA>wIh$9T#+>Ziisy4m#;&7&p*YAiq2KK^%> z>FZQ!w_}@S3oaMoJ6%e!4_#ZtJ2JQU=8D%$xyD!hee(RrnP$64>-myB6u&8WbndF1 zE&BR#jrvwj4)ExVL}JhoZxwfo_gbUFkbtLv^~-#vE`$_*y0lB7Eg1O@p*dI{IhVhS z97Zq>0u2QL4S64Gg~6qy?etCZgQU@qiCfkynEZ(Ym{$lx585$t)S4f|6S8$dQe^Nc zdF8cevOwc_;816H8xP7o_q6*L^Z69VR+LY!s0q;0VyM6z$q|pbmCKce23l&JkTGgd z=eGc?@>K00r`C@f0am#It4#k`#Z+;lZvJD{*6`A;yv*Yl0F>~KvJNi{`6mICI3I}z zjnQ1%APT(*T?i1WyfThvzEIfY&I<*M{sxTJP8`s2Aq=7Rj){(d(Z#<54!=^bvM_pV zzO0Qdei&*ur1n#%7Hh&5)ohrgxy#^bvaVqw7QOP6*cV#)?P}ZZ*5I9?W9PppcFVP; zd_Rn#N0=7(eQ03&{d`F8Qq|7L<a*RDx%F84bHtVNRm72TfP$T#(~<c>AMO6(;BdP1 za;D>TWguV9ws#xCZSTB}wY?*PQ3b{T7#qjDz8OT7nT}%1>uBo^yN&PHigG6oR((Pk z4uN!ETk{u*0qF|t=}fNgs^|V=7>&t2KJdjH`_NGq-UQwPfh4ePyX0O3BfN2d^Au27 zl-IYl)VmXF(RU|au3|z&UypF{UljAIrZJ&?Ld30Y9pkh4_*e2@TAx**jd=nLbRw8) zMt{f$nlC&9;w2mM=YAQZNC8V7MKC(l0ZaX&*rhg*of0(U?KLbrSg+2n1XKnADx(6G zHMeqRRwKHutS|VTQ%4!%hNF$S-95@b=f@QV+djxVuDfZDt#g!x)En|Mei_pxI)a@? zF2f@r)PM-W(#?3>`+{tk;V1c&$6c_hAmoR2jg)$IG0EqM4%Vtu$eDEsNJ9&1MYyS3 zbR}Oh$7JAhzGJ9N-8e!jlwR5u+7?WHi_r9LG8D7lRP1nTgOK|WdmkwuB2N>Zo6O&z zc!}}|5e0Zi|833h@?;``?iIonYQZ0~Gt$WnoPa5C0>U$7!+X=AG64e!USHtggn)x< z1rE+Fm!SqYIJr=P`TC|GXWrXB?y{>2+Uf<33mVnMII0mH(N(7chSw!#ngD|i_3HPC z|6`9kwDPv=Zu?k%Rv0dqt@g_pRjzIK`xqknRo%d`Ves5a08Cl0orMjPPQ5R%LivRt zhHM`b8h{T-0X_ie$OPyp^Y5bRMTwQxcfoy8d6gB<`cb6c1IiOs3(kCh@7N23)Ma7r zxk3!#XRQner7SU`MTlkYbqqt17T;+>7p@|4K5juwl6IABJulSvuc|SVZ}y)KM}ZLk ze9S#=h++Os^gIs*VWPA-520ucDGJXCQz95U{NqoZ2~Em-S{Lm90CUd}V(8$8#&n3W zhK_dC5$-{bVBP+AJ0S#Emw$Xw%r#n#f1I*C_*ne9*>Q?M4y?1T>l)rM1xu%R;G<J8 zs17t<HwB043On6K+&B|z<CkF|Qqyq-FC~qvR(Ocvw}9;{WMjm}VNiv~^PHgIRw1+d z>%3snY>)!+-TcWxH#8PXVG9xnMW$moe#Ds8Ie94v&xupSMUleuTi?dXM=jT}KSVUd zLw+7N703zesp|^DJHB#3pFaiL-%6f8R%@WlP;)<@Z{b`~1mn^4f-~>i@pbjV>n5jX zcN$iWbeP=EtWY&V6bdl+0w5cyij{U)^&+-$)(~X28HWYy9`fPHu}oHW<bx4bZiwKI zr*X)5wiH#Jp&Klb0(^8@UH2V4FI1uW_B}`>s=F?o0%1XQ)rnq#Y}~kl&4uZ)ZP@Oj zC=pDZb={$O-e%+`R*oXttgwkwqA;i;g|U(pF4g<=J)^7O!eC9x@eNoGdZ4aL5bs#+ zMyJT)qpNSCI@s`g%?n~D-wP$j7}36BPgLp-7n@!aiQtPKtm~G<^NK2hG#yHWG=@&o z#52ygqPj)!k~7leNV+z5vSC%;oKT?Wrw9*-!z64#+FE+*2vv}ymM;Ih0}w(>w|_kG zOsLpJgUtxO_RH%d4XfdJsUc*we-4J<9k%cP2qRVw1Nl@}xLx>P*6MCbD|Zz*OS_GJ zjo{O~;pExe!mnvkak+LoP0pM}9&!qD6OA<sA7DclUnpXWW(^t5HRJfrx;Oe{<d|_h z-UCn{i|f%`n=?L0F1yV1G3R_t@;mL}?L8dV#@CzZ+f0<!^RV}iJL|r(<3nyMR3F7* zX2l^_>CUea=UtL+p9$3@ivAa}YP<U%<un0ijT)>YN&?CE*QMit<cItdxgl3k23VUg z(|=Dc^Y6(`mEZw*%;Oud(10`y$BMvyz`gln`~vT%vgTQH4K@*4K>qNEgm*>mqg4Ry zIP~xtAf(PZLLLwj)&K4^WWyF*dfQ-AR4e1(rRo1JJpgv+g2sqb5PZl@43eUiqaf@e zLlMtG3S-ogW@%?nw-xBw+*jX)w`O3HtRQkgpHxA-X0<DwrjBQ<c1LwHpT2o__{>|v zPaThV(U^024h=8m*XNdIZqSRN;+8HRfvs@g8<(<bnUc|SniTbuXIH*-@rA(Dia?(t z$K5mUbUAdQqr~0EqxC%!P2=@{dW^K36?r9PIhV>6n5IrTl8a?pM#7O#FbPbMHgs<t zK@W0-9`wH}1tFmO{o`HVT&E$n9(C88o&5Y1s`;|U1&x)WuvNiAk(n3{CD=al@%%Ah zn8@sTR*P$!Y_-=mBLW{At&e1GoB{T$Sgmjm!@nr7uaJfj!wEnY@bjD{0%;1#-Cq}^ zk{nHI+6+V@0%s|8-A;JkeE|GR6j7+c=Hh=81}IW^L4m@RcAu{K>Ob;E-`=@OUDFyG zEC)4I*L4!_n17Q_k-<mjyP^GhVNi1BJnO}4n_2~#^u49l$M1^HPWnI$y<O3mQxt@% z(k6zRqNS=R?7~P9f1mju<Di6cx3`Yu;DHr3F!grL#+|)d^*>^2@65){9Bq%-RsYPN zzxc;C>E`PY**7&6Ri4!q&kvr}w6f*>`R*?Aw|nH_qaHtD?`GIN`O*oU&hEDXb=jC` z@ju`F)c<VogeuJaNIBJLhCtpAOwi71gBiUfh?lC^3FOj;>}u=cF#Hz<_Uniku{Hr{ z9buj`QXs8v_?75H(?qt4Rnr9Q@aWRFpXHy+<~P0xHt<f>q{8+i7Yp%+cG0{IpD<r) z|M<`@Aub$93Pb*C@*bCLxexQ)_qd?h`b=T{N8f|98IpdDqmAg5s~=%RE|^!o!P3=_ zC_Gb-kmP^qB7=nvF4CV*H=MH}8NVc|Wkr$(9?2l@VG@!cZKUoxLLcOa1Z=(%LLl|{ z$FD8#olAWc-qXwdT=H8dM~`;tgBLxp@M6@q=%3389g>JMqJLeKQJ1z0e%%)iS5(_B z5Hu!++*#6WfZbc^d3f{AQo9R!?lVUp*L@mP>jvWc!@#8TS#rAy`sjj<RJPBe5d!?G zG$|+Yd%0Ht`9gTjR{;4J@r<tk@>%eE*1fMpOCL;5!@}!BmiCbNDtPLviJsm}>VwJ2 zYd%ckBk8A-{<x(tUv<iSuwxmxq$2dc+kbfhyT{n`(DKfb$W8RzedO_NdZ%YU(~ub= zASW;(D60)+`jT*}`r&DUfHiQ$#1h~fdg{^_fph5ePs{|)!PO~!thdc0MPovJ8}X1O zTmK{R|8Vu5aZNn$7pQ>Jr34`~>AeI3h)9**K|p#9h=TOq1%w2UCOvdR?*^q8!6bkb zMLHrPMWjj-1QF%V_y4<}`{KUXO#-vCv$OL&GxMBtW*h~EGDOmPMhCBmlE;eL>Db+3 zE8+~BOUc*}&|V5EcW?fX-owVk>pLZvRmd6E&dk)|l@g;EjE(n?t<2UJE4M@3S%+EN z+e7esQ~}q^xCi_(2cF}*^sWmLo`^|`-I*Rw&r~>9E^}nT!aMGDGpzo7oF4CfC;Vt} z^_{H?HTEf;)hLo6|N8CkjP@nwFbDr{UXle&I~VUhyTOSIQ>4Sd-}4c@oN%qX918RL zo&Cxq`~}g@c8DwMFdLy$$pgVjgWi(|jh6F+*yJD75%X`c>BZDLUbpew!P4xA^e`no zUZ0P~!x<Z`X+3XMSpIu#X_s$d0~xo!35r}r`E$d^b|)_0vHdgi|Mq)0<2KICrr{^A zPsIRviqwa1puxF;X2$$qGc%~Pb7EbGObJ_}RXdaOK{Fcw%`6vz^rP-(9>*q7fM)j2 zH%1pUGr-Fg{=a7SY4F`oTkR$GpD!lgMLbSX?2|kC&Pvk2b#?Er4wCSfGnEwFA&xVP zS3)PPCqYF3L=P|CMLd8Lr>9C^`<-zbkBF^S5zq$D9;)5hA2GtOe6Q&s!yj5Ibb63~ z%WRbePdUyKeE1YWE5Y)=UY~{L5Vb<?^M1#KHO%peL0ZoX(8?sW9PY7(8K-1GZ)iIh zyL@AezbgUb3ukNBd(Iga1=;wJtAvfX(Dy$6_d9Q3-~NVSR32)f;91wmV@0vv0Sn*X zh|?HE?4Lfdz6;IWW!80KOX0i@wN9Mth`n9eB3^UWASr@+N0S2&+mqZ~k;N-}!`YVw z@Z8>#>?>Vije7XKr(un(+ufFr>bAS*?toDr@kR=(EoXIcD|7E}>1_trwbho116+BV z88uarOq21U$>)AYI7~9pKk03@C^cqr;NFN5wWv~n#2O@u*<y1PoBV`2VsjjuE`TDD zk!E+kf~U86;%Zkv*}?ozbj>CwHJKg^@h5AVPY{+q$rE>mYm9SKlS{PlRJ6EoNwI?g zX6OJJ;-xS&RI`(yKf+k`@2MYj3N)y{(29IWX{w2RDBZ6l1eBBI*Fh|TbDxFgAZ>-t zhb8S`up_~iGyc6$)M&TU$l!oMJp=kA`9W%9df7wUlz#SdWpi2BcUC3aP=i~gh*-_R z6Jh151^v#YS0=FLQhy`~uvDGS1a)AkKbbA8`mxDK>K$=5eE8@fcIg$oq1_YwEChA7 zwu%jF1UH}uGr`Bx^XD%%(F5e{x3>dE2M27*SC9!hJIqX0K6a(6>J_)LsT!*6oEg_C zMTJ9}pZe2|T1)fGN;Y6K5YR&AU)X%WWCVUW6;REAUmobOsPt<d>#=ZMbFQ7C5Q9JV zj7oVu?|giYs~Hz{bY#9Rp}2Zy0PEn$JXd{|_~@;Q6il+zKT6%KNixqL8USjk``9{O z3g-8;)d6uw^oKJGo+DRk*M$PuJa`xX+5Eq9Kkx|7vns4}b&(v+^06#hsg1PORiKJw z%9Z;(?F??QI)q-4Mj~Q||Er=H7R}Cn_y~WRX_IffKQuvj?k73$DSRkK0jXVQVm!wn z@G0zgqCwzOsPXh#g>!W>Fq(q7I!`-xtbFWWkbC;wU)Cx84&`rNc-rm4LGFsL3K6mP z|K-l{NvE@)bcBD_tO=Xu5A_f}#iDMRISb+`6_|sYixIS+nP-2J<B7mJP0rF_V4DA5 z?iS!4o^}mjYLhdVR99EuEfbl_s5KN+`!3SFyguBO@1G(xRJW6m?0BI&q$0AG|IG7N z2^&&6=Zlp2jJ4t)%|jRSYYty(wx%W}^lnX$)D;*60lrF|>=QAwA20TeErdUg!}!5G zSuM-HYyQ;iFu=iPRz82bbY)oLU&mUX7^a}hr%QGjY<X>*`M9zP(^X{~R3)GFwtQAH zpv>Xt{sFetOy+M}AcB)GOg&HS+o>?LV@`f!W@NA__4-VZ`N`L1?;T`K<My$6-noeV z(7#gCd&_rO_1G_JZ{5A#ZEh*wVa60#La}I6BJhxA@1MZ6Acbfb4skzG^K#t#8v%a2 z1B!OWaL(Ii8|1#C18R##&Ez3Z%)%?|Y}twArDvne?adu6Q~&e{|6{DSxaoNItm)Ia ze8c4y7<b%h{!Pj5={7~>d66{N^zz{a_uJW1Ye-v!q4_Tlvck`k#I{ynJ`E1Sf@Z$l z^z_10dV1jXJbm!nVhgCC=GWJE5YU~MPk&h0BEN`zo8Kuc1Cq)o=Y?sPZ)$=TJjNc@ ziFSQ6vv-M_ODP%um0R3b)g)kBp6>BulH%*<#(siqgM(-Gr-f(o#U#gnm#j<*`>qML zBd%3NehtqWGJj%jFDNR?DtYqfa{ln;NT|A|Kz({@kjoqjb)J&OIrYZJ@b2%T`=5T- zpKWffw=#tb8wpDbLDAr4i;XZoG;6Zl2j2frl!uz<*?IRuM{N-LG-@k0+Do8Rx&BSl z_(Rs$=u0K}fBTv<=tlK`oo<R&*E{}iPp?vmiQ!XcTF)ap-Q7*Bqu2dbwk5u+`QSzh z(C_b0-wLiBW-5?-(V+QhmPuS(A&7!X%*D$2_i6N(!>^3KbEu0NuXp!DX0t+`6nOt` z&bICjtgqX$V4$sybr+JXVYiqw53Eb=`}5PKmJ|GIzd&<;e^l?*V8FfEx6hB%f8KL) zW7VVn(=3NhhBgGub&V`||4yE+4$Nm0J<*Y9L7b%zEV507&d(tT=>zG8x1Y?R6@tH| zM}Iz)2;j2?6&6Ih{-|xlAjsUX?PzCv#7A;_sBh<N&MR+%0r7P|IT`byHk5VWlG0H@ z$vmXVvqmeL<jKuwl{(?;*9!EB+SJJK6pYNOH3S_sMlJenXBiCO;cmN#VTcClS)COz z^rRQEj95ZUpvl&Y%HtiO#`{iO7)TtsIkQ1cGIxA9vtCU)BA%4l$SsjRUW+-O#tOrK z6Fb@cIq;gElMDvp0#fSNB>Tm0M+st-1?X6vcre@o^sESfc_%2z6j68hX=zhGBU-P9 zAfv`u#Q>tAmj-6E;}j={Pd{Ffptyec>?8Th%SR$kv~iO}5j0B`q6b%(AR>op_mHRY zU1xV|64K+(&ota(#^X`{H+R_*-F5MmclxS2etdFxEu3CtqVOJ4c`NH0bEZ)tH>wH| zkN64==?a^#ENcshs!Dd4J^t(EH~GGBto@L*F2E})<oJ)*C)Mkf(@n3RTpq>Q7#1B5 z<qEv@d{V-Cx^_8pxPSEG&B{jj`}>%2Q^(So%a;w~4_3{X9^STlym#<b{-2;j+v}y~ zxm@U*#l;m)`G0x8D2I~US$ipJ@Hw(0b2D?5+kFd*s=*gNmnlb$)g7~oD=Hxug-7Zl zJKce`HTB!mt<CzH<;qejhHK#g373<HKDuuUx;oCAu79d47_=K^dw=tvI%2{(yFp?) z=yfOlYv2WyX_<ado?3=&?UcEBNPWZ0(TCe76~(m^>X-;k0SA#q@4bW5o0qQ}AGE#E zH*`I*%-<dyG-^EwPxY<-yBcl~*!UqKtsCU)F?8uB>`*)R_?CU$Ntf<WTEzRCDG2rC z;QE@Gh|}m`>)5}SyRRT*|30}g(#VFKb>ldA?Axm99H4VIeQSzMyIu#@^45ylSm?b> z3Cbu3IeH-uzuHt(jl5kE5V=ZoE9mSs?v(;JyJ8D-EEyB8-&f}wd)6t*-ArpMG(If^ zRTz*<tvIVdIZFIi)`X!%CBF2la40<oxbQYIRog^ncFTHQcAeYXgkwcuEu6JewX;8H zgult8$+vQt-AR6HbN5$JkfwQ1Rog#NGU$RRkMEmW{8y$D602u&=oxR8UQX}*`;d(V z(K0Nymj}kwVoAVX{CakVo^!~!67X3R;%pS5$us7?eE=QkHLukv3a+o;mMpKuJ3>oV zT1MoH?;ee->_`@$M7Fi53YJ~mIezuTrrVX+z^mOLQTRvh#LmjEk<FaTir`Ul1JCvp zLVWP3P>N4`$`ZWAb`U=wJbK3b$vgiF?}9jkb7;3KkEhSelqFwJkM@-Qh2T-02KV+9 z+;;G2hZQI+t>|a*H5W~gy_nDTK_0SN1S#d}0V5kVy=|{Mhj7p8OdC;h{V+auh)>6< zT$8P4DqQF9ez>Esb<Jk4+0riRW?MxrtLu8|E89Yai|W;^{QI9q<Wyxgk(_OnOy%nX z$B^bXFiYmoX7%`2PiMYvn^~A!-g;tbnRL^u^=N0$&#p6gkgB8Dt62HpBR^z!{im@9 zuGge<im}~|1yVDg3^Wbx4Y1Xn_)@S^&+n)9Z?-cV>!8ZN7VgJ{$uc@O8ET?kOiaha zWGk!X3p3FOv+xifGq5pwcEUB;br+1`HLC|^+)SVE$MZ8)2Aoe$ucuz#bbPsrUudJ! z5%ZDt(Tys<_3)T$t~^rneDi5a`rblfPVGS8o4Xe!UMs>!b-$jGH-M&XiEP)<)0E2j zBEov|tk+^1p+j{t<F}XicR4puTHm2gp87F-%Csq_=}p;Dp**$c*1<lN4~8jlk0?yj zwXNz6jkx&3E3UGaRQE|hgZa4VK%Z3g>zUB8&&$N*CqEbuKeqSsD4(~W71mzyeA;KN zo9G^3m-`~}8r-n^zKq<aYaX%E57V*)dyz;MryQ6vKtr~EJwDNE99UG?YBpo^Ib#x> zJFC21o>vjtzCChQt|gvAW#Fyr`S{u5TXNV{Q~)<Tg$jwW>j;&Op`?}QJUD!sd}X|o z{4gb%+?Wi@k%88*t!KDku*ovoPj@$@WN<I-M&IpBIL!XTtQ{6Jk)6P-85W-*_R8qo zMn#JFoy@oBWv^m5`bd#*UPTja6FHCGIAncHf+1!rl)oq)AS>kGcA~`-N3i6Aupv$e zL`gw}k9kr#$uM5TQ($_4NlnR<e|m^UjbxWkh5%FJ-8GsDCo3hbsO=vjs(rq*W(;Yk z6Kj5kK<0pO4v0@xif^HGBDvn1g&Nl+2UU>LdQhA+3usE4e?{o}Ygk&kDn!lQo0w13 zG)zjert0<Gwfkv4XQ1i5t(X&;TOKussyq9!Gi8{>(%xnvyPaZ-1`8Q3-~!WM4=ZWm zwoeuZs%S2o&dz$wZwF3DE5Z0rIh#jSY+u&zr;XOWeyCtM$eBTxI-`QeePhMUjLgGy ze%<r*U^}KHu`Gmmw8IX^HK0!z!p)L3x2_xM^Pr^GotkDS#$K5VHxE?VdA8QlefAW= zk<O+qYBStq*L8@K67-$k=1T)HcE9=nKisxr5s0x@pMSQCnX-Vcrp#!{c?5)aKKZbH z^@D9O;adIF+LvuR5Ob%^Yy2?8SWo3Uv#n<Lx{G|y>qC$)e*3DoO)){d-mJqb2(||R zwr&tyFPXX4;S<Eo0RXlH*^Mp6xS6f>=QFyB&GN`<i|T#e`l(ZsOM(dh{xOFiE++7q z(8bmLGor2SXMGI{gTZKmT;Y*qZf!>_jn?Pvexcd4BL9OopT1vRvMwgr)|-X81aUY3 z;L_3py5f4oeYZY~*`3Px(JHh}BuL<icMxQ}!6=;z;0FYNAGFDHrUU#yy?!brcSe)h zlZ~E|*VDc#>zJ#af!QqxB5qMF*}rWkV_TdcU`q}|eWsbZQ`z@Jk{0iou$4VI9*j=M z$TB)58Y0i!*jnh7WvZuiFGMS%$oNX6hB%wX(JG1yw>ybF#j`Fmb^5-gIDR~Izj@p) zA1hFw<<=%2<p%()<e4yVD`iq^`<S=G<{@ue{}Bju;hz5lxljU}s~g3K5srAP)3zsh zKdt>Ug*GEkmBIB;3&F$5?Hdl(#mRFP8!M?Zze52MxO5`;#r9W(_5N1#@4detRhr)> z+V7m2obz$s%h8Wr3KpL5xV!3Zw;jPjqx{RKJHEd<SWhR?yE-117p&K@nX$N^9qnH6 zNa`owo5Km--yf?n$6g5%!_=n#5Q`<l?_GBU{UdJiFHOE%P<BdQ8fFtdaj#b%7G(cq zU|%(oe9(C(HHxg1nMtlB^5OHL@OnHJXM(b4N6h09s3>-4xqelYI=hn`?#WXUvOmmB z^P^Y-2Z}LRde<3>=LiOqHZm~nk!}ciMXlYT>AMML?Zmg4b2~sh@)pY8&UGHoh>Eg% zDA&)AQnz~`hr9Namu!QDNe;yStx=30BzIS3@Ek_)-8DWukr9|ZO~ephquHApQ%l7L zcCbh<E95mVE9lS9SdQ<lh^R3yJSln#bsgS)oeh!uW&8clG1-Rx6xjyCv1|jbMHZ}i z>}5r3wt!U@Xh)D3JjSEY^QctpjQ0sDT%XOt3Bd8rW^5J+7q-~}lq?`cd0BDpEMSRd z+Yssv?szRLul8_d+Zhz2)hASr)db4iN|~#<Uu<E}z_n^yfoybPl33qNmzyp6<B{v* zS04sTUqudJ2_C4E55)+m518tMi0*$={a8e=wzC{g@af5uf6{njN9J7S9GgtH2FU_M zuO-6D$BcPa;RfpDd;AKWIzVo+oqDfv4r2R#QT$qx4U(A%C}0jt<{~)P0J>AMLdO8o zWH=Rf2*SlAJMSEF7|<kymP8hcX!G{yym^#Sj{IYgjuC!uQJ*k54eA5bgGRb+gW5Q# z4+l^my`Qn5LqL!md*M-=bEwphU%gLaJRjpJWdaI~t(c!L;=XyhSg;}ahh=_y8G3<% z{drf{dDEveUR4tL>^Gj7wL5m!we6Z+!i`_eh1I@1oqgGw{UXjjo^sd7@`Yf#%>DTy z6s)ZRlhEkC>0-;ey&lGjn$JMd2Uji6(fq2wEJape2yZ~LQWT5^B#U#exozRjynNu3 z>`3|kZ5L@&Mp^~hA&H+hEk7=!&qy`a_VNLTI9wXcBO7i6z!e4*$8_PnHipet@K3y6 z(c#U+aO7EAQ~{qoU%!Ecm>R5lKNDWmheJ=z#_(}BejZ8=5G$TnuckYEvx-#ZDAZZj zJ$kpe9$M&3yg#PSUs9m6lAi>Yhs;QT3LG6yY7jZ(3lTgRG(%muqUe`E^0*{EWst~k zYh0D_d9t9u(ZRHaa!I~W|4&E$b8K_h?Au{Z0|8%=0Y0P4dlvS4-6x?Y=YPyuUFFRm zylEQVp5efRH*428?9kWcg~xB_fS(xfa|8Sg>l2C6lyK;fKSN(7F4c>66QyM@65by` z%b}0hNGJ?$We{W-gbi+IB(s3q9?g*+9gctk777vAKmq^kJWwQllo}eCxSz5XSbHjv zdFI4}Oc(G!9M<4aE_^oxWw^G92#j}law=mI@6e|^$m{nZQTt^{INQ(`zZoZk?(wY* zH5G==O&=awLxH0S&$25dDY+s=w+C~RsYnlfC%BYhEPVa0aq%6_&&e&bNNiUFUM22T zdXUn0c+(}Zk(zY4(?Q#*S6A9pBNyR1G2NsTe&by9dxCNI&N9WypwYC{JO>}SAnDX) zlD|tc2?ICcLeY=uIH#x~PF<>MW0E@Y`Ip(`IL-72^u3?Zu5_`VNHfqbbjVIpKlnHo z$9Fcu5OLg9E4Sx#$<z>ppA<Q*QkJG7nLafScA5(^N?nFs<bqi+6YzR*XI8Gyul%Kk zl=Z3d$neJ<Oo&<&LOy!Z#S)QbxWN-~cjG#kAJEapU0-!c1lxw+(n&;+CP^x?Xv8cH z^Tfu1wIP_@>5@!HO_;stdf9F#E+w*Q?7zKU*8Y`rLcu3)(=bFTkuEAzQ>~Zic4B}3 z1GVe?q%0Z;tg0O~JTU=7dI%rmB0{n85y;~-n12^)(9xd@6tdr8&QTPCfGm^XAZHIc z=o<AZqDM8-KvE|riIf69%tbG7S#@TncgJ-8wt^eR&S}l(nF=C%Ib3BFxism|-JC#$ z?6vEdA3`SlwL6pR*Nlm?i<cv4#^YPGkN1i==%PPnQpJ|r>9DV<t;j)PM!qWu0jTmr zKYAxwDED_?dIanTJ@WnW6(#HOH8m$?D1@rxp4B^KvZh_A)fh6J-|ni_h-RXzoraZB z1Yv+FJf`Hgr7t%&lPbyFPN?BKZh#f0*szWpl7|sDZ150BVLW0Nw|3}hZMTTRS(-QJ z?vsmsS97L-QtEg5Hm6Y0j&>e2gE63JCwcR;Wb%6V^s{-b2#uGzvmXnNCm)DIAv@sy z#^X1g6mGV22jAmBZ20K)rJF4eoF>R#6tF1;WUCmCek*;oI!3$x`FMN8z%@u9&M?W) zBPi;TrxAO@XyBd$Qk-JG^A)#Q@xZ5}>Kpl8sB<6RrV9j0>u^Z>gWxmQx^B7og88h+ zd|2^`=UQK$|40hhp08sSZN|s@xs{I~+RWxYFn(cAF;b6(y9>c(uQLu-Vv-NRj^}E` z7Qz3v>$}8Y7f$^hf&%}#JXx|D{e2G;uF`cJt6NKaTN>}SUy6YHef$|h3fXk!Nm>#x z-E`rBMj3v?lqN+U-!_R6U_iRbn#2?J=@0Vy4kc<Qg!aoB<;lD8B&iFS%6alYwGF<l z)Fef+OPj>32~hgsH0X_34|NuAtxnWum<{@c-}GP5fIKp&@SW9weaL@@4`cY<;Z$}7 znwl%JC=HDB59uMcpG%pEm9L*CF5b%XIk|o&Fi!vfjI)xI-s&M;(mJV$6@m^bdVLj< zqZ-*Ds}pmJl%jc-i++|r?p_Bl&OGa#>pa-EToAVFW!PaZm@FFs&lGny|M>Zp&(sj- zZdIOL9nzK6$FC9{SboSP_QT?Ic4ZTB9C01<9(1&Ss8?P3REb;o$m$$csAY8GRr~a> zuB_O+V(mq<6e;SwbVf02IM=A&K(P=8eB(ly5p<lq*C9^Xs%j~+I`N?0N7@;aV&*tq zvdFfOLvnFCDYp}GSK~U+Zea5dkohZBVgtBNVlA*yabTlt!(7B<Q(0u!h^47{;8R?b zuc=p^+f)_D;ECy-q!g0lT-@K;fadHnhn$D-{sA2(PWuw9P0Ycjv6`fMqlA?(ARQMP z>P^QfK@C~1R8{Md(utoN=Hgk@Bc;C+ngwh$)PpW5gw!O|hYrdKJX*PGWTcc%%s45< z;Q$wX_(hZFjP1EtY@B{JmEAx4PyXFt$5lMC-yX)d=ZEnvrGip*9AKYQaVB?=W6{ZU z`W=bb>|colMqp2ld4Am3BB~@tU{Bw010uklzT<{ifjzDB5aeMz4b@6zzBwE(1b436 zZT^Kc4iDTKQL?LE9J)S2vRI)=5Fg=PG`cO*mr&^N=JQw*j>c_je?&F}IX)wYKHQOE zMC;ZN#MBrc3cB^Ur$j#u4B#mrEF2V1`nhB1VqW!zC;*~Rj<fLhaBeL4Oyez`(f^Ae zy!vA~?Q4#u#XQZP3}TU`J9Frv^t?TfJzKqWPU}}E<E`v#Jk9Naw<vY?9Zr~N|2(l= zd?eVhm+&`9&tF9&qP*pY#N~~wxWa6zMG^ant04zys#rlg9mRFE6&5J0Bw%Ib8dN#h zpWX=y<qi&@N6`MDPx^d(rTF77e{L)QCI8w9u`lBWRAGwjtGFQqjF^3ehhPHZVJ`=D zk-+hSVZje})yS9IFOv#sX4fJ29+@Bw+~25XOaO3y<C^hQE!uXAm5X?#k*LLL#eR|z zb;SxrT=^nz`-08i)eU+2i=Ke0^G7QC(_0(iZ&!VJeJ7mxU{)!(Fu{Mk5ce#7#lw)z zv`h8EYgf}+?eDI-eZF?%!(V*#<{7k&_{7gB;^)`TFz$n{VhH5rf-vUbsBb9xMOj;0 z1%sE>@oBIq7JFT^`Nj2m$;<sURqKaAuZv3OZaF6!P|+u2G~QWJ^QsLvV^c1*j7%>S zzg}s)Sro8vMEvOUG33$0F=h45z}@+e%<oDq&0UXQMQr?|S-<Nv^pECJZ(mBU|8002 zd4qH!!6r_q0iKvVGi;{oX-tgt(p`4;fP~IxUR9PARE;szrE_+HXv{x%vlje9Q4e~= zNv`nPo<4lvtmrf1+6K4X`1K^UoUiv?@>RD%Z+YD+ldr2}fWovgPdk~qY^YWB*h)5$ zqfhrpn(QYvkFPjAN`U4=fzEPD5}#3_&N!EVzu%+=hY|YSkRQV}xraPT9tA=;dR~sC zdM)nW$H0xnstaVwx$*RBNoFMf6*$6<YbgIJ5bEb(*b2%>;@Op2HR*7^F&XghE(1ji z^H67pCmn4b_3B!gDzTkpVhQ>>WM%OmO?1Ot5C3G1U^$Vnz{etIh@o)R$FC2r)0|hL z@0Q-k+AMaa?9DWIIjn$r#PjUDPAr^H9?tOfjpMHz=yq-`{!yIFvf0zXmwWN)f8;Mr zhN3fg?H_O7jMV923hjHD?Y%lj^S$kRDKq()E^*Q)-4~Lg6n0|<#I(|x{X@rm<dWhP zY6gZ+$<2P{wcD-Qm#v>`6%@&-ebvg!yzA@?5i-yrhOTAx<2(2c;Ib6NDY{ST%Cop| zH5#LgO9D1cKKU6*yvNt2c_{c$g0VO(pD$d7LXldRm@_VmtN#TbdGq#NVhyXX7d`8+ zB|aOD=!e{X@uFBo3cg(aSllF^Z!;f-qL>jeXL2T2KMo}4qTm@bZdt_dXpAZ;&GDrj zT>UN(ODN*Ihc2#}8mcGs-dJ{B4N8P2&C!>%w_z|Q5}}eicejGQ+&pW>194*{m4Dt~ z;QvHjizUlLXU}qHUtb;*JYwAk9)5RJkjuSFtetgtRR<!(ZbS@CfCy%w3ql(u`41Nc zz7afVvmh^{3=Dc`B)Jde31@^I@}Xp<tcc>RZ%&68K6)!>x}-|bsRY-EVMBieVihMt zw*t8+j!SwjraO^SZ;p5lyWD*s(+FdTj8h2lrhITtT<H52X^LY#S&HLu@EI;mbR6#P z0U`Gk_KEOrZCT(VE`63|7^@R0lZYdW>j18ob{{m5Mpfb-;QHrClMd?8$RiEsfLFuv zulo!*D$M>+#px)5jzSuweoRMe3{p3%5-Un2E=2+FANPQcvM^J1lP_8&?D>bI(@e6? zd2~++hb&)g`iU-`lRYHfs_-iP><u$L4#EzmdtgSBo?;Q6LGWS#8y7xzp!y<{(SMym z*Y0)(u0x~Szt-C`?DLxINA!`ySmRTzJZKVBwLJ3$5$~vK&sA2x7x>b^i@ZB8PCqyf z7-Mfz8>`16$o#*4F}zD=NapW&X=qBu`wJo_S%gSJP>WK#&JWO`ly)D^55Z6*yAR|D zG$>xruZ3y$FI1B3LxyEd6JWhLh$L}pQBOei5I`w;KA0aO{U6Cm9>v?h->iSk+r0Cj z1vQP4o_vhrw}3Zb!SqlRV6sIB&SZeehH<{-E&(w4;G4i*$|&7k`2>5OpiPb73`7zY zwP?|!`GGqqr6Rx}VL_1;J(ME|qj-z>n!7jEo#*twP*l+LF5eu-668>fg8(O`#&c)^ zob(2sNDJVkSMl_yta+tlf##ioBe24cgA}c+l`}b>G7hi`6A`o|EWaiIFNTOZ!R?`( z8bi_-Z3#&C{tJ~_JalsitKo=ZJeS-pyN%}vhwqjP;)%k+{Qf$g-Xd$B<e0CSjK(5E zo;Ws#TE79%!S3K;xquEP{69Jv9M8=J=wRGQN*c6~h)pM=THYIIP)tP><9AR@8a&5C zP)sH~(L+#7QapWC);w?Ir&1XE9kkYV;?*iCF*p)|9R44DCke38BAzc_Ic28tD@F9m ztDtRmb*T*{eyx7}@u{?mcy5a7s#3cn@BI}K46KQ6dqoPP45MdV2H+2jj&<c?>3%<@ z`XteiN>EAD-SN#w6ghJ0H8^)Q`y!rcyslbQ5tmHApPD*5uMBP?updTRO)~rQEF<t* zIID_54S`#Ykp{B8dIiJri(%V^6GQY1!s<+mIUh76+eO@ycTx(A29xNGJ>z)2NWS|k zBpBEd!}iKejPeqMb@@7m+kt^~#a!Nr6W~ufEPq_Ph?Nk1T=!S+U?|7QgATLr(HfJV z9HKv7NhiO4`21dR%Onw*n=V;H_12#)%44yp^m|F&@gj@d(*s^=N{c+xLxyT3i(E2< zNI>+`Cu4WM3rcW%+|cqx_4hmRdSe3j5lk4^L&!FQ6r)_l!0IG|;jW_l4*^>yf4>!T z60az1A6t?x2oZtD-a{V7cQI?%Bs9l^ZN=PTCgM@dnr`tK!`D4rg1mxUXW!PVU#03% z&i=FRN1|}1)_FG_pQ3+UVTXaPhnpC6CMYN9O7kya0nZ88i2~p`J(?dPLy<%RI}t?j zMk?PA|2SKTt4;hGx*5?C7$#F!_wK&s{iuW|q^XyYMGjo-24=B8N#9>K{6fwm!SZdb zjH%Yg#ukOcrMu3ro*Mjn@LucF#jw#$y-9EuTpfw(INW_J#pjPH5mvFdp|0fd@#2$l zZhP(BYiVpU(Z5{QG0)U$HZo_J)OxcTUeIlam3#hs0HrQa`805JPZKshx{evKtH~Ql z&l$KU@!u7n*S$pU#ZHmFFa2u}R`ZH(yY)dU@}300s8D=3UZ_yzlVRL<<KS+^`}tHS z_rq#LpxeDiF6QuQvj48o4J)L)zuc_)^HH=FN1aG~*SSua8~1eKFeN3%TXN*Paog~V z-&tM?rW}^AX$G?7&gw3G!zfox`-=@?sjto(^tjhwS8J>tzK!m~M~Ce4`hp}+Kkr?0 zu(vD@s_T0{JH2&@J=NJer5*iZY5(}K$c{(z*D|S8xk$d#h_kAZNH)i+@8z{q>#jL* zL>7V{or54GjYf#)Gh1I`KycGJNEu~gA7f%McfHrMNj`2#+r8Q~pm;Wc6P>E?<L>!6 zV^P0q{S+HZy_p=)VWfdDF&hXIcY!eR!+@Efj>kbPc6ZQ!6o}WG^vw;Uu4`3kKN9P@ zZ@&78DBM7)g!A2BVH2}W+k=7FMb29B+t)v#>b4i2?Muz5Or6e-%2I6>?#{$6Iyy>H zW?LS1{eX0(-6r#oKA$lyYgV;9YAfdH$_+VIJalZ{E2`ZzZ4?dr8cbn7ln@A&oeh~y zcv7LpdAJo5Mz1M6e60A`L6qKcS#J0kD^&d8O+}`G(R$X{25;>YqqiDaX@k*n`HXHP zRvvk3QJoh80<*0kFzXrw6jS!MQw-OiJ)JroMc>aH?u^W2c~3jWpFh5}W9dum*kDwq zz5QKW9$5m^H+evP;|0_=R`xM(WUI3bRO&}xM2ie3MrPhY>q*_A7t9xUVxUw&Tc9f- z7?{c8`*Dn$C;x%Xg4he6SFvR8*>1z`ISjmL)8CXgs*utNOR~$n^T~+bezf*JWm0ms zVE&X>-p8OK-E~NYvDBm5kJ?3|y|J9hFIkUo`D2fCC@B{u#NXIp;`HMw?fCAm5@RSg zD1!Xb?$JJ?IPpV$yi!eZ-4B4%f>T8Li~7(U8Y8;8+qdKO*n!gn^zI~pOb1m~hKimv z=YG86L4Lit;3IiGO(n5KN1^xA$vAHNScnzC-Tl=VnE>w2jp1MdxVtij=sUpOWij** zD@lU=1aJCYkZDaa5~8i*?<H-;ix}`v4^XHnu?tQQnW&MlbISl<$jfd()-YGF6{FP4 zYGk=gu`77rnG-`9K_1kc1@`O%Fia{~rXCaku*QA#B0fM){KP@NKdCB*cmbTxhk@_{ zkp78W(5ow!Q`UTh9GMi~JJ)t@+UZoTh8OGVkHc*-ai8NU7rF1R!Z4Jl<UxL=_h@N> zi+uh+7m5BzZahh(Z>I;Hu|5^X5GU)6)7aD!oYfd}>9(DPF&w${+b(x7L>6?c&iokp zohp=DFK#{1O@5~dxarq>tZMwTkUDJIJ?IX_2{!f~CmY4gDIbPg7AOYv0ZqVil4z%) z`<8v|hpx}$IrBy}I2yGe2<RwaYEggz-p`??zRNFzdlT5t(Nsk;YaJ5Z_4I7WlRO8I z6L6Ah_SAyYcyhI<6h4`LAvN_B!1_i9_Jd-Oq`meADHD7^O5++_{P8fco$;d#y4#bI zV13%xuYza<s*G|P8PNC7$u(GlBmr~j4z>Om;Q9hm-FN8*OASC_2JFIG1qvECa)mrA zq{<}^ZBRFRClpevF1V6Jt#1IjVMRP_5Ol+0c;!LB%9g=%Yk_W<FUcN3nPgwRMqiP3 zCLsfu(J2Td29OqkuHo(fbPcg!41kcsT>)Z+TE5`o{JBi|z{d`N!u%M;XaOk9K=o)L z+3?5-MIz=RM=(Y4ig7nbptYRmbi?7b>W{WG0V(`}6@mzV{^Zd-UJ(^F<|^0Ejye%Y zMD$YeH2*;xInVtL7XT&QWue|Fro&TCy<;I6<GOR3V4xOM$k14ykz?fI>UF&-d#O?! ze-heOesQyq_`~eVR@(i;x@W9*hI6LoCh3A(HgzI_;_2^gG@8Wc-rG3arE5PFhtIYu z8q7i3*DbnoJH%-xTfZ&%tC;BHFN+(`L~MUw-{X5cyjI7$=-R<?3dw<Dn=W9rJ^H*H z93s(>IV*Fs{lM*o`Fme)h6HD;P>U6KpR`){+5*m+t%abU^h9D&eZwS_n_iD&?nxY* z!U(4yqu+)R+WeeLt$wqt`+@BGNr#chHY8-E^=9qnn(>2aIdlk`E%rAPZ*#x%4JQBc z_C(7ts$=EDX9GHRZOll0g^|*MT!S8=E;ln`sHa|8Shc3G4F9CM(Ts&9CYnd-o9`)U zgHce75cTUP3h!4Sg%^@L9zn!Wz+;8fx$|&5WYa4(a|eR!lLhy*H0B*doA9k74R5qp zRS$=fu4X4<*5#4NIZl!dy_W~h58#kEaV-S-?QnFI?)Cg0`ZG^Bq*P36#pQATHv`2n z<@xOXh{DX!M`n~hX2R+O{O)_205pZtn5-a2#x2=tIs3=hTi16E<*mJFwna}wGL&4{ zqd7ZC74$e8MK(@C^T))lar9Yt;T7Fot_N4!Oj@OH)aJ^_&Q2qI&Qnr@x>m;(rg|Jg zE(AxeDVxF$xx-2a?dJYHsh&{7C>&rvd4jY35yz;i{af3gfrr$!3I}KwqqMm-!=n;m zU;Bxj`}%=oB?he;A<Omm_5E+kiAN3Ek-af!mz;~05Q2spbrPgslV~o+8fR5XGuj{d z?0?WT@B@4S*Px<^?~tO#fh>)e-+Uug<U+@g{pA|Z+!@2mUnjHm&NValegVfCIJUsC z4~`RX`~e5iE9PFZ1x*F*RqlQEUJ~!`Ia`LlSpz!9?aat0OlxH?2SPVp;L72)1~Cpy z3}w{=8k=twv{#5gV$FZfaANH<$w0qSD%#VI1HU|~-qVfiv)$3K<A2eXzkBoETMUkB zDh)3gM1HS-ccPc$pY&N9BKWZ<LbLrb(0LfOwF+IO@#FTB%%EvW%wy`(r5!Wd=;-$! z;kQ5=LXZMKZjTp1iT`vwpD%PXoqJ|5TRSE@i!fZBzT?37vS1dngs#QQ<6al+>sG9> zk8fV>P_|cOC=CwbN&Wu2eI6Z}C#e1UtFvX@7SG)A``RwerY&3Y^ujke2OV1qW`<C| zsrKsRje<A4Gl7`G|MwjAXpfd3>h2;~jZrQaM2Qsd-2{|9O3yF(e*%tN+iZJ6_e!?x zCR$~azi7)}m=CG&%3t1j<Ovsru2(NDq8R5Nl-TeY^^PkBnLv$t;S=g#>R)xX_Qz__ zx)->Q&AO<2eDa<N`m}iY*te$DGilZ(YNw5lH1*T7t~#TyLHl+;oQe%87Mq2lRF>L= zi}{0@R8khK+Z`^e8J;Cw^+xNgb|u|t$|3b7a+SX2xYY`_UrE_vDezCC&lXKlwApP$ zKdNJ^U%T~t#&o>Rw6~A%{=PWH%%72B<k#=#VH>EIB9H4H*Y8L+9oM#eu<jjAn({TY z*K7k+qR>JJ+Q|&U;H8IvnB}yd<a~9KGCPc1cB(U^Fc6Iz8NE+alA~<#P`;PBMLr2? zQGGDDZP(K(PaD<v<9K3xoA|iBi_Q=L<2ZzVo~}Xr&8j>TDDCH>e*XHY^7q>}nt)!j z9*kNFW`1{g2N8J#>0=>Co!dBi<KcXlfkcz{=ep_9dWAL-`>~c(ciPvUBSYnrkB+Gs zmzxcdq0VOXB~m$;2mj(Gr|27B6}zhMDcq(J$ay&750mU`Y0>p1+ov_-K8U4CO1Be= zT*VDMf+<F>;IwI%7OyCEl20TjllQu(>xjJ|+x*->3nh-~e7-q^REvh$$@r~c@~JpU zI><lbG!tX(G?+CaG!WcMcfV@Jb<#1bdH6S@{b}`uPMfoju8a!N9_FU~Bj48>=b%z7 zX}(FVq=xO6MDe4f8zfhC{7NlQq9T2MzU~UQiJ{_Jt4{}nioQB<*v9P^CBJ`r2Phf5 zCHmy}_m;__#0i}rLu8?p`<=drX;id6fT4m)zVZ?<Q~)|50m@p0A=^~=a}65)_AQbi z4ZBg|zp_dJ<=_awR9}Y?D}Li4kid8r{|nI;aJ<;xjj$tMti07Jr`eg1mHkIfH7sT= zJ3&q>EWZ5nsE^+JpCP|)qON%Zu~aewIg=F891{@otB#=b_3_o<oTUkU)+9t_J6Wa{ zVsmA6e0b);lPaGuGI4-C`F3;^3)7K}8uDSsG$8th6<C6XJiPE7o`>N#+N11BfWZyt zsw5sok-x+bIdnZ`c<x()Nc9dtlNv#IA7F3hAiO`xMQ@SK5G8!f_@#u8ShPJ4NzXv` z(~wVcTmuqdSRpy20ee`eBf)L>&7~@dcudkHaZBKt<oiTz=S~xyjow-T2#Dt;kqDU1 z`|v>j7<@y#Op4rvo5Xw(pllv>>%mFIbyz*7>rIqQOdlYnz`o<+1`q`9>5l=!(7b0p z9&aAMi@x1k+rlS4X`pFtKKS;7X`KOi?9IyKj2kqwfUPZ`oMGhwr}PjT9QZXEk*K?d zC>#KY1DvSM${_JQ69d9*>t<Jc#{37iMzVD+hkTAgHZd*d-T|S8*UV54@j*Om@?rCW zbKq<<b8(cI)+6qvJCvV8H#Wn<?z}WG9vcmHg|!_WZXu|k%CZ6UP7F|PS$}$jx_qXQ zy>zNInf_G9x0L?OmfD7zi>?K*-!Q!u=+3CbwIph$lyW1MQ2~{w@%m-JPOVYbK4dSo zz=mjO%wWEW=DdCd2&5Txx7;u`fr0uDkjTZjP$$R%{TJ_6C@^()b|4#4S%>@)n)_38 zyq?GjmuDV8_HQZ)Pdu-kbMd$VCFbpX&fF|GQ&T!~Tb|jdbBV_k2G90Ka&IwgL^mNU z-Kp#$skbiEId<YEwFzoYPBz~-|F%?UoSq2;O2$ydepmY5n*pWCwMyxC2DSJAPDv#` zI6$0yDEZYna_lwwN`SZkfjv1%vM?k~gF!`O{N8IZEvKphoiQvka*JsVtf>N^SGaPO zl|jrf6N7W+fCl=Vf;L9wzoZNxsp88qO%<5p@j6bM6GnXeokv3gKz)Yo?UL%LK&=$f zZB`|z{-u>URsoP7KRe&>{vj*vcgm_n{{={h2EC<E3G;8mfaNtm=4+B}@J&TPEm?l~ zBEf?BEHu7JD|AqnG+*wU#@;;g`kf^&gy*DT>)|6`idjW|CvEaUi3KNlROn9((z`A+ zrsJrRB(}8H1<J;=sUpklR(aPcIVTO@8*3z+GMJoRXdEj?X*}Tx&tn3Y{_u7onW@!E zUkIx_&9trUUz}8*k=k~m#1m)f-h>2@o1+cE?g8=P03=Cgi6=z^zOQ?{7TPA<$iCwD zhbJx$#YTteOmb+Qk)h}=C$(oA5#c?<(uZss@<Apr$=RNFVSCHjo!#%LVzcaYikANm zV@>k|+b%<u(|qZdC869je)KC&Tg9<J{e$|ISn`b)0G;f8rBuDxbv1+p6!r@svbO;k z0uWh20EPfWRskTg69B#)dy_g_A^5AYMF3j9DRk^xl6&fxc68;DFs&czh`d_g5iW7W zS{&U*Cvs4A{NWx;&;c3|t6f2pG#4+@!!tdguLhKV(?bDjB#OK;1T!^WMO|dZpY@J} z+O*N?%DIO&Bj2VbqfC{J^iNF{OLO-MdLkkb>^)LD<9@Mu-wiT1XgGYi0d^H7K9+P# z;&^>o&5<5<thu7*2!$P2f{&Z9<7-l<$#=L9pAJ?}O}YRUpMHAB^U!xl90|;BaSeyJ zhea-~@br7Z)D~BHaE1%Kl;iH{n=OHHvlUa5K{i>*j{<Lmhw62v3ucpr8iXZkXKRPL z!IJ%xe|tns-e2D4SQ~sVvHmCLNo^Z7)v@^B1~1WEz|h8Vf!PnxW>R64N$FT$<bR|y zjMv&MrR2@aJ>R{h04vSqDEO&!I_P=PJ{Mj+GGzAxx(-niw%p8E{VnrrphM=jqj#~t z%$+5Tf7aD;#)Ego$Yaw7wO$Fa?*jVnO)!}L;(VhG(03b|))2|S2YJGYWUUPnQ-Kd6 z*=J9py`U@J{{MNb$H|A-5$DK;7W5TSF#&>qauPNrBn=nwB=JMIrI^;T)XT8}1(;$F zz{=TR#Jd2`@`my3>b18Ypw}}8$n6XYaW1)3KmfPo%=f`M1@|ei{HM>=BKRxpyFPj# z5RNq)jLZTK#}wup0~}5!0?7az&IfFQ3vf6izA?PO;Q%d|`c|m_xZ^J~7&hHMsW)5H zPAm#vk+V_KX9r&2amzcE=sQEmerp)thwL{4rjX7JiE80FXt943qwriFJZklVFXYR7 zaMCIp(a@Ckx6(d#{p5?DAQ^uDarv9<D{9NWTgm#mE6bGw<ULsCqz$I>gE412#a3oo zW3j;@mt+dC?wFcl3!0oZbVG-IHDQxw`^~^+amIkoVk<LJfoW~EZ6LJ66|U@UV-Ul} z#IV{mpwTf12D4oMIT}lGtz}A3Ped@q-3{E3GN>njfpUO)GWyTa*aN|+zPlM3!vw@P zYQW7o!6|=+<mbz^hoFbB{fqbahW9zD?!hGU&3WMo2;?Ua)E&Sk<O8wXTi=+i|7kL7 zK?N~P@Qqv(03J5hA9=Wi$t+DA^y8M46+dE_V1``{_Tz4r{!+83(Guq$`y*T56KD@T z&I!Mh+(t3k?~FBUC$+x`mc4h+C{2|8AtigS^ER<;_7m?{Ig||&QPA!b`B2OA)&eQ9 zgSO)-*+wg$1D*Hb5!yA$5`aBg3IqU2AFpr%0YL0W@_qeiI*iWG;@*iKdE{+khpeMR zhIUKglKrhbfpI0upo6FWu^W|NQAWN>E${0~q2X?TP>Z5MPS|yT{v#p7?u_}ZX3UCR zJoBJtJf@OUKnMyk1_q}4guGFA{4fsmDFh_$F3he4IGHO@<$_M~j|9!ce|8$1+7TKO zvu^2|`Mna2y2iUvZicWbAg+{JR~9hgaN=3#769Cfp0JILHlJP!#!c7nr5E45o*rIR zN&rgO^v^D0@VI-(t@tjqR!u@}{5e|9EoL|#h1PJ3PpXylH(nfMq21O*NWXe(pbwsG z@cEIS4Qui0?-rSnZ=Kp0n%Tk=Fv*Y)5Eu?1)9<9S!XXLHup6^%w3$@60r~$?O8$eu zzw;1800b_>!McGY*s!9E^hMna<;BG})SOxV$0>=YqD=;zlEmaIRDe?wlT7~8Jzb~) zqf|CEsra8m3UD`sSxlL1A{f_|ElfF_uB~(DnsFfVJH<xCzi%-6&=T%DwH>mc*@Jwa zBVtK_`?QW18RMHC@B{HE-v2Kig#hs=J!FQy{vR`_7;u(_VQ&t!`rq9DeZfW)A)Gg_ z-ih10F<#wl->q;lns#ZMHaD#>Z~f2IX=slodiv1uhNHZjZVwal^HA}LqR_^V*`Ytm zqE}lJo+QmFp9?sUZDxhuEDKo;ig#FlpEK{!6vVTYA1eNl_t0i_wCQM$vXtSaeWYH` zuLJnu!cYQX=gL0AOZ6W8p0tBtOX~o}ww&AAU)Oy`l6MOK1g*9b>Ioi5mZUX%1LK}w zlH%Z!X>f@d<fXcSQBRs=ZBK~3r}$h`TvE~;F$3f!|Dd3QXo`MMFkJR<0gonlaF#Q? zBuUZhp@B;s%HtA`zK747HYt(e8D3sP@jK{K8}?W*n>rMyzh9Ri@f3+>ad!DVO3tPi zbc@g@kGd?yo`$~qHh3<UYFltJ58v6F_|nVVT%dWyx0BDh;oOZgxdLu&rc{4!Y3k9~ zI%@+?DLVgsp;>f%Ai1_e=5IDAKeRHw9~81o9D3+~u=n!pd}r|Ta(%<G&-LTpcyz%} z{XAG-@b4eCq`}8L_7_y=??d{g75v8)wkO70mI4o6bBbRaSFgQ1mQQBtDw!NKzGK^Z z_}8OQHjOPqmV%R4VBL)HATTx3$f!M0c#{Lpue9*MU(7hrKhko-taL1dwU=jbQo)%$ zibnwBL#Q}TMyFutvwm*I%U(<8t@<`hk<UKlQq2Apt~4X~(|%ey>Q%h<`EP^HNYiI2 zuWh~+&6X{9F!5^}lg@p9v-Ddrr6Q{Lr>5J&oPwiP%8DVuYDp`F(*>7uEBKpou%~WN zB4^}}=|*!Wm1?v3$?}t*l3!zYg777oe-do{FWvO_I_MmtT)rHXF`@J^ZVhY>vp8Q( zs?iySx0*9Ms5!pdc6p2b>i$FT58^&2zfQBCrkSw1-NV=hk}lB<sB}f{|M{U{!@Tzx z(OHdLd&5LQt2QC@i-WXmiOUUPQGer&7H#-0$_-(CkajDjv{Ex=-H0H!r)7ZaP1Nn= zgtO4{2y7q-Hx!?tGlx`fo3V}h7qhDrC{76eEFYdqY*tKW9{QQ68%hrsmf#gw3nF~$ zNkTtur#Cw?5*%t)6C6qx*gqM*a63{h6+=`$QT`igk(@GP^Q~c`%&CTnUmw5Y#c8Ol zm4dOo=MlA}w8H^ManQTmVSi%u$IbuSgP)T3j62eWJ>@Xi%995H(ev}3kFyqiP5noO zhi^W@eO~z22o}ZIP@iCyfw>_%YLM%up(ZCU{51XXw}!>%_)mj;&)%l0y7)@EwfM+> zp|+JFO|`|iQM0zUO72onezCFo^>}5zS`+v09{3N5mmxz|c(sSY5R^Q+8rA&NMl1Nj zdiT-7yN_?%o?GfSmk%7ynC_xuZa4XQO23?YXUi<uiHIC>{1Ut>KK2nOX-1`@GKquR zCdc2gsTiB#aVcTC^RrdR>V@WTLRx9TZ&NFQccf3a{>&fF?H9+dWHIG7o!?LMw&4q$ z<EczwVo_>+w^gaB>Ladq{_YV0ZX$b7Zs{ma{kH{sJcondO^%PXsi2<Zalta(0lSsq zi<$WG540cx!=$=Yc<?|Uaj(p%hG625V2_Mf+Q})sHs9E%%a)%<R%B%|9yRLbi;ZWu z9T=4epOj}aJ;|QL`B^8&i`rD!&G4)hFx{DJ6_Q&xG#fA07;a80E&OZxz#xNV*hUUE zp8Y#hgUT`6ZN)9Cv{>3)x&7J{pG5xBA+1v6_nD61S8I<RgZeUiYGV{~QTOwajl^Lo z1q=&cELLGUdfcHPn)VXa9YEwm9kNjv)_c0@QU3ks+@eb0P=VB^W%1p;v#w~tl~GFZ zNNWsILbT<;70Dz_*78oSM)L!=I3<mTiGRh~TuR>!uni{5A^wX=n1IUS%_*F}9n}zp zIK-w^$9;-tt%B)Jb&HT(qvkM6KK&45KE29fan7S7{1;Dgv49p|@HP-7Ou|1~-+{OB zK~0>J$=&3Y)k8i+z2|a5H@^*Y?qh^?71E`Xi9)nR$GTQ?P(<9{!ovism7Lis#Vh>z z0g1|D;}j0-Ks8D#&d%1VGhd-O@=#o=%`M}nY4TG`o9v!kmf?9A+u6!qDif;R#%jrZ zB~(I1;Zef3YYO}lpJooJ_DaKUEk@BzADG-5tu8mj+q-CYdr0oept?!kO3utr>@l%_ z{a5XkX&bJyw<#Q^CNXRlu3s{teN^-Y)L!4;w5a@|zQ2=a*L(jJ%YS?}8$mBl4VvA- zd|tAAUoul$@Pgvmm$UpGKQA#$-a$WcXGoK_!ANT2a0d(gPCHN4axmd+<h;Wm%@u2u z##v^$o%I_YZcebW&`xnNB=9w)qO*hOvznEi{be-_-VP=Te`?5DV=l{D)7}w3e=tMK zvYEwCJv07jq|->rG~u1xOU)10#3`HHOpc^_mVQ;vc+tDea}<CtH^CPcc<vYawRwhz z4b3JYfBW<PI*C6yu-{r*BWC+0?D^>>b}a@+LB?owC-7nvRyTNp`_U8djP1L=w>4L% z%StELwN&J1O&eyWgtBJeZqWR0xv$h$9h7Y&Kd)!9S?G9M3wOGh1M806*^Rv`!{lw5 zAkIqF?x8lpEIJF^o7n+>7So#t@^cr8vKmw_JcE+5&SGmkgK%YMh=7K{2qAG8eFJ_c zi?_;2kC1IJGkoY;?!Bsw1S_+nIpDc0^lNAqIK^uo0oP!{gRvxZQ766GM<zJGV32?0 zRzu;L$;y2Kv(Zl0Z+}}VM{kb|xLR^ihRuzX$+8E5p}jxKaruexqU(l#YJ6eN)Em5m z{@l*&(D7TRgrJ*Ix(K{0Qrz-Ju${TwN&!xIF78QVvqy-!Ki+Uyyqzy(k;cck{AXV| za>YT2wfNFroZ7Yp>q#Y1w|CL5?>*1m>013Q&3=WfeSab7!c`~1E%4tT98>CtzKH*l ze|c@lfDB*TRUP6q`D=6?dWxL(*S$C7s>;wrUf>_(Xm;_#)`!g~p~oh<2bT77mdEF6 z5B=KvhYk||4_#j#2xa&7|LrNo43(Hcwj#@5>@k+okS)8h4$%<C+90CB(_|2Xhh!ZY zOZL67MLZ2g))XP6##)wALI}U3=iT4;*B@f$o^zjbo$LBspKCdDSJo4Z0@_!?OuD@Y zT4%hN$1Wp(U89n|vevC;XTlK<w3lP0x&tamNWHg3t&V#~fWFGA&bTR(y_vRJR}ODY z0Y+8@N9=&>fNC59w(DgPVBp^2Z>zF8GloO5>(6GK`eOJv!1+7*Sn`5qO3TNZSuyXd z51qG(1S|7)1vIjYC5UoT8HesV!MSUSfd~IO`Pu_pH6pY46HR^9`1-7m-<Ol)suHO& zGiAqdo`3TT(rdxQwYD-m*S5UBEIcX7`r>)NL4GNPJL(<QZXVA}FVm%KA}!~=lWH!- zQAk*B8L&g&5RW*uesq(69>+b<eE9Wbsu#1!9E(czPO8?9OL>I7Uj9bJqYlpEO<S#s z?~8G5?u+?)a->_yI-&ycDn(xRdrC>Nhw(#Ak7u(iN&pH&OFzRZ;uucE)3>2spGAxF ztEg<dM@&9Pl$Pk=Wj-8dm2G-`))?wE%lN+X_s5^$=b3KgeX(2YY$5rF`NnU4i+2|1 zAGuj%;>uD&Vq$sqZf>i*|C&d7$mtq#Q;**$@##1!6*%Rcq49#dG3n$)&WA(i1AJND zixd{@@MQ?}8f5?Rq5H?6#M*P?S1~m|VtMty#u$F$Qb2@>isw6b%>GDBCm!O&D4I)j zDO{20+El&&qx){Ok{$5M<nW6ahF6k;X&mJnmfLtR@45@G;m+mT2ETve@#7M2+JW_G zy2ywE>rw2pa}8bZZXMW7@sz1bP#3LczVW<=OoIhGTA-MvK$kPU;6tq~x330h875B# zU;?@-3jHgl*V%XK;ZYj7_#B)!b72;1_a&Og`c<{CfnSJZ(_GSQLKpLx>d{oEox`uw zaatS>%I;&Yv|sNHow-+R`xiDOm&9}%Yy*b8c3+|n$G#@QP*imh2ow>=1$Pwm#io-p zI3FsBx<Cr`jk{?d`^)0z9sz`d6#|5_z+LIE69))q*>TR8oSwqQ`4C`007KXK#aIl2 zFaP0;k^B3OL`c3UgJ2HiQ36L8(O%k>>kfzjFX3fTdj?>e1@lq|??!ZCnAI4MLez6k z*LPeB3GdNz&bB?x+$zgfW3@Ust$1@3E{l$Ec%{7Q9Y6OLnar<Z<oE7eldEgU9blJ7 zk?c!4uRr}7^~Bkgk=#r|EP@u=umSB--AHM%Tji`;jjy-l#!toQEwst_yxueOkGvY1 zzZMbp%rnniu1a?Dlx6!LXyh78P#>2vu9AuftXJ;*(Au(H5Nht@?39g6sItMhvj9`> z0~R4)ClBD{$NUeK5Ga40ybs_8PS7rO<f##_q*}dyIM|!KYfs=}(%1E5hJbe~K#tO@ zjiJ4kNC6|7fn7N%C(fH$gu>4Lc$-H}go{0Q(-XkB9o9-%e?x!u3m9^t!DB`H?_|T| z1)rprYfopzvN8`0c~Hk(=N20I{z%YGm@;!{{;$HjlatC`%Bi+mK7OsUdeN&TO*(jI zr!cvMD)q=)hi=?ty#Z*!-^29g16r_J-?ZsNaHdfn(dDl|dkv5RqBH~EO5GlLq+*m; zlG5ck;tOmFyLy@8bg$*Rzi+V~u9F8&@QpK6UkiC^+bih}U_Mh|)^GBtMR2htH@&-R zviw%PW!Rt3v>$h;eNEn(z8c{C%;*dBR(IGt*(SO1tY9pEn|hs|dAk=HS#~6-oLd=3 zq9S@<sRXfteRO*vrm7zKpg+uE=lf#vf%PuBbR*-=-I8Gk)@wibd3t{(jnQDcaO>F+ ztO96jdJzfdSLF8UgDw;!!XT7k-t9NnOp<xK;$sXOg%l8@BH}Y%9kY&rDfe<>0!lFb z<2aeXn~=K_ffhM^n~FRljDU+S0t+JIOrMSd%7b+B5a+`{DHn*Tp0Qz;X@YCLX~NeV z*mYpRf!aW0Q-KA$0}IxPqkIMyJd}6c>%exmLw^6XJFsAVpxozNWTF8pkUX&9AbP6Y zP6^g3+ilbIy{(4IO%Qxo<GPAWF`t7es}{_Z6WQX4=PNzNzKU(0Pnu0_NY<E&MBw|X zoxO1(uviBB&J#Fz>Zsl0-&UMs=occ@H22`&t@vAZ=}#=M;1liec)pGM=E{uNo!@rc zSVUs_tsXe!XboT8Uw-V-V5#yh0>^+MM|2b{gIMc1=fkHGE|6eg$d#te3WAIPeTky& z(!`4y!m!}WWVbsVsCr#v`bdo7yHg5$S)$?|m=10qa(Xg{x>~}7x>~rEU6t9qKB;T_ zI$H&{fHEjD(+F4V0@Scnw>xR|_Jlr3AD}94Ca`IA2k#es@|+Whc!IZ&U-B&-*iWEG zO+Xy{5X+4v1Ud%^Q+aEAugd@ZmNN)DtOI&s-GXWD6GNYV$$8=$d1Lh!BGAzGP^8QP zJ+4$^|7kM+fPtSHvT4Q&5R-uj$HA=zxvF{+5?KGNxw87cVRmcuw;L-ToCGI49~7WD zT#wX|;lm171|yS*h2&4lhNXDXzSsSsp3Y8!z%|=oqF7pXo5dY6ol>0Jw!SPx{IXg} z)F<Nz9d<|0OYDzsJmeUr%U^;zU%)d%3U%oiq~&9L(l@&}ihlpQ(zN$?51nnQ5+-uJ zZ6PgTc`H3}pPySp^yQGJZUZ~fi6ei(&fXl#qn5$NasUc!y4?+@?iZ7o_u;9VSk#nf z(yC2diYoSc%ZSLrBRGp(on+`)rRhcq{-3XRi{asK@<};scxI6%_ME`5$lRZBzMZ<4 z?}~I^I3cfWyd<BSe8iCq5BA25Jt4Uj!4m|r=X^#*+_K<&4s|b^N_AhrkXK}T$pw>1 z9F|(wy_wN~sq@eXlu;2T1&;8jdx^@|9q>d#Og$|EEZxt|)cgFk#dL(~l)+QEu&9LI zR|8FAp)X9jjr@GJ7TdyiwQfyo-TeGL@oU@8JkQ#$na?84!Qpc$1vR3%JQ{a=NPVSI zx!A3gnt&jR8^e{|EQ>B=#f})!xHMKutQsxce3ofNZ$4T-Qa}qhTl38vo+?&k`1+Od z?rk;o@bW9P=Ed?lTkDdm%0(EqwwyE4<^3j!omvxezK!tK)Hn26ZD>~j@>Hs4Qms`S zSqwWX<2MlYT<N1kV*h6Kd{j{OMyGlR)TmBg<~6<64%#JxJOu|>d?}8+g`Mpi%DYwx z7vpi$lks*t?EKVmkZu-1TOATc3V75R073M4A{9+NlLA4gp@O`E1z7BT9b88lq!S#W zy$VPHeQ;TxZVwKrcpO}29!ETkO<7LkGqgkU|IBpDfk!<D!7iRTR-sFmMOp@XCDj19 zIE3Z?F`8G9(`V2l_3rOcnXo$fAFt`J!f303Ad51o57pZIAAfp2zCSAB`o!m>cWPq4 zGzSNB;Ue~DQzAV4izo<nNG(Pzy<&3qBaSJ<Ww;wzwig#Wt5u0uC+K2`7@lr~#yBZg zC7$1i&pCFsKH{EVASPq|m2;cS)5k3Rrzd*M#8fqtCKV-K+POM}*3Ys%J!h7I2xYK$ z2NoV{vCuK`eujx}gJh2XD9>OFI6^TrdE+lXU<&|e^8rIq{D+}vx}1vAHSQUV>E=D9 zpmrbdd-IMI_Tlat<2NREIaa~bDb}7Uw8ZiDPQ>_wWJTmC=fm$(E{aZi#shINh!Btv zdX=eiXCmG;&on{q*kiyTZhw4m(Vl)J3~I>$JRk6+8o={k1D+4?^=RjeeDVfItbVTN z2WkkfLew!%SCBVJxPxPyo*02<&HEMB_=XjEiaulf8?ZQ!m}*tOPT0*Vt&T9!*e$pm zzmy$!HktpZkslx63fGE0JjAcwUU2yn9<`T?Uv~U4L?5(;8s&h{Cp&H`ng6z--)BTq zzCJ)0e_!-a-@x6e`Y-T$aOF)7UDxuT+?qP;1C**uv{jhFZ>YT^%BYrbk!coX)JVIW z3f433Nr>ql6H-u{5GlOo-I3z+y1Pc;t;w(AYBd-3ED=Vnf{Oq@@ICGw1(SelE&<<z zbb(ar8F$071-dv7xKbK$Cx$sP%xX9y`kz1@ko^r16v+MNN-iC|vXOu*p@bEp0zo>5 zUm-yW-FB5{u?VI@u^bDq#OY_z*(xAKlutkEdQOjK@B4Ribe(IU9o=<xo!GuSSW<Vx zL3orNp?wg~Sb(^JRxNP+ApALD=!XJ)@z2?WUBDOB_qWgsA6k8%tNH+5wHcZBdh6@O zQDuwbhKhyr6~|Qfr0|v0@I}$$oS&?VMHh(MhGs)9vkPsI@o`GphVK&J^iMBz=<UDx z6~f1cf8^ctacE2AbuQ8;R}HD2fAW2;ew`o5UR77!@`nD+GA@z_Tc9~AGLr#UGgCe9 zRyLK6XFiyX>U#0OYf5{6zNqHx?@Y~G`fL5T$SG{Wk2fOuMR2tXG|5kux`qw&3#IT> zeMQl5>Q|{(vt3(e&q#Cm@C1i#tMI=@>51R4KhHWrwdmf=V<E_2KV_AsLnPaa^=^e0 zdJ#tH0T$3M7UZb`@1y|JxZi2U*LfdlaIqqg|B323`rs>)w)&g|Z*3T)Z^E%Zr?jCT z9PrFLP~_bkxw<d7kXM8N(6T3SynzdO;zB^4>>fN}2YYUENMx=O&UcIUvZ+G%g(>n% z@JsS__Ee5qcs+paLXuk<JOTOrY2Z;qCwcSl$%jmqN(zBgDrZa%-+jJV-4r@j#UrS~ zwkQD}QrVqAWm{q~u^(;u@p`P@iU0C&v7$=Qw#BETRi)(f(?3gl>;zZ!9@Uh34vhgx zSCM(!yJKo-y5#)xE~iXEME-5QYZ?r$0JgiXTHrO^-!3jv2U`GK%gmo}wVkX$=NrZ} z!jmnbkx4?+(2e9<t8i0K=GPebUKF1BH5$Gb4E~FP^L5c)ssZo9iM(>Wmux57eYDk` zFQ0^?!BZ`;C_S$vc@W|;vDev$L_CV&EIKqvJ{jQDBoAQnFL=~Ftld#O^HY_swieP7 z&`GeFlqmp{Z}JL?`V8nS2QYboCVz93{>B8VZ;L#2F=%@erhd6cAE|f|pY+r*Lhpql z@=9tCxqy<!akszrGPK<VDHBH{$PA0%?)^?dr5EWAI3gkQcnd`b;Mgb!Xljq;b@#$$ z<dR&U{aU6xP3EB_wanfOw6Ls-GT|DQw>IzPMhiY<F-bp%@98kz7tz&S%Db*j=#J03 z?i`r$Hgw{)<6wD>?**HH_GhO1#2pVmd+8?E_*u3xF*AFyP{x(+K${}~M3Gvr)o#^K z)jN%R&cRjTpDT^hEs^X->br55KnlqnkPDj*J9j;@s~!lK64O&WLAZ3@C7|%KF&bb6 zWw5(OW(43ULDPl33y?Qu7Xf{M6@-og0T6}V2Uu~&1+oFi+eLsCBJVrW4j;geK47W2 za0Hb0G94raG{MrnEEFXGR&d0=2dN@hCio>A{KBb_x&m@WaHpHD6Sr>+P4=tQS2R#g zj#b;^dc{eC{4mooDxqh)P`0Q3hQsDxlWCWdcOV7<&i^7I0RG+eI(>YC#B`NBt-&Kq zlW!TNf3NhURIf~o+z?Z3Nt*nQ4d^Ocz0W^0{<ttBd$+}RSH$P_SW}2lEW_@b%*l1& zQq1b)H9!BjVY@4fO&8EC;cx{xqSOZ<7j#mAZAMhw&9UP;IX<1Dz=@Gpce$d+l6ciu zCNU`BSFyZhPG2GfM97MoE&}a<&f+@?*a35Y0pe0g7sye7p8o<85e3<EsUM>td#<eo z3J1}ka1ct>WrQIEzIa*?Y~zwOaOYO?wLbu#Vv%cxAJ->Mak_qjDWpE;oC$gTS-7v< z<n5N0?bxa@t`|cRG~+f6DJS&go9;{E<Cg~Zb7!NDB|CfpRfEyb!au!NpRKi(k(j0j zDC&!3Z=h9AvLB%64FkVM*`~S7*@Uv+DEj_4iiW8jpr{-Pps4fm54p<Q7B?KedSOpg z7UMSS|H1~dEsi1Z^y6qu{SEKfwy=rY=0y>#buY?T=6rwSs5ih-zjq_Qar8z4Z+l@( zjnCvrO3$l_5q_F{`6#{C6xxLa5hy;X78^$%0<{va0UOYyM>p)|@I63LIvUA-j8?ro zO0O}Ci+qkPSbi<y8U<Gys*_AF)a|y);4}j1+GE=YEU&mXE~9|tng?g&q8ad2=`v8r z0EU-^JV@8RRuoO(s=X)tUf&bPTS9V+geO>I&y^30fV?taZ{17#LfsdZz(N5-6-WaN z74D6*D<HY$!V_4q=lTFceGKOdtb3V}ulvFWdBwe(Tp;ie04K0cUfNYi?<J(bVVVH| zh$~u1MF0>(`f<c5Y|4-EO2uS<tD}$W1~HEjN<TnIdKId#y7f*?fA*>rN+z^B*Ef3< z<GbLy)SJB*|NV;X+~v`gv*+)6$sS!iADu{4zsQ=o>NJ>wn8>>Fno++#d**Pw)Qn@` zqt~sz7Yq+5Dc1od75BMO%Bx;q(FH8kvBQo5EY-N<962F9#iBp46O5jO{mEAX57#aw z<s^c%RzCLUJHXWyLE<iZzNq%VY5A;OC~smK4<*=W++Y^NqeQWG$Gw@T0$m_~%j5W@ z8s|7l7?ykaZQk|7zL+_hkNNJCNARdyAf@fa49VA}dm}BKJd=P+r0`(5HAnMiGx}m? zQov=Q;4%rUosc&(1dOJGv=qW8`Ge8!V7VvX=FKJm05v=S(1yBI%yr~1ZhL4K2l7-U z@M<P;<T5~+2lKAA!o}9E>sgK;(A&PZba{PfuMbk-Da|0gM7M_>saWWpq+}9DEWxH6 zlqc|y0LCiXL)*_GWw`1H#((8x2Wo#O&LpP^c*|Z{d|UmExXo#Au5}u^sPBT_m6RzK zzL$6&CKe9_1>*YH<cWSa8z41K^iv>nLN`bIxrXIb@VJ8Sk=e;b%YSLQHqWX}{4rIW zTdy)V@OEOvAyeq&=vam~?kPOVq)XjaJ4Y8P4Ioa$MWz=pEE$(mAW_$o9Mf$lpr959 z7#6N0rRB!M?i!!r1NvEM!rwj-Q`7Q*w`&R1&0zo3&2&3vH9>~X1Juo|K-~;2(Uo@C z>_>NL&i%I%Ms`&>uTp|QPV%jYM?9RRtWMG%ruTho;aa=F#eMMYm*c9ELYK+R@D95# zy5t$Z^ct{`3g7Hz!_mFb5#K-f{iJ=Go>b2i(%DqGL3`OqQ(ORm#Dmh|qe;d2g6V|U z68E{4gJt!Ax$wm@20B@h?Co{c>>%Gf)x;~+go~L?eUYqjlq5XKJL;!`3~B@xeHeuH z*>Q)!qcmZ=hb_ML)eV|Kbv``of8`1)Q-}~te#WYTi;S=cqecU8PQb?p0O#ZcoD=YI z2f#Twrdr1Bwm;4Ow7|t3g!U@>WH(F)N(Cf2qhbv0LFI8tMBKx-gS-4edh%Nk+E4us z?VlWk_K!el|NO^V#v4o=SqMb1qj}UUxY*Tp0|1w>1N@|aAEYHhdPNx3$}TcSU=FBH z50<pUlIT$+h(o31a$`AxE=I!09?cE~bdxw6wU+EKVE6^ae~~|aV4n<+8p;JJ^&*-) zWtjd3?qv0TtKo_t>TJ_o<7|TKZ^Y#P2Qifo5Hs<BcMAJ~L(s!OtXU)XrM()(i|0U~ zDNPi2ge%Wk4!IO~f2hAW{F`*f9f0MQHUP`VH^zy<f)gVPAV~y@6CRll_d_SPv*E4t zqx7_2*q^b^Q0G-|=295)Zc`PhY9s5ZHDExi#sTd^A1|N3feRZ-^lpH*t0QH+>j>qq zMR0X+L>Wk3mgo*ZkdRbB$9>9rV&=dzkc2;_^(bxmhd+R)c4AR*&!lSexD*!bb%1sY zR5;5qn&dk<KsW&p(%%gGZX8bd01}jm@K(uT`e-EfXR<lenbV62)kfaEP)w3L=B9T_ zsGEGQl{dxX%3UOQg{5xw5f$Eg5@0MD&X-^JlJAM`3j^d8y_e(y%@jUE2VPJ_JRRkU z<0~b(#=zO`*BS5?=rS%L1CHV?2A$)Q!xcsOPF&Zkg>UyLxkX(BnR`!Wy0n(YLhJGh z^oyZWK!fLnw?R|&dpB!6|E#hVocG}<!PQe!?TPB{kW6`<!IaMq|G*~gH*8w34L1W1 zRf=jYRV)Ow$IW<Z+)4q^PP16v_Kuhu;~3tqpD~8KJPHWcEa5ny&{wmBpM(E$ZbEzY zkpjXrgODoS9u1`8lvmPPq1xs;<1*AJ^DC~OBQDG12FDa#2Fb2eSKT*ASGXD%>4_~c z2IbyZxY|SDstR<wFK2M}iX0#v7fqf#N>>D)&klJCMCJkJapc3;+2zr^YdLVSJ0OmS z9>n|0;OQR@&|X=jfCi{6=IZtYgUX_3(o^d=A`+Y8lM3SaGY16qAv`J`Yo~%|+EwY& z)sdDUn_Ht7M|q3oE(c|eCm@c$dvI52ntY$nBl@N&_GkEI=!Zl+bB7aoS1XV7RiC!H z8v}0@_O#~fPM0{mdA|2ZsJQb}Fvou`4i6r13{8W~8#pO8H%XS*wllz~VtK52uOwPf zl*NSiEWT%Tq%f}lFijcO8jF+`AXj&=F+!)5y?q=`q)y5k1oU3&7Cd~;?2}w*54>AR z#pv-<#K-v4Uam{F`xB%4P19{*A38rgYm3;?N&8Tm^|Rf~=da4%w%ygy4|X56-|p|N z?~l#4?tjj@5%HrNI|^ReUXSofURUAsw$`ZH`<7o7@8lbQrM<V2))HgXwbp1H0#8Gj zY4qgD&1r?ZWW-h{Wjv|K@up*UcZK(#C#Bx7fAekJdVZqKy0UjutG?O}+Ae~Wfz}aZ z21Rh;MZMb_H`;#vdi6D8xA)cluLq$O&bvib`_adT=9<4oY(Hqq9#~6j+uK-Djo9DV z-<gw`zX{j+)%Icc=U1)$_75!**#U3QvL|Z9&JN^}_5_W>v*UA}JM6ag$oXa+=Y9as z?8N!-wUSG~ZNQ;7V-TN5EY@!+rZS&BkUJsw>8^Uz=Ri<Z6>*D!^W6uQQ=t3e67tGX zpPj}1?d>*}=``oI{jIdGdmBw2sEFxa*-qj0cIVbA_t3XTx0|?f?tGM+)C&KW{d%)) z_m}EG@Gs7wCvE$^H}?F06&mpjP=0=A+4$8Qu`^$k)4E^NdqS&@pf1Z%H2o$(QC85` zKVz^8!!v4F_#?iTd=V@>t!iK5Y(%9~^_s)X2;s|#^0{SUyRHnaYPO>NzY8hbhqL@^ zUEMxDt=NeCG_G*EZieAIk16WP|6<j)v-P#@r{53NarNgX>UMTsMSOcT9G##gzH+iH zYz<7vb;^4!O*n){L2KyQdfEQQ`o4(q4CnmiqN(!s{pZjsv%6~IH`ATNUVkf{`TD2y zdT*kZic<nywrXGT<A=_+@GXfh4VFnJyX{R;%z5=fe*4Nry?U>kw4n>-ldrUP*Q49s zk}RP5dw-ukzJISyV6nJV{T*Y)4`(nTjBo#_^(GZ9I8n2*UG>3cpXt1FkJFX+q(bVR zugSe%>pNffzpto%*lqs$02?waFwZlxx(b$#E=v1*f)VvOjKs0YT|epDTB8-VZx#V{ zXdJogI-win`t_4u)Y3DHUDo#_@iMA6^S3#l)zx(e4fE`=tXRo}ZZ2&`tgp20%n7%Q zF3Lr0J-U@$`s(;x+(Y=3UN+NY*nZ%1;tq2mg3#*vY%sU<_PTaaz(Shr)y3m|&QqPH zSJQbu>~Ah=H4bmhXsyXc?9b`Tsy1vfi=OpA8c2Kl#Ax?j>)OxMvi=-ro{YV<s-V*G zmf~l1OJ{#&WM$u$Il=!mQLC%pbQBX&EXNZuZL^dXb}j8|>sCp^vpr$0l)&bpGb7Bh zd#xl5f6vAV&&VYihmeh9Bdfnol;<4!buY+g_i$GA$_byI4XtWEjlI9;mwz30s9rkZ z)BPnx1i3kF61LK~aH1UdRoJe#RjWE-_gh@RWMXgRG5?J3^0G=ooo)j&jWQvp(!|U( zo|g!IPrI@AhJLSDNr0@bHKm(9Ll_nl@Z4S8-`d{advm@g{zmxH_c-Az;oDn9vAgSC zIT4?~bLnfC%!O<Hlt`!xSG#6YD3x?ECvpbpsj%~YT1;i~bn^&T#BFkJ9Z;yXrTy*b zE}DGairEQ8@~^e~GWmPI&UAg!(vrmW<p`%JZoE3t!@Id~Y>vFYb{``CYYQ#LHL<r` zX*a(2qw?ot+?$+m?0UmqMEGBBJvqX&>Dxu4E2ym^Ge>%f)&=poA2~t~{pZaRyVZ7z zy13bQh8nL#-MX8x*y|eZg6&dmzqq!+w)(>qhEEf2+o`koI`aOA(s--PsqhA^Umbn* zrWcH&zpr0VRk!}w*1YkG^8Oo=j@|#>U-iLuZC(w+KQHBzQB>{PN@s&|n})tC`ImPW zUzPe>Nl=9`9o0|~Z<~$sPTtu{oK28UmT*kfoJ*eN<7o&Fy%W9zRDXA6$9YNOUYSJO zcB1qCG3SuFU%fn8``h(%&fymo@-nvUJ7V<KH(0!xdaPkvLfXA`C)F#X<e67kF|yI} zGnTA@vQgC^wONe@j#+HKGynT8^JI18okK=V{MBTQL%mIc)kKFw(oOF=$Zbjsf4HM2 zxG5!H`lv~Vl-b#H-7c{T?&_ou%0(i=bkbi-M5;a4u^W_*6uY3WqVK83b@>ZQQ2qno zF`2pRe=Cmvkvep`n#grXT5azRBVsi$c`>+daAwosqK?jHQvCZIIUMwcUgYp9a5~ax zK{*za5a4tI(MpfmGS{rkol79t@2EcF6Nhvb{n3-+cOh9tciC}_=#%p;CvY#CPo}o` zw%*3PuY?g#rzYZmtYzb&Yup>63G`Goh=#i~Mc#;+k^%APGe>zmqq7Wg%VtQqG{|rb z*H{fkiV+KC;L5H^R5d6I((Vkt``4c~VN7mxSSW9#<7RXhw~B!ESiohRmZ2qz`4=5= z3^stG5(dIa&<%uRt!;;2#<^nGJSrR45feVS;v6&9OeLE^q5?6Me7t!579o)u^&b7Q z{@ML3ZWSL;Ge+aOZj-o15R_UQCiy&Mq1>kN+9SG{wC-~1Rib(@B(oY2n-!wF50|n> z8I{H12=bkP`NG$a74zTvG41_H{b!pOk2{%<5u9+nY9v7qm?<j1Iwu%G@xQ{PJcRJj z5RPxa;wDcH`(GW5^d=TM!jxxXscLB~$i{Q{?#@5O_l`e4IZV)GRV(>&t;*sL{@YSM zpL478o9S%txlKQp5*QLe_tb=8vOlSW?mL{#bLrHCe4@Ajr}A7il`r~8znUce#UZrO z#xdpfTdUdBs0Q>)&!A&Dl0G5j)pJSoglLF_ra5Y^mCm=xJ<wD}cp*qK@{1co-Z&D} z0#{}}qK3E1;TceL;A1Fu)p#(u=urPJLB})2xbTw!Ht%0}Lih`TS>#o_*3#J?a+_up z6BtKGfedL!c~fR`jYx2>CR#8MB*jGWJw9k9ceYF=%pj4Em}-0i|K;mPUNlGk{lC^0 zkAK08Romivg-C+UAcgdV&|^wc3YMfiy~-r|LehL-H4b`gi@YGa!HUL2K{FMM2PwHk zI6EipI^hyD;#dQ#*O)-0qtz|KBYM;$h=YyfvRx&Cu1Law??kM*%%pTecw{Uaujj_R zuLYepdT1^c*34R`L2?jrz8pro7Ecu`JB;1d_~~h9z#$xcKHAad)+&h}wE%H=j93mS zCD6G@7$IrOHv=Xm8p5M#-Z<MD^Zq;9yz35{Yl<!NyUie3l{nu5r+s`x6_blTj~uq* z+P-sEd6Hw?w&BK`)xS=QL%cH16uY)i*=B(5N#hy3=s-OvR{qLha#H*KKascSGAO@j zd8Q33#xF{KMvpbHGP?RBmer_I<-=iz3Tiw)q1#3p-yW@cfeQ1@&7nuNLL9awmp@ey zwB<;c+ftO*I!wwJ2+vJSV?kNWdqrdOE^{<j6l<oU-5?oFoM-2zUHg+NwtE=+oS;G6 z!9Mq&$ZAfHt*<u4wPQ(0Z|>l78x-yl9U%=Hu*)S31dyPqz-FvE{N9NdyOvSe9FCa0 zlfg5@(Sa%uY&Ci?dHqm@&0s34mmLs&74@pc7y)Df#YloYFw;hm1q>xA4In6iHcX-t zB#i>Eae%We+DYQ+f1ok*U<=I#DNRIpAK1d+OJy(`hIrca=-E+ed^E6OtFeGfxUO&# z*9I^>TPFDeWMLVYUK(9Y1DKu)TCjpB4!V%$8mW9;K*y!<FF4Ug$B)sl=sU0<6>lNq zz1Kb#+YSmz`ZQKm&poCmG(#jNEl_jybiM|l<|Tv|93-PkpyqN%f;4%QnHf}s8B2eN z2!6m74HaU;ewU|$LC&K&Z14ZI1fyB>FKxk8$W~*D+PE$Pi7N_0QM6)`&q5a1!L0tG ziyh7yUH7dc2J2q$@V6g~Gy;aqtvo}dsx7b}Q4;v>cYlJdJ4}=;h0*rVFV`VhRT9Tl zSp8jy(EZyiARJ~B1|Fq~z|bHCPDweg>M@f?MMP7>MMM)?iQ+#vm7g?F`JNx?|BS$+ zPoRyS9V~R><YkKrYQ+idO54FygpXZmwc7)FLMKE*#uDY0OXq`f4`fskUTBhx=3~c@ zOQ2~2ri_cHBFb3$?Zof{SI|%!R&1^2!8!%d98Z6*QwhRvD(#~NauEe|HeW~)O^V{L z&7{mgcmyzwv&BHEjLf@?(Om98sjd!^J&E(iz<6S*Vh;~vE2x5;!vqv-lM{O4Nj0vB zo+=2@sF$Wxn=n%<ARayzD37OfmMm`Bcakm*0;h)k%?Be76APDllwEVEYIj(WW1{%( zFY|TzUg{x%R{!Eq)jQu%<@X^J&9QK#jshF@*Bgu+A{J6kDbEy8)qII?8U!04!af#o zAu_@Hta0OMdW!VfzD5B$#ptX|BQHG}+Hd<6$a{u(I^q1+5L+7QIfQ>h(kB$Gu8z)j z0|>mVx(drZ0ObDS7|G}&kdi)25I|05H5CDn6D5fsIE037K(V!Q2U3zihl!~@Q=0`F zru)|e@Uw}Y>H*PsEJazp%uMNmcxYOp7INt<C%8e&ic7;yenCt9TWGG^teI~x=FYbu znL`eP#EZn#7WT@9Ma8ddUXA>bj<+%~Fco8qF-3b^*EtfGxD-VZ%_M(=ERZpc*UIT) zu9ufnHHhkd&`g5SV2VA_y^mYjBZ|s0#DdI#4|=iq(x_1u2O2egiNtZ3yZ(pm*lROp zWGkfThXpFXfv#2tleNnybYnT2-3q7)oJ4VU9%Z+5DxVHZKc5Kx#Wl3iQ5K+04id#V zJ1ht0r@_4u1UBy~L?ak%-W6ubBZx;iaK%k@mR@dId*H2nP7c>t3`Uv|3w_|jX+6Yw zAD{$TRI!NzB|vfxSN~RmW<mBuFfAkrsR|38G)FO?fz~tbfyPq80E`5cm39n3@cM%N z(FICQB8tnLRCa5n@~s`|&ydEy5I`HbA1HY<?}3tEWj#=GEGSKyj|Dj5x)exU2~w1R z%S>`RWI@2P@gtQkb_@t=ji^4rmPxP~Oi?1bQ{c)ADO45-7NjQ>Uq`HWHg9h|12(T> zg7+TBdszJs^Rd?$W~394lnp9Bk**d7ll@dg==KIqG@hE!KooD`Ql5KG<r6;AzXhDA z1hBgV&qAOGWgo}9SXSH!#{e=MgYbU=*}J0ZnMyj_E#Uw12@D@npgY1*9>YxL1O5++ z7JLO5k~qEx0~iu(=9=9gQJ<Kq$qpu^a@D+@b}%X5a(a{k#6eGbnfD2SZa~85Nm3LX znG_s^huymIdJM2UYx6E{G?zFuQ_*mc`~X<qN!qm*s@RO4D)2QAAG|oL%nmv5HTS7e z@kA^r`Hjio>2OdBfMnK~3{qBz@a2=V>mIz-Zr4}^4{N6nYDFS;wbNg#Myh%1*j39$ ziY;YTT`aK~3}*8hdk<(8jpPR5PnGhq2hP2Q&KAdQY7d<IAEdx};M~oa$xUE!LTJG| zEGBlqxqG3NY}hiNS`HFn#8jCR2NHKPH{i%R27GB1wZd|&+7j0bAqn=vOzjE@J(eV; zRN&t2m_%JjnhbZ%POG-L)+T71yH7<d0fdq$jyMbm9)N_!1L%YvIp6mi=@KM;P=L7n zMNha7kq|IPxwX;xcDM)L0pcP=G71KWzIG&t!mXTZGMHk6Rx)MH40IeMx)M_bIPm8` zt(+RJR$^@u+Bk~v;VrIq`;(rq2a))JK|QIWhtE?9rZ-5?+klc`fX_Qy?AlCan>eyQ zQ%B`%Khn<!oXkmJEeC43bhbFB|F^XSa;x;&j42x7x{OI&?vfP6t4y*dWWm_F@mefh z>>+Sgenj<Wkjz!jYX+E$ki~^?z!G?9AG4|9lgBG_vFNOG#W<If0ndpl+PHQC3CVR7 zy3AWeprc3_h7?6Xk4bqA;UNR+GW$_JIO3g%=w2zyIuEkA%^kff23@SOGmm&d++N~1 z&?b?XJS>`D=)f7TW5xz;aYn)<!RIj3Pk>KBfWW0GPmP(xCqUqwH4agLPf6kFC()Rr zz!@71Qtkmhb&^&Pao~(QiKpSe*QW$i=`$Zwyo&2mCUF%4bkb##yTSS_!I#?7#ZC}C zo&oHTRGbD>oPHPhb+$|e+d+yN@au5e^^uQ-hA!vXr-ZpLwLd>oySQ-`HcZep?{z~9 zrX4Y9l*IS2pp^!onSrK*#HYkm3ftlONsinZQ&uiOgyw8ml>iZ1&|`%HA~cItDvxRz zI;%|aN_Z-?ItBF#ktm+4peCpSI|UisM^rvJmj2MQ_!og_qsOE}4!2dbUcQwa6^&$j zuao`;8Oe85Cw&d^>jyFMtjyLRdl_@Zla<3PbEf=QgI|A;*@gNb*zkAHO9q0%EG9qC z;Ct?%l>o0>1HpkRfNY_YM|?sB++Tc?jAT0j#=CRvUrM)0@<kr3(A$yK1$V&YYHj;@ zoa3*{Wq~1U+4?u12z4=_UVIY8uH{rVS3voYc!ngPd=RX>_Fys-2bYlpjPEgEeD<uE z$AIw}vIYu8S6{OXF%;v<!qt6Kl8KDU?e`y<xEOZiJjJi|>3ZQS1X@sn#RSi#{KR^Y z%tf3R0IHNu71PgJv-aI!XM6gQSAZUgK5NX!OD7*X+xJ<RP7FUQ^BFwBwaQS{`EFfT zV58c=?KqD#YE(868_c>mCX1(wqA@~{%x{+lDLX{?Bo}SgkGFcxoK^6cPCDUCBw|M= zy+$rl?G6A5iAb^LW6I$zW9`BbdlM%<>@I<Zw~;5~;=GDz$bNze{ueg%DIQe4em+)k z`9(hOBETgoj<f72FiuD(BS4|`JZJ#cHSWF%imYKnbOpz_NKR}4d6<3+B-6JV;ViD8 z)?X%~{B|XWQz0sY>$DbGfY&)7AyKkDI=%KT8PQdge&y5YW_ITfomP6A={=u0F)SBc zOABnznWfr;BCwzWTc8MIbabY!J48@H?FKke;R~ue4-3bG7LipI<DrY9Lzu4@eG?Jw z3F)=VV8F`sD`SEf#2ptY*Bi!j%W);dT?OlaGszN0A7cE$(Xz-r&WDO;Togflcz_y% z;1y61n<yv=t~n0Qiv4A5_B<;{u@D?!D3mF3?eP~cJT=d->S;;uNf*YbL0w9(;fx>2 z#LjjHp#G`CgPOAdXrY_|Rd^8>2v)SPz$DYl*2lktH}&O@;bvEF*Pd$GLYXDko|?0T zr&PdLh3P$G0vI(1FqXIRmW1|3?OWOLHwH%qdqD|1w4<OLGypvU9hso{)CLswPkWZm zb#^Mg@rw6<ATY5+V$><j<;0|aH@gfzEK>N3ixac=AO^92xg#Y)#HB}zQ(^ATJA=pI zbp`M`@BZb><OmTFh88F1OioP9H?zwfKP9v?z1RZpbo`9HR9NKHM>&a!dZ6Hz!Hr4i zR(K>YR%ltsuwu~CdoqMDEJ`k=*WJ&VwQ7P+JVDR|S{!4@C#b;Z3MxfD9o*!&^yF`E zta=Ncf@UxWFqr&v0Zf#%f?LyROoF$<Bf^D3OTP{)Mt^!w6AZ)B;95Fs3N}^^Y%IS5 z+Zs6O5#DiKCMKOi;=~XXT&~C(VvwCv@x1L9G9KTy*a$V|bLvQ$b2C;8?y{mkPQUVw zAH%ofBIOZb3=@bcNxq+?X%D(dXV4wzG(nrWKIg-4D3^f8;2_OV3?fwAq;B$#qzikr z+wDct2aJLnN)VH(q%eo#$FME9NX^|fc5{p=q0~R%v?eGPUNJQp4y_e<aDC8U+2vFM zD4%_c=|+L_S;fB{LU~e>nqt;#P!=?&q<CR{`up2-MaMWq?|OQ`WK2n9mcpX|=|V-n zE~|m;bg4#ujM%0~Xe}ikeAjM_A!y1%@PH0v7tnzm`%ee5Tb^BCIlTC&aT0wnB39jY z9d$E~S7$V)=5A(r{ETbIIdJA6WfLq&)+Jy{&-g_aSkMJ~@vb*!9{sOv<03DDW~UL6 z{4~()R44f<SJ&`Xkh4#n%kGs<r`;biLxnME`HDz#Jfb~4y_TGS=qgUX!pnz2hytq! zF`i=wR*|b<t#~dO-8&rPZwz|!x`9<7TolEDRYU@-5LOVIDJW5od;xN{=Y}x1*gVAb zQ>yq}dIF>h1teUha*>6WSl6Bt)e4USH47mLomSlzTk}@g?SBJJP80P#B?~0j8S~A} zyknLE>a2mF>)IyMt8?3+<ENA*(3F~RpegzaZlS!G);|(UB6olQ<q8$QFn-_Vl`>Ej z8=xvWoHHn11vYTfaZb16I;hxEJUC|-V4!My`Cv5n4qiWCXVN1)ZkrZWcFr+~-mUcS z>o&$>l=zYqC51=+>V*NOohdoC9ae@}%WS2vrKKcx^-7@0Z^3Cx(9UctYWr89n9E%l zpMqGL)jf+K&I&BA>B~Ut#P;48g_aoJ-nAHiO#mB3plR`(%dcOWE&)Q|AVWLY5b8r$ zwMx=VI-Cu(f~w`|GH}R%<DM3)*tlfdD^~Acgdy2CtxOjOt_5}Q+USzq+&WM;myPM) zLA4dGz-I&+sSP{0WfIbpb=B2Uo3W@b_#}b@R7+_@WTp_Vrb?4+tkms3FIL)LN&=^{ ziYdbm)<d8TP~Ju67^tvnxt!VnLY@LuUM>Z-W>Dp|?MPV$U6*~}<RWP1XaWZ!K{H1^ zT<s}M5>)cL*~LoZO9ZjJ^<|Xd3Ckr=s|6)cZxM#SXR-<#50J2S$91q*6tGvIIC^Ek zI2n}ChwKA=z!{@BI3la=B{+aLpofI0;4NyM9(Zvr(je92_tl>SSl&Pjg7%Z#m>L1l zbARuj)2Xk(=~R1AWsgrMN`U>cljsVtgz9I(|96i#r&uXRkv^7Js1!`hL>1I#FSy8z zf{B4PwMx*YHU~=k;5eN$DD8)Kq{s|)*JuvXL6bw|9c;lQI7yiRS8J$~Y<!~IZ32Y# z<Ow)hcpxmJr=kpbX%`tKQHFx3%PDYBrH2NNpq*Aw+X2FI2EuxK&{{>WF^G#KVGBTM z%C!}o9tFZG)9n@j!Ya6c<qbX%mI3I|tOmt(ejs*mm~8}zeH_dQh`kJqWZjWcZn<;x z?fh%m?DJiw;4mm?x49Ze_QlTnyv@572N%nd2wN9!+dJWu9uc-V)3(1f;nj6*ciP?E zuAy-^@m#n^YXoa9?(f=vVYj0PU&}?Zft>CeiAcWZI_X2wkt`Q<?B2NA2d1Rfp)b{w zzM#FmKf$$)Mo+3=L~>lCcbzP}%Zg*{fNU%j_hJHMW9`QUp17KveB#Xv8XV_yA`O05 zj7;D;YaAj(Pw_b07jl|TkvJ<8B0x{pN2jor-7iA9S^s_4<fOba$C19#Lx<L2m$=G; zZG}{>8r4;Or+&^`5(Hn8W@hv}O%WNHT5|TLF&mCPd$Q0Nf@5@?oHu60z4&=DmF#`{ zPU+R8trz$9R`~d}+tW{C^`ZwAq$1fwb<!0@BKg{N(g6ix(b2I}JQwrk)ztmNyAw*N z$SCw#8pvqRn6KXb*|2bp6>~gN-Zg?XaD!BR?FOsS#t}0g`sA7KDQbs$(*>(3_J^d? z`Kpuo+KTeNMc;b+@#)T{^e=Xf=G6LqB$KC_6i+;@!s=x&SL~WiW%CBzk)n8p7dp^} z4O?wFm<+@Hotn+e-MfmFEyiU~Q=bsG*<z^S8-V}cW?ftm1P!av)Nls)(=YOnMlfg> z8(oZ|h6^Ezb94aNPb6+P<x|6_B=HO}bf7E*O8{B3HQe896FTX&fRj4tq(2plWXaO8 zt1a2eG+xil<{Bmhd+wZgcGu7WRang|rl*QRG>%JC2JbZejd;#I@Tr7gdV&OfChhpf zH<Y(|j@)>0e;^39uqyYy$n6h@i&D!V5lp{B!b~A3-)xu^RR~XuP2=p_TKV8EPtN9u zU8mT<pPOGv^wb5Ax<F7?t(YkiAa!AbT1Wz^3z%%^85c8@*i>Z7L!vv4EprG&J#1*B zgk!W)zOTn@ZLH%riSmoj{Iypvk35>WOhP{74mJj9!&o{30~<&$Bn;dnLER-C6_rBx z%dp=oW8SyLKkZQaHG#T<>op(=igKIsRuX#TNlH;t6s5~d;tNO``>7g@FEL}g6DV^^ zdt3Zr?hPeB(k{gTr?q4=_No~+Fjgij?~zDnX@<$Z6MMWBIRTDhz(ad@Mezf6XefgX zt9X(6)>bh1=%{(vE1dpGy<M^BbqN0m!pFX>Iwwhbxu_blV_!}W7Xg3vN-Y;Xh3xQE zfK>2Rf#na3Cm_m|^sz6mc1;469hj*-mB2uf0{x^M2|CQ=C6VAR760l8{wjn|V`=qV zEIpwYBH?I>n#-f}@o*2Qf$WhQ$!H*Uj0;5CwYr1kevlA3MZ1<y732Q{8@4`6rbZ2+ zdDqiS|39%SU)|-8#3V=>+3pm>Pfg5p<pYB`EwJY+P+09&Oiwrqkzlt$x&1}wI}97( zqY}^&B%|#IV}cU8%X_}d(gVy7jEfM5+tSM*%F{LjiC{^}>#IzPA4mjSH_i@C%Wp)g zd=oFAK{EZd2Prc|xBy`I_)BF~e}u7DmTwCUMtAn(hMoVw!Y|uK9LAT>Q^g<}aFF^j zV5X2D9x@>H(@JMq163G)&7`-Zcc<bGc1r(Xr=G&<`z*&4Ko*4$Qe<p9X8ZZSM!pHR zM1uEKOiwMW-Z)ScF79BmEec1WBV1t{VtI76e3&e%f{su-*wF@JVGE}+h_uzDbxr?0 zF=_kM^yorGYua0Qq(uZ`iAs+;3~|_yULGqV(49z_4M|Fk1Cw$U!n17Ecs)Ai{Tr#{ z8#iWxNgNa0^*+{PHM-2men^pzCCW9QuEx(T8}fwEZN%9;6NwsYi<je8(X<`=Zj9@~ zkhmU8Qoh?U$@-85O{>O__vm7qy31@`EGBB=c!mW!Fo6|Iup3O)IaJXqb<51W{rws8 zwo!mBw~C|9Sb!m}OP9nYD@h4(Vv++P3l!_dk7T--&i$Z@MQ_5bju&7jPsymdznwtY z;d;-I1P{YZd8vde5hSH;1ZBvUNxTS2`vI@f09BXWi7}%C!Cv?$J$02C=?5vgX^on} z$g_e0z>#L+2}oMY3C5GfUUEZ6)?WdbK{7va-Vua>nN%^`!`QH(Z&wL!Z#Ur|j)G0a zI-V*h-eXL=kdSfQ!7^4T+&wyC4cKWBVZf0Dod<TR5hAh_(Qet{R8azup~1h9p2`Q& zC<IkFkhd*?co>7cZ7rSUA=rOOms>6Hnw<uJA}Tt9zf0PO4^%_T>1?jtrhF9yh9oIa zPs&kVo0-fM*@(2AHZcfA{P)UHMMSE4W9{Odg0B0`mJttbf*Qtiu%nX8V-*CtEC~|~ zYBivSu>#@o!8Bekdl@sAey~*zpcZE{Rtp5JN8(bEq|^dI2Z34~$g#xJ#maP-6Y{68 z?W<H;j;&tC^}3P-6G78$F`?%$N$HC;CD4pXY=)#wa@I)vA5}5hw%1o*G)DsfHMw;s zt1$u^*BeR_T;MiEl@WUQNJ^$)gey$qLr5C!!5C7t6Kp5YErK>qct_x#AP=``Be;_o zNl6x5r^_UkLDC$vxENm=&*pu*F%#r}d|zeCd`!X|*M%f;`AJg(^qJ&l$W8;;$sI_V z=E)ifM;<esp7Us>O332ZKdCIB^4CNw_zYEF=h0g@GPo+)IKF5NTDX|;)YJx|x(Zun zwf$fU5|kWa${tx%mI)T*JI<rach*+T_xDz~hpUaud;LIG?uf~lG`{B|T4@91S1t|i z=TtT?X1l%m{-g34^4*&mp<bo><<08*qJHmB-_d)YS)=T`RXf$={%`-Lc6{93S7VnK zzm(X05PP&Zy>@-R+xfcQZ0s-n$CyA%d^@7;Be`L(uzn~To=MQd_t@w1Ekw?Pc2fcx z->sVqON$&w+u++_Z67JOgrHM*WVSxmOy_rHnhEh=yp@9)cls7=ji=Xrd6D<_VjpO~ z#XX)**@{(yOAgo<H(pDcPVS7APL-^*FKxuVvuxW)S#nvae}&{p#A&>Tbb=C<N4X{C zDc1kR=}s|km~A=6@u`!4`_Y=<Ed7pg-^~e0q4te7lUR(3^uSmi%A;(W_;oQO<(IkR zH;jM3Tqj3c=beil&&EVplp<66xUmXG_<o;UjzCJi@a-^?_>aJ>;>{XWM}InNCqfkF zLAInQfqU_Gin+pU$rwi^aIYgZ8!3C!jCxg5D~i0Kf6w(!J`6WV{5i^-)R<7?SSEhu zkBOUis@#*^@P5U6X79nu5h<bk>`SnTBc=bmAyv<21ul*C{lnUatJo#$Qs;Q!(b!u$ zFV5tO-6z?wb7GaO@a^(NE3g|?lS<xIA$P!&ew(LP;#{({VH3QisF~(zif}A6TuOH9 z$(XxErwUoB-qWi5J;TC(CRoVY=WvNjmH}+SpmfaLvQs5Rs=makCjLpRudKDt{Sv!o zlfr4n3Ld<P=~SUe)qe(W7RCBr1fMH)$ufsc#DKS~YJ&MKN#iL}!wjojl_E$T+Pc`J z)O136suEIX_!gOet8>C}v-?BywC`h=EGyVV0a%=Mr%H)by`goDNolOFrM1t)(k#1i z@QJv(hKHpg<lx^g{eP~8c{dnZmp{+8>U5<&1oQZhAz3E4(|l|lYjphkO~LrFn|B9H za#<Q8QzzkAe|<117o&-SMcVV}iYoIgtM`hxZCf~O!L9$x5dU*lKm?^g1pmjB9Tl(o zcd~b$SAwbbfk(L-T2GE`FyeZT0LM=Wu=i)cI@N4pJdPO00B{{g=Xq6_>Lhl4)bC;G zn21UVkj#OUw0$jMUJpx$HewqlzGT$dw!HW6{dD!)#$|sxrW5gs+e6cqvZn3-!l+ZM zircou#&J#0zuf_-Rr7&bwPJj7PQ<RPp=-h}O4h$R*154o9lI#ZJ+W}#W#_K>WVKQG zg_*~VH7oJWFo|UgP$u<fT<lcaf$<2KI|i8hcb@G$9}QC#;PiggBuD#rB3JB0q>Uyw zcFh3a?s}k8`Xq6Iz=H_|JNeUDIuSEz(1CO+$|G-@Xc%iGE?t?P*XUk49p%}(JB~?+ zdpkCTx~dtq60gKv)MrIeF!CSp>0}AyPV2LFR50`JzuU<H?HE@wjj5ZMYBWE%Fpj%O z#s*a1{Rb>NLG36_2JD`;fB%(Ej<SyNK-1U@xgS#olZUjW2UsiAq-jjbfu!F4mQ=+* zlInkM_gs3aRoZkb7d2BfO>qXwE-m}H?C~{St<LkiFje-G-e&gFem-|*E_Jfq=PqKm zp(ud+nRl|ZbEmP}Ix2ws8FX^wgNaSWRrubS!GGheS52^>DBAclP(Y2IRr%ksZMlm1 zZ;G-R=6<Jh;#f@t_g!x6cSC%qNA7v&NR_)m2dFi1;VGD=26(8q^weX?|EA^tOV!HX zi(3Ozw6vzII{Eh;>*N!LE!?&IZ}&P-<+_OiDZfe6e>ax@HOxPD^xHE2Q>}oKlg585 z{7Uh^D}45W!cV8f#VW}n2AawnKgNQw-lJQ9k#hfIlWzrcfF&lxMYw2`#CG8&{<o?A z=iLFAT6K<37+P!UY5Wg+{?Cj4ef}Fqe$Pj0Sll}Iw*>@wF8q<b@hZM7O^v4Z_QJ$e z`l3*s>Jv!aS?l8dVr}2cFfXoBbAIzqrKej~#qmYjzUu4Hdgky4TDA#k91jM<<f^1Y z>YBitPqcldU|u>U=2?cMaf3x3O3lCrnxFw`Tod4uMXpLbq@PcYc8xfg{6Je;oUJ0X z=5O!zUKYO>YD>E|l4BohuIKA#p?6zdMT_;bE;WChD1Sb^V!fH+egLgJH;=<BHkfhK zkyWvnR>Z*1O4LI1G%+XEC>&Awv!b!^tM&_yUHEogQ441xZpSeKsL&9HIYrUjpK+#B z?LLf$-NI4P%D+>-^L#r@m2#@;4g*;6M%8vK*MOv{S{Z7FGEG^Fh003GHWfEcCr@Yg z#`0Ij)Gf4dVOO2--N$la!laSAr^Z*`c>=w&&Se{&Jb<tFwv^TA>6Bx!N<vZtp#_b= zfhJ4DN<WsW3@vPAHrd_j3G$x-xA}7QJ%C@*bjqVxB^$(meFfk})5&$Q(j$mU`>ICV zeT`EG;B(UZ#(t@sQ2uUN>vT$gtWv$?z`N410TOJYsoF(@$Hr%Y9=qabSAJokFhrGm z@)S0}0pBT@d;S(lWioI)f!h3D$=hS_0#N+3KZ>U#Ib$(;QUknCP#&~tqC%_@L#mRu zsL{P;I*QF@1#zb{exhwr+BCfY<yJdQM#KgdO39`dHeP==9m^N%?Jnh)E;jPG#_RxB z-^FsxOPXesp<EwNQ?_EEa7kIf0bG)%GdpAXQ-Pe80js!#??&gsq9aEH;Nygj136jd zvi1I!Q&@)F|K)T3(b2`@Tfk7an`SWJBmCcZR|*ci@PBxf|LGE@qc~kwew*YJ%JKlj z^0|x1HWYuS3&8^{oh&@CG)GHEe|!IaSSN?=!p?!^{)fi@8|ME;2@^a$sDV5MyAdI2 z8bU?Q<xZ3JV*`bxWkd2BXG^AIJz~8dOZ$bK9eEs}b0CAXSS}}oX=nw?weC*-MrDXY ze&e-@>Es}=iPDwtsExS%34Y*o{bGv%h>0J7n4b-$R@a|l)2TMW%>x``t&V@EY3KQ7 zZq;QtfPAqj4weQ|>Le%D-xlA0A(vw_yWX~C#s3vhecvhvy|1wiuCZJR(xz$vS_`Hr z3b9azlq_FSqf5(lCR;4Ocx+wKGM8%obN}S&$nIE7q2xe%Das>rn)o@^$X&8By`<4S zbvo*u%L?C4XT0wXN<Io#Ih_(3t28e?U{7tlmOGuS8!HW$uC&klf1JGuJd|zwKYZU$ zQ4A`KC5#r68T+0Ixs9R*8Dp1yon#xbCESfcO`<djQ8Q^s*2!AJjfSWhqwM>xvZqER z{7>D#-~V}@-}1ii=k4?96J6Jw*Kr=_aURF__#Vf3O|3_vLk#<O8qc1@3~6B}f&-p) zfF@|VBapI4cKPrx6!HEvQRD$oL8<Ok;$+S}GQ<_B5?xX|d2=$mhAcIz{26%q!@WT< zQ!zWUkHhACwj#93Gf@wr;#aJkKid+R$C=t=(BnV%U+i>1?%Zg(bK@2+=ks}j$=K5J zNhkKlf?8^$=a68x*H9d_KVmntS{|5Jv2YB!X%}HAJsGVC1I|OKa^m_<DDpxtzGwfE zjfcr64lMw&AFMnZ%H**JW?=11a3Qb+nS#fegNsL9b{6qG89@AV&+~MrN+)w-$dDyP zmG59xuB>k@x~x|JL1<}SD!cp)jC^O378vX-2QX?YlWd)VU^@WSW=#@j$YyqkQU{>g zyOT*ho{Ol0S9P@UN=~{a2$K9IDEZ2XmphVa{~aX5ccOQ-1)({DiPRJITd>KgFe5Yt zG2t<y_6xSon&63l05}o811E%VIcg4sj{QtYW9XcKwX>Qtp?xnCdKB81f*V$IDmL({ z-@y`IqVg%WIU45(tx-&rh^V-^jkCsC0@ItRZ7q7-9D1>H;1HlTIO!H>MIA`Vz>!Li zq8))QphfcQe7=-M#e8b;2b1NR{}cQDTdV-EuqXE6u<NRh@&5$!{_A#hh-o!va3gTg zw66af9yf-;19~lY9$?0cD3y3p>w9Z5sc9*!5Lk9bzX-oCL^<ndrDrK8I~qVRm;UE} z6m0HlQ%}<=cwztacs_p>()Nj9Av(|m>VNbp_C7Xo@K1&ASrBa=@K=58R_#x+j(;Da z1NF}X@y0xH&>dXF(R4ESs|s!K%w06?eTh2ypCQ%PyT`gCUbLYDVd2Xoqq+*+`5+Rd z@K-s4fb|F+C=B&a0D;+9{5j8%#HSjf1q~Ls_bXoWiK!Qk$}k)&wgk+PRGSzurH zM(h(S8T?-p18CoZ^>DcxfD>4P*U+30;+*c2|0Rb2>4idXkjRr-g5K5tmSFsQ?y)l* zP3J0q6`#!$3o1JBA0Q$>`Fk}3|M9nN{Xu9(Gm$q${e~S5>(1p*63>&(f)q<@^J-^H zCzE0ne-46ASq^bqHzw5sf`1wlI(9K7_d)0Man36agmw`o)DqgKZ#}%?yz?+d#E_KR zwYKv5Rr(~wo~-Gr$d1md9S43clB_tYSo)n>JCO%KIn~p${9k3_Bz@n>I8HwI>vdh_ z4*c4ZaZJ8Fq6N}6IfOF=wg;2PRP>&-tuw)#zyg3={?(v$!!@a)(I_0D8OcNzK>c#8 zKqn%h3B`oZLG7Kf&S6%B7C3{OQns@>T<vl~P7^w8nUZ~?bI$h8YJv3e^p9jk;ODXm zYdv}~joh4E&l{o(!cNaXP=wL6V-j^1!UiAgXGe4v8ywA<%IMOAJ3rO+$eN_gfG7;I z*h0`LJel4D95~2gi)uY`LA-Vbt0&c`2+m0B`s7WfSdhbX6jk~`M7STb%{?dF2vk6; zohXPp?)_F|`_zzB{Pi7W)l5<vJ{f8JK(arU)w=&VnSPb5$crqMEUxvq7Bvz!3jvML zmEJTC`84{X7$neP5+p%^PdFrxUl_F&1Yz*FN0TXM$zjJ7RRF5@-bsq;wj+`<er0F= z>tnFnH6UFQ-#2pZ>7@GLzh-X#b@$o=PeF2s`Tu|(xjDBzEfEs%O7BVzSsi_`l|N8o z4v4mRCuRqCJ^YoTE&l&b(f$oZ|AMMF0aX2Ww<A6bQXy*sQ<<q<15(pZvqa#lg26&w z5qJ7*jAvT@4OM$~;6%XsTY!vbYb758v<%Ya|5wEOKO=Vdd8mB=U?W@zEkge(RZo2p zNHR9<fXJ8k|1+cg*GK%X;6CvGg8Q5QqpAo@EvEK+kf!ec&oniQETU2@oD&MdBb<r4 z2hvn4=THzHwVB#|AWe0c*-29!9xvWJ&h+XZjWQ#21TrNfMdyZXokJ`M?XgT~Jfbuj zY(?6y{CLFu4sen?xyFAWozf3K4?Hsnyi(cW(d@MzFyyj&lS8UOFXkCNiPhL!wU3v^ zeSWC>q!?+ifQa3|?)QJl7uSTe{Am%mJ%rTqxW}Q4pf$OBSp$ld?hiTXn`lKLkpCen zCvLfgv|7ho4}BDZSm++nnt8%W@j{2CLX>q6S580Xkgd@d&p`rpr^IvC-g-5KrNLG8 z?OuLySnKd=nOCVUNboZ_mNS2HW3+v|dnumpWu%I(1-|1FO;VFI3n(5nGkkjh4H`!3 zeG45_3$8j38k8H|hu+L?>?yAgxXPg<qBX_%SdL1S?$<f#bhKgyUx}kirAH!=N*74= z#*W{@0j}j!#YxddhmC$`U5pusp}}QIb|6VyJBx4e+s<H1F4v%&cBjEb4D8H5J7^Ag zwNQX$(QYp+3k}|E490Tn@4Va^QSR4klRBj3Lj`@==rCT0vc!=}?<X9x2l`?XBv4|a z2HkOdM>LZkJEBoIlrFSp>t2?mVt4E7rF)+BVK0i%f!9R+H6LT84%@%r@w>7P3EFdJ z`?tlPi0)QXnvMZ~)r8d(Rw_F1lBoZkJgk&-;^6QHptqLBPDtEkrSCJ0$(pw8hOLrr zPT7sck3)G&*8ZKNu*iq8%hQWDvG*ks^IwW&ue##dduTkeiG!~Y-N#I5I_msY*Si4N zHUR<BQ`BE1Z|BZ`y=e8sA_X1z>ylf!MaowG;=g?IUoQUhbB*rzB@6~%eds!7lBT16 zx$=5fo<)jFK>W2!z2Jutzp)L;cil^?W$TKMFZ{>I|NQXZ677sTl84)v%3F(TT730E zmYVy&N(DZ<Nz_#N##1Ab7ysu!Cjm|YIF+Bnw_m0~rAS~#b{^om*_`tihmdx!b{`<x zkDGWAkVQ(=^>6+4!E9Z9XiPkI5m;O2jUSQrgB#&pzx`91|0ZZ7G{Ex<BuL%_tkJ(} z1mC1fgHM4kz1;7lX@zfjF%#4Tp2RccTHUZwlQ+72O=qvg07A>_4kw3&hE(sZx^Vi5 zg(5o8>45)x@-Gd?2K|!iKUBDF_v|{M=iye%!|l@K?Qd?|%iBNK<G+_}FB@*waQy^_ zbY9;ok8OFl)n~Y^C;KDh5Q1+{LV73yA(@<z9wvw2F-^dQDj}e43AnH$2toO;t!D>l z%bqcFg;cp5AxuXW)jLNJ!+uT`&Dn=(Po&y73t(7us@B_XDS5Agh8v6R6~uHkJp?k0 zn67>tfm$Qt)C>`D2oc9nLulV1zAJYK&dG5(A-d2@^G*|j#)Ll^C@^k``+DULSFKzQ z!Dn{-=g0VswQVJgZ0~8LM=S-Wa|-F@MG4h8UFaT0!Bn3r^t?#%7b@F_Yq|aPvL0Ot z0_#lWGfil#NN8pt=+bGp*6WCwoD^JBA>wXMDvp_j=*hW>YkBUj=cBZ*+PXJ+t!Zjt zbplPnshA+AaFkFL)538K1ygELIO#<3FEuTkFe%Rswc8!z-PA#)6!T-4pQzo%e3%v| z$3Zu@5lZKaO`cxm=iQ@a<#s^Ca0;#$h!{u-?FAynQZPC|L@$az5ZEKEJQo+VC-PYy zGXnt+xQXkiM)(Az;n=AN=t2svy%b@)kcwkHKxi)rR$I5`j-QLD>=&n#HmxwI{q&Rs zs}7VXoj7Kt@KJGJc)eTP*BdyCZG^VKUheChx+XEDT5(GHhEbsdjFJsCy5N8`+n6uE z`q{Cjale$@y}^yU{T~qUl<T;TeuPiT4IKL$0&0E@*ZvA&Yfi?orV-laeAU(+<2z5y zJV+;9u)<`)=qZv`9p8-`dSV(iV)oP1ldYaciPE#%to);dU%uB!+TA&lDrO0^oyV*| z(Di|~Zy;t~0&N!|?!E-tzK`g63AA13t~VnfIC_Dng^1J8M?jAfahe(k!94E^@%3ES zlk;5h0NH6=Orz(9B%S1Dg^8|JKO>p;h_a@O;jw&V^H~c6?R;djrjHR+)%mnY`K<21 zkWqH!P4hFoVGr(>p{E?T>WG%26C<q@qGjpieO6DuOVE=nn<6^Ci3Z5)Ib9I~W+CSG zi1Jw*BRK{JHo)*0f`K(L&_*z@9!4<s_{T-c8mj*&q+vIG`XW}LfrmaDgFP7c`km{s z0ZSmGQ@dAaVLjwnr)fJ|GtYZ2%j((MTf{)LFJ(br6BF@360X;olAh~l0xr+Ea$G&5 z^=t3QC<lSx*B*Hn=vY0wa1x{Qmr47ve#F3DDLNSluyzO|7)D&TZoU3X?RCgAd~1&= z8ip82$RkRH8iwb_Bb#Ts7^vAJn+h$A;2Yv1B}^l#0Gh*(Y5z#I$>GDW2B=y&cj)!Q zVb8DhE^0qi1bP&Y3rfK?=OgF=skqh*#0)UCrfS4pU~0_N{}j0Y98xhsZ=lQ59f+@r ziSQSJ-=EF%-W5OzAl^*BS#I@AQU{~+%A`HNA8eIk{v~hI8(mh<G=~raS%H*=w>p>z zUn#g=#m)5G0WiaWJa511oy%aQi-j;v*<h;(Vwf+$R@sMX;dLB*V^_e-WEal>>B{3M z1=RW?2gB3InJ|h*!ztw4MGAkz>B8w4ibC9}!dXAc!MOIY=iKzZk4+I^w=9pZF5tWD z<KA&g|6CNOr)*huNbaW-uUaWciqgqVR!=2`=}ENDAmhVDfX%vqS67PC*K-m`!*yH( zww{7xKS4lKQgQ7w<993bn0FC9&cG<E#y2UNnqa|wdFb8|ScOrZ?VRj&qYK{-nZ<lx z{7RJ@zGb%Pa&6-fhHqaU<ud{!nU+UclLHo+XY*MJSY)2f+7V!p35%3a&7GBYJ&l|I z+kf;FatdsJyN;U6%gv*<Rtk<Xbh5V9Q%5O!Qut?lm+NYbV$@PZrGu=#YfQZjaA_t; zFKgh^Obgx3fJ-we^gK`TuQo08Fa?e$XwPybQO^kZT#7=DF)Dm6OGz^HzMy(^6!|bb zMtM~QI36Bmavmi_4udq!qo^OlpuF;I)KoC=sXQC?!x(LmylyolSnaxBs~t)a&Oe3p z@uz6ypGNv!rttfoD)jcHDEOW(^o^h#oZUTy^s)>o;KtlQz~A1$b^Jv5C|$#`n-NeY zGOm3RVXJf<$9jj*R)SPpvq!0-3lL2E7S%?2FNW1b)sjx9*GpfH#tPfLrgK$N9#m_e z;j_-8JTt&Z4gq`C#&8{h6Jc3B?FUZerq#2s=Ow^rzlH1=*H<fygE*a1W!2#z0@oY4 zna&aes*|LXp+I#o_z(oxzWVVUJ<pmVJ&Y(gF`zapN~jo6+gS=G1NduiivPLOg%g*6 z+Gcmt-6OCVu(Y1OSbyK$bdMi)gxh{GgkDJ*VRlEG|2}9RF+Icpfjmx3Cuky2HAGzb zQ3PBs0mo28XxAmYD>n_!p@jWViMY})MIpr+ogI*+r0g<kM@dkK#zsh#G=+TBs1S9K zlH_%H$~&hol9AB73c})EOh<z93vYb^3_Fi1sxO3TzeBar7sRkCs9O4CM~<Ik^VIk7 zt9-(So=qmdInnLhMVoj!*l{7|^g5&}y|Vt%#*7%@uZw1~ARbNNKv*(GPGKmaARdi7 zfv{v+IB7!h4>Bp7umjP=l_&C<SMwqQJ`DW&2*PI=`1MT$^ydM3debNC5(}vDBO6WN zI9iC2PObCfj{OrJQ7cgqS0oP5DYvaUB%t)1E-M8I5qdhq>Zyb{J$u#4KXZRTLC*0e zy^`@jEM}C4J{gPkKe?MeK~!4gs9BwykMPd~;Y3QR;f;91@#8<Q;W|1IK0nDg_A&zc z<>mvAvyBMbFKIZ|pCB{|fQT}^<Ju%d=_HFGBIOwhBYFOjx1Xn#LcbV2eG!<#0eW_> zm4APgMQ}k1vZ=K^kGZt7-x=~4WK14~p^8Cm<k^HA!oc_B*$@yI?c}`fa<%?*DA|PA zs&Q*9=Hwpwq#4$~ftNmUUuh9Ka$~w8sO*EQ(!IgDM==%@;a(G@j|)Yk*A(ezOySot zDV#Q;C;$b{+EES$?HNM)oDBgH0w}O~1VIN1Y<-WI(Z8O~>H)C?xEB!eqW9C241xJE zw06vI$rL$phJs54aKV8RnhM~;DGKHsFh3h$egH0*1M|z^p}R+8F?PG@o<3NAJ07}6 z)sAR>3F#r{RH`CRuYX0rUuHZ!RIm7A>~D85db)5NpoNpC3MT<tI0?+SB1d^p@kQqz zsTL5qh&v&+4?({I<XcC~0IfH5BJKjMGnWxPUv6dunDziS?YwtqC+RgAcBG0$=6Rd; z0E+<lLe@$l>c0eUu*5f-V2&Y31pHnCjsQn!+b6sW3B3OeohPIG;A!xQ!S=~4XN#C8 znM&Kp#oL}3EH0lH?&XXPZ3S*zH36q_0s+nYJB%OwVrLdzdOTG|HferOMN3OL^|62; zru7zeS^z`>DwQH2fMNbg?f$Y4V{BMY&~W{5(`!#6&yS{sOn?TFYky<IFn|s95bz2j zPF)kBtx0^R<^r(cz02{6QFP<>s7)+X<kFL@C&n#u(81dt*+P6%$8SKnyh)NqXEP-! z6i1`>Oc~J_<piACaReLyO?5+rHiYOI{Dl=d_1K&f$;kU-n<^&&w&!0|ZvlR?3=epq z90GLa;MA26+ARs5$!CCY$3E5dTe*8<g-v+iK9{f>t-F~g4Z)o%2>5*fTTuw@^8mKm z0@2Q%5H$y|l`I6c4u6uBpCEJJ1|L6MWsyu;^iQmR7$LJ4)7(UTEX|K;ouE!D31FHY zQ7KA77-l-PTS*YpqES!4MSR$K+K$8A4fpZEYS{6>{lc;Qy}Rk&fmnrJ9=dNV_Mpy( zn|B!2W6aclNCEr7xEKv@@b!HJ(3=m#9stlAxZv*qdIJ~Cq-r@2$^jRn0$fbQJocGs zGP(Xl_riOcM<f4YTD3}1!O)iPJlV-(S^j)oLVCG80vVH#&QL|5HWF|E{=@eGV?ZFZ zlYudu0{*WE_&-tIgA|gN(ODFXk|F~9pCpCYXM~Ivp^$5g3Zum-N$bG>Ill)A{wtX( zcSQixQ9$*+B7|Yzql#V;#I)B?ZLaLYu+penKS5eB_d<y0_$9>iLSv?~jDN%@PPthk zx6PsP(wT21cKsX3-WIByK0l^oit4Qo=Jy`BP%ytWs?AF<zc*B^m+z$H>198#^P5}# z)jy`rEzjA5<U->(LK4`KrXhj{Bp1)r5YQV$T!k(|(5yRAZ?UX2Xnyg6=~X%~IYhY< z0{I5a`3M4~n1Cx+K){QD&p(FH&I2ar1lCpWhq7&meR6ut37g?Q+U!1=gRm!@t=Il3 zmtQOf7q>oza^pO;l@WaJ6Vf$~A|y2v(lr$kJR9J#h6v~$A}&k~A(;H7^{iyUvZv|Q z7NfJe!W2rLQM;}fC1=VAse6Eue#fX#7fQ)~Z*)O7@A$das9145evn8tKc_whiB#(# zb-EaWY5GB>1nk8yTdCav{FoNsdP3;24_Gy;<n*&AY=GtYl7$4VZSnE?Ri~3lB|9c} z&c#-iRPT1q1%m1LO7$Mzi(#{<qQd|yE>dlV0ahHLY7JY@SuO6%ul{(`%k=8TjzU&U zu{hXn_>>1W6vjgzCtxuTcGD*>VEt2p(|<CmD>?MF6|AlLraLeN7=;3CKv$BIV-2Pv zLrK>JQ<0)%hk>b-0mBojZ-g#%)4cBqLF+&=muKAK3LTU^?Si$e*|g|BUDZ5l`RK<} z`{(O7p2QiQ{Rl$p??&yCAfy@@AtgabJ#17c2|}vJ<s!QCJE?+AGg_RQ9)AkhwXcCe zK(<h*&IH`b5d<8#pj8Egc3Hx^6_ds=4V8JYkwCx_9_4}0#9}p0?uO5KVfjz;(5J%y zmfuaEy@);7@bTuIu+z!J<r9>j9NJ`okapuG{I`0L4_n>%q8h)Qw!Lz1yQ0&r<77bX zRJmt;TXwim5vdAh-5XWxuvlJgt(7LID5HZ^)Xz{_cc>^%Xm{%%(qU#9;PL{)_jX%S zqEOLErIk#zF|Q8&G|4c7*|)0>YC~S_N@-9{gWk6*{pDjGBgwm9B0`tVQPb*E<!w1f zVUX0zN~l@JWNllv2u$kSiGUv=9bU~B(vW`ozBykr!`NO;(P{9FrJo<iHowO&Ol<r3 zZ&z49Saf+)TfSMg&6o3~Y+EpUbnC@>Y9Gk}Z5;t&^~1IN3OGa=v{^Nzr2kl@ZxJU+ z*)?!%KuOEv4u^6Rt=TZj%?4j{)#OKmKm41sGQzjSlvRt@i)63Z;X9;gl4XgrFNK{} zaQJoz4ceL5t1mLR(p|J@X-V?c7xSM}MVrC+OQH@{&ZZ5;Z<cYkZ&Ys&@ap|;odbBo z4wt`4M7w~3JWwN0D6OT{&c1LT5>FaC5a9LL^Zw!grH!ho+TQ%Xbx;x7FEODlqC;mB zCX)^m1Ggm>bT5oC5=7+%Y;rXIAeh86QJbRTUu>OMhwAslSa+&6aeIFj>P~=i`7&7( z6!9IS>_eK4FyS|#_DWXH6*hzx4RDKdsjkQWL!Er8GIn2(O-}hAgpMmr$u-eA(AuJA zN?-;waeeR8b$#+DQzStlTd~^s>STH$Sur1!2LK75KF<BK0K9EvlF~xfOhvF!Pix0B zC)20MisulesKVL_%2aWzeJ-dmm=HP~nL1XG>f^7XnMiR_KWCequ(O0FBPM(gDB0ON zS6C8ST${K_{h*o(Xnz;7W`qKJfL7~XH<=ztR;*Si9hlS&cKgl(ooz^V^xVnR&)ljj zpu^ziWKQ4TCDX#%$;8R*IkMCPzUscug_9(CGI(<ZJL)kwoIXk1LpIyOIuqOoEQ7Yw z8&0FpfHJlWQ?dp+H;i)*u?BB>W<vX*ea_b4W%}jyC+tP#o$Yc$&oaP^Oy@w=MD4Ho z#T(onNl>1@HJRO%`Ud=bq~A4(Mh;6tsz{X9dXpx}x5*bxkU<j4;Q!UhBCQJNBnx!C zUQXulks&`o_fA3Wqq52Du_buv;Nm9eBC=%i7>eGzV(+|aL15W41>JTJakq+fC+<vA z(#V=85#WG+t^1mC6a2oYeSnQ~MH68pX!$EStQV=`P*m%EbCTRczG#OGazOT1uk$nc z-areKtaBJP1hz1f=LYni63&@{C9wX{W>M!c2kIXMwce$ZWE5yZPy|f~{W=TgOr)l$ zpSgXGrUjwNh6%S8wU@SX4*Oy^H~)0haZ8=X*Or){vp<`F!?Tezo`S@T98gua!?RE{ z!MXZDr8pye^JyCQs)E+kGfs{o8X^QyM#)u9QaRbX&{Eu(s+ysbG`^U`{DlMAjPrQ5 z3XMlEF=JuB6T=11(xC~Suh)s~KcY1?Wl@HbC1t!6cUnD%XARxY9ieYVs?NN$a$a#F zv@0^TRe6RWpV5TYFea)~^qzkBaE#PRE9W(b5Iwe{O7w@?b)V*4O^do?Bc=7rK}!&e zT6wLRQcj8<IxGmHTnn$9&gYP&&=*S~fwi+esY>>V$D9vjhdSb0$CqMWu3K>S(|C*% zGXzAO)SdCHy)?n2^@F#4C>&B3+8WT$5{g=WIUM3D+U(fgl1xOUZ#pNb-F5M`#u<F; zA2if1lHC_^r}t$=^~+g5_$|JT#2S8S@c9APnkwMr$f6+)5asX3DkqCL*{W!%lQC5W z<99fuk`cq}UmJc79?|lu;N)1KAv*lZpu+4~&&du)OZ8r^GH?gQg&wrE9Usd9spXf* zA<m%905k8PQ0aS}lf-ll+~**t<wfJ<@SqiEAthOTn+azh)NI#2CtsY^RT(_rX$RgX zL})T+LN!JE%p;wvw_jfXeGf<#-O}1=V61n@7tf(e2mL~w2+fjAlpj<)2Xyth5|}(p zZSlIYkmb7fW@r%=h{bcTLQgq47txSZer42=%E@w0wlP{t=yFxw!0$A^4Y0f-*$jI; z8%E=)1IsJy#IVM*glK|8^@Cjj_c^4_k<R?ldkqgsgVW00$AW1*%ZVAF185Z(k`zs_ ztbXw18V28LMnkEBK0R@#wc?`s;GBp27Ez!S!oW(^B+i2Fy_G+num;hJOOTT1pc$al zerVUyUW-~~t(go?%6+iTdzEWdDyOqK<RVZ@h#2dsU+ztg23oA;kPe`&P54<7AW&3- zHy)wQTKP*P<STt&a+3If7Bd0MoX*K<M=R#<Ey)_}iQlqw!#BIrkO`#0V&!h%KpM|x zV#XH{r!LS=vb+>i5U~EIo9ocL3F+9+{n?*g@U6#bD7QqhD+ipOmFd~8Z<RCL@T}iy z-0!nJ+&_)cB0u;nKB{VY{Rs}5qanO}%92Wzp4YHLb%}$ci{Oq4my*HAPkU*6M-%f? zgtOJ1@N5Yhk5^(w3NS}kJZm>iP^5lPFFc(?N<02C|H}Sf)d@WsPcYEp0jE_bJWG)# zs0wcRn#dtpqODItSl^CxbI~-OF;d1Au&0diEK6DhY1MzZd(5RIW=!~!8|y`ELFxYN zXE=PT0u5D^C{_%lx5G0}(6s042V+7LIHa3sYaKpTzmk^U4Gyscgjc?jewFTHc$%Qz z&K>tSq!F|=cW+h2E*ckfj<}OK>4iXtd{x#iG(pXm;1*x|`&<K*8NNAyh72S5zJ+FM zTH>3o(BKe~osy_i#p|Mad2xt^!x1f?LQaYgIxGWJyp+1x4vBWsP^U0+d<&tB`#}G& z*2H5D#Tu=74#GkmtsF1rq=$j75MT(0DkmP<$5^_)_}=GMO5Yx_e0ABr%Wb&SteH-I z>@0+7y-S^T7Q{4FQ7O**FwC3O?qLB;i?YjtOROG(FA{)hHH2gW0Moh%9%I1QY9XM2 zw$;=}2p+w}h}?cnl}iEm`~%fH1>p03s%Q$p=ijI{<^Z3+qH3AHV{+5mK~4rhZz0IZ z0O<W_gv<n>H_NCn6M$a7?a#s7t!1-!LmwZQ7EYh0D7f;rkA5u!r0fX{PcR^5buiFn zK#iWn2+9(@gSXPGo}o|}9VOHDW3z~XerfpqT)<Oaq6Cmr({H}BdKP`+%pw+0zzstQ zl=rF_?M<IgE)5!hFF2oP!%#lMJvj`c=g0uQL6V;C0{8|QdbR=J8>Aj{g((R50w4*m zB7CF)Nk~Hs3`5~k4UfD<94G+-H_}<2M$gtK{;nUekXe`eRDM+u4h`si3ZR6t0lyzX z!OQ}FKZxQ#3;6xGTMIH(fG&gp$_vniH}WVIfG#x4vv~&S!Z&#~6@V^OOz>WQVhgCR z4Mb1z?ZQaqzXlNlg>knA&78pPhcP@-;C3VidLOVH@H5=%=x0aI@L2->Q3)g23HZk& z7#`I;n^gsjc3EEc%CR%t(I`dh<>1FaW2-06a24VKTi1rLy+X#d%pDq-{|d+Oxq(kB zV|d2$yw^-90i)N_SyD#+bAnly<A0y8(>x=%N%THHb!p|#YHc=P9|9=;U}h6nZUwlA zM_g-q8F_t$N$o!yiCuWChlqG5BdYgNh@5+A5A)oM1W)-9BgUO2aJfch@jq@sMkb^a zKtj0=aJnfL0|U8)2i6~C7848=u1W55>w6?eqqA8uloXUvdzKW17;S{ilBJM&j0znk zC`qSZ^t(RO1(hFS`ZFzr<Qrmog+78u5oA{y2xt*V>YQZm4Q}5GkOfs1k3djtIo-~C z9Wij|u=R3Pzl2;&()~vijv)rQ>k&m=4TDN}WTOH2b7SvMf^o(P-tz~==%fiNjN}1& z3gEvaq4e}FKztpw4#rDL$(`@31r-ut5F~_;IWUOFph5yzV+yE{*lu8#(d!q0U4slr z(ui>wEfXIj-mnhA7;OWB1EgtxQM&~|n&$4Zutqq86;9%X`#4}VlJ>y;PGR{?c<J6Y zSOt?kbYFAq!e+^h*psOca(!KbXE4xSj2?p9D@3lZ0KYC!`!`M?wBHlot(=LyKBRZa zKnT-(hx!;Wh^-aWY5jeerW;hsO968310E)uZS&D(c>5TFFE}CnvkF3TIU#-RFoH)m z0rwe+fIdvXt-%q3ZsCmjt@BvnhCT2ZQ>;cDFMQ4(%OAIg?wxmeo!j8uFG|T~8C~dq zbYt=Bc|Zy%BalNNK|YE=0XDQ;5dp6Q39>vwI|h)Vmf}P6>wDl6e_(M!yznV3HdJU2 zef$g-lgdk<bO1SH(Yw06Tc@zX=Xl{WHdu{(Fn<#)zb}}-9ag~?%>Nwr;Or;Qn9Y|| zxwrc;9Ym@(phnn_sG@)xX-}ux0BVHwjH;#7$K?Ki8l80j+>WhLyMqiRN81SLAVo<J z2PH09O17ZUh0F>wv7z}nUbu%V7B{;G?q!4x72~D5TVXL`d+45LvHlrP-_^Z}cf{f{ zz}3^(P&;td7VvW5ss+}+mzVC5t5O|Ywhz;sM133}fN9OAP6q(eDg&h6pnO3EYbu0k z+3ylC1_LCBhtXL{K!O|q87m+`tc)08pQ-)GXsmxL7$^q}lm-SOQ6HOwfgV$*&A~tv zD#aWOR7veNx2La9B?7i8OOlcT*eb9Ch!DW*OHjyWfY+C%Bq_eAG;{(ZQ~@^)Sb&?< zY2cWfN~n}!KpN#zyI%q~4FRIW!6+m@BgWeMzbTR_K#_nHe*~oX5DZJ!=t9(!8;e8x z0J{yywIO0U!vHKKV7E2FLIMU$4=kk3$4v*XU@SiuR6gRd3PK<~cE=tR`sf)mH9?h= z=EHP!QN5)h81^clm-b@X+d-*`AH(_xN=;o%ZfgbLG{h;CH9!OuHCQihew%W}^0W8Q zr_opi6<+!*4tr2#9*lLDDhC2hM-|l@%!hpwP!R%{_7Whc5QZgVd)d$c6gAR8QKME? zRPQrz5LZ>II}HRd&3VAwg~&3Sz?iiVJogiD&)^8?`2<{rGD2`DoDmyjiWQCk`^z4y z5drMW5=;cxmm5}ryN5pe2bjnw&y8Ukn1~Rj<2INGC{Z)0qSBy5eH}~$l&F#5;U%cQ z*^4Nc=>4Kg41;+etgtH&d@39pI=Y+wU%2l)mFn#){FvrB>f<YXnASe(^c6tRtWzmJ z!OribcK-xBKiDN;`(x3`g!>V0AH*p+TSiEU{S@+5z>!t2S#EDU+!j|HaNGK5xK$JX zBYtaDc6&>9yUG7y<IlP6=90SjABI~$mu)Mzr#`#H_C2njUmPzzyIg8dtjk|?S>7FK z5ffSeY3YaC*3`D&@ec+QbxWn&!b5egkfp(x=5=D-)|yBIY`AKj6wDjBIVXQ*YU$zD zQs;I8KyJaizJ528)nPATo0A6OH&u6SDt`5NUP1C<<1$XXahO!{V^k1NvoT^PiX6s^ zeH$v0qDScT;$>Xx3zeDTMO;(1%H8569P_D4Pw@h-h1^Jg<(eqsg3;ZoQN#?|dpxWl z)w6M2-3rTaKU7`LKs5L^6dATcwO+*l)3K#@=^ZXLy!~Ww9VP6s8BcPZP3RLd=$<<7 zU8nCI<Zdf&el?8mNoLOLQOX~iamBz>pM8zrY@c%5vflU>zrC+ok?S!RTE<sL%3l!6 zX83(?4CsvCg8S691by!d{HdBz9?f;z&U4%Ljs38!PJ7r!X@F_Qb%tiHz42<YOye>@ zb4y)Yb}&q;f&Hme!>9IqbgP;l$~YG)K(N#3cVr&&)83V<E$|`W+2hy?4VUcFE6Z4O zj@zF*wxqZog8^lH)`|J%2eQ>2@oYI7k4Rz$=+4-Ipt>|cuT8r%-=}NPt<Ldpa!@dc zZ;Vpb48t?OEn5;Ml^@A4m`z>HRC`g!9wkK1Tq)x#BIOr@IhZ_&<?K~<kgxQv=a2=_ z7j^gp`?bcDb$uvf{E|lvW>Z!i%3m4!w&T*EZ}fYW*6|P1T+A1H1{c&l%p!9yZ1^ui zv&${<9dR_tJ)~J_(9C3xZx5kCkCJ**L<iM6RCRrd+EM~xVbw88{SyrDf;O@X>|#Vr zK>vH@Ti22<m`LlTzO1UUUK<+Uds4o+c(%GRo^4L!sQ{<n#GKSk@hoSW&cz=*1u0)M z)!AO`s5BlC{S5Oj4(jb*EblZy>lc|rMcQLt&BUb>Yuug_Dq0gH4&@F2qkJrsa^-jm zC%poIQN9wCLEpuetmi>ZNXVw$YlrEsbFTVg(w`kd`@NV4(zHztbfo7!J<56qmhPx7 z^qlB(@#|`E>PtK}ygxhC8sDl+L)9dT{d=3`8sr>mS$&GwmU9CJQHfT1zQC9yw`CW> zq)NjXmG&1K+eo`c!ZQ|&!g2U!Bn=r%@_h--UOR_xiut$ptj2Ll0!&jV`YmdKF@CKr zJrAas8eNQ9VoaP8FNz?pXRcJ$v7g3PE%E<KoEtbPhNM~j{Z1=beEU%vG!5`!2LK<Y zsylPPEoB)N78g~yW|RhR)VKS|b$B27pe0Ff<a{sJ-(W3s<z*fFMQoJ`r0V*qC$RyL zs?I<fw@JDC<nzQq(;Do?f|$STDCjO90)r*CrQCysxkf8REq1lqr|HyRuAJz}W0krD zEc9f5>Y9DIbmBg@=aZrq?IDM<h1TQ+jbMmM_gkFwCbVMG-V#ZLN{>vp@|)!_%?36h zbB*QI6qyD$1X6+4xHn!c%c%H`O_P|=m!Uy@3s-73x`*!hSD5OluHolYV2i`dyxh8| z$}sV?h^0w}XHr{s7EH?bx$WThI!(QpNZ+|7_QKndtlS#Cii!xo2=>xjcE6tGiNHpm z>_v5tb8|BNp0XLBG47a_&vQ-+6dk70x#kpd8sBb9gZ7bnoy7-3^46~2svgr|eLa8J z>p@%2cNj!0O3Cp9!}E4qHWMb5afvZ<J1FAel{)#6Po)(#iUz{jA!qO%9yCc)VCzt) z5Mb*B8dRRtn<F|H0&IPzx-CTy7PfR*sdkPr-Oxrh)bG__>0c?ou~=hX0Ga?lmR77Q zzdugn8%xXw$?2*!o~=sbX$0x(V$NE%Q<o*)BAzC=>x5tCg$?ERj*U<aHn56i#yGJp z{RTLr5ncR!k}+{nd?+}J7YGLKp)Q?>8Pll$5Y#wYW3CFC<Ak$8#>tlYJN*PnJa7+t zXo9l9JxDG9_pm<=Wo#g(&rNgu{K|`Iou=KXucN>02}Y7n<9k>)zYzOI%LU&oKtpOK z`o0y;);tg1c}RnYCEC3eanf|d8yf;^U4>~Li+Y>&f#IIsmfj9i%#SM0`o!>X+L7x= zjJX8I#c%n1{%y2~2_Ja7n3h)xCx?LsuR~BCKva6(;bgPWQq|vh3Ix7ns=xMPyQlFO z>t_gjbx?on#R^FiJo=(P?oDNnS9A5Rxh;xkgEKZAMl?wXX%?iV<!A8io;0W#saFX) zSpF7xn7XzU8CY1vWu^WZhIdUH*#LHtdpV#Vz_#=GGcXamOML?y85cfj0sjeHj99k% z*(Wm2Br)JTHC*vbU7EI6qE1TItXR&ocfpA%wy-d}7$t`(hIdIDIUIJe7dR`<&QM(= z@?&vtw4MSVT4TN|anQ;dkBO&AZjxrdK>hvhqXR|umN*=(^sV9~*@C{(qXxbyE18<Z zUQKFg5puv`z4BtYrwJzfn%%p+#q%TK+y<X)RV|MPSiKR^Y;|x{R1yvG<x|c=R(d9J zvOoUXhyCYf4Sbc>GAp{hnuOCLI9$A68|<Rk<p2k@#o)#<yk!l{V$rF&r7%~oMzzNx zjqkXAzVmt}f#t=vP2;iG&v54M?5B$=mji0Y?Xo`q<=86A4qXDf#={RDnQJ>tunQY< zY$;*iVGjmpv1812kADpwyQ<8cDB_S*|7I)XwC3_S#C>S9QAkOYeC2EjC+T=xQ^c_a z^{JG$oG}<AE?VjPN5*7wTlO*tZsCm0jE_}qB>P`8{LzadS7xu+;yc7?k`EJSuK*(7 zYUgZO#4)AH*<4OiO|G$dZ`_+NJIWV_g*}K-ikf9i7q*e*VHaUB0pCVrA1rz--)tlG zf$@Z{q>%>IaZhBdNrP6WpRnTJ*AM=b@18ZJ>1^{=eYf2CpAn+9>-UmdtM9znkTjl7 zgADyOht+N`mPndlT3vr#M&ZUZFjSN9UuHqY-rpwua|mTX5Bh)|wJGcbb|-5eO|b2! zUHSLUV4nNi`h!2`x@Ha2bhe`_zjx-b;$eYX^E+FoYw1pKqtA(Vzs!CFEfT?JF@Wr5 zD<{qdh&z>A;M?tJ(DS6;0I|XHcHkw-+fs~SVM3Rcbiw(*#x`;+Y|*^mtL1?Ta7KY& zGRtYdEbKVxn6r3xs0F^&mWHw?i8+fog@O~Gr)k>aB%Pdf$T>$4dm7quVqg&9aGp;w zCac@B&%>mGB6ifD(?+WJrT!Co%!|#EG-N@dZ;o&_I3VA|M}xNk21mpx3>@OVjRX<V z@2A~s-9_~|N*nPG%nW=lnC=o|_GLUqaBjy~FZFvh)BfIyqTsdS;Dzcm$xaY}piV2N z@a<k80Fiq2MF&^@0#l>5rR;)*aY3-3V|bVS+KM~DzGr9u80sGzUjF3(wP}3ziTQxy zB>*G?r}2m<W;g>RW4ROT1;c(4t(T(T*3PTXrM3}=U}izl#kC(9vq^19^DY5@yLY?D z+<LHrdo3i8T7U;m=|YFK?p2mhtn|*|kXO+ckL?Z21YN}%@4Z?D(opXW#LU+mG<v+4 zqG{T940Hq@ey$k{uH5xg&W~@XE;7jNX*f)z_p-U;l)6_{TaGOZVs}}|af0Fbye-=Y z#DN#K`2k@Wj2=g}m><vm+`9pxc8o4Z7O$V+Jcu}8<(($gJqRG;JgV2t4c2t3vNwHF zua92*dca@x7B(i4G-xK*?Ru2PJ;GOIU$_Q08U_CCHL&(XSQv;nnaf?S3fudh^>yUc zJHQruK+2%}UO0{G|F?l=fVT^ytpS|TMQY8FIK(NmSt?(Nu0rMPHBM4XToczny3+nl zUcD3e=w}CwPA{f&ns%7J&fBG(aSo^P?fK=^D~+GX98DZlKmA0;mNZBJ|2UE|1ok_1 zx#2AgX@aqvL~Eg_xBbiNeh=G-^)NGTRB`_z!}nfWQm#vY;p4c-$VsmCC;Rm?{TrD- z5Ly!n9LhQxyor((tynpJlat<uR&)hN)R2`EpfA0{GELG@fA-3{!wSo*-98QKrr&#o z+rLt{69_fyR6T%XDNA+FKroD=@lBEPQ=r-ECU`cU#*;_NNCB@sK;u~<G{F{N3;8i` zGiTNPp0*K@FtcMZ#hKF#-$!jpd^@&q@RPd7nP0Zx+xf-+PCZsCK5_7;VmGflP3Lz= z)d7bmtiRBKd&LITF64u@dUp^|d86M-g1~h({%!hq*rF0AFL(Fl%CNt7_MXL;OtruF zo?hay1;D-n?pTM0I-e*O0N7VYJo6Y$TPjf}U<Gnca#`Igr!9v8gS18|NiH%xDQ(%e zVNxcSz}~x1-$n}mwfCG9v^=hJD9pe6_YsxjivnfvV3OTSF(<&Nx4e-Ad+*{78l6)2 zt7;?K!p!V07duQae4n=^`Dku)rHeQFFRSNW<m;@Pzu@+C117Q*ZT@^-eJZsrX9xxf z0+17UT>y>dVNywTcMJ5x6g#6nDa~E4AhxkFFjP_0hvM0*6-kCCdgD$B&g;){Mf~w| zK8vw`Y%Ueou7CgXCXa=K1!jE4S5Qpv)Aa|HX1aWlX*y=nm5v=H`aah{g5vInO2~l< zT=Jbez+O;l&dN2`t<b!dS|w{LtJnE_Q|kxE(RsP$N$}iQ%=-<cA0yF%F|wx{^?iSS z$y{ssh4{ww?XG-vSYvv%_|=5!MTRKWXzl@n>TQ?ibJzTCRis~KEAZ+TeOxZ4xwQ?Z zJ+RE38;<*YWkIQQy-Blft>eqdNZ;tn+w44xORzxd8h$OuRBBFtP;0I$36-Yv=K0-% zD<NRs%Sv;(nj6lSd>@k83z}V7u}4l+NS3bs95nPbzvL_8>_@0K^buIkRD0{yflZS% z)}M7=c*%1)o(YaGPrqyfXJ9X~F9+zpA2_%utJYd~cc^>zZIX_kP6evsHY>{_fF0l- z=DX(9HfZRavjkMRELL#h#{A~MBo)AXm^C-%ZU3CQUs)T`0A>~uQw*@kEWIsBJm@Y` zKG!EFBqMCtu}R$zZklT=4MJ|eV+$=S{>OU!)<dU_ns^@njqq>p4|Iq;Ncr|Y{@dCZ zYPm&5MmT8xR;XEW%Ka15!yMZ93n6&lr6;w9xTNfu6I#PEh1F*|yq?oFU}rm{5%uF& zzoZ*J9^!vI^pD3wYvT8hTyXoO=gC}bv`HH}y8UChZIN`+nZIM(ttM96p77Y?H&@%h zyY<iNzb^Hbsz$7vKB<#;6(*VaD0$pk42?#*EHX!z_x<K4y&sjC6Y!UWIUAL5{g|oD z^_(!Fp%Kj|6CXBJ8rN^X@N4)enfrbh|DN4l-(2=5Y!XlP%b!W9C(897JwqNLdiTT6 zq>e>}Eqt1Bw^!X$cNx{+^QNiPRS20H@;W5bIMrBS_&&u{S`_x|!MEcI$9G%Rs$6T; zHWDc17oH#=QdfbbkEb6(s_>^z5D%f)YqNO$arcmi#-tc&&*7O{<3h=zH7M^|=HWIA z-mON15UlQ-%adcAx6o4);znAtdp%bw4ju4?2zzB5;`8NCpOiP!_=z}^D)|z1&`($5 zQpmOPYsM*w;5|s=w>(cK3p9qlR!_Sc^IkJIp;@a}WV_Yx{vrPJJYD@qt~L1@9SIPY zYc(+v4%jcpylkXVEF#zDG%9_wP+A~A<eFNRamra~Ve{!*p7O~8db-p7?7sq=N+zh~ z*<W7;x3XlLPfyqbk@!5->~HOD+$-#rdWbJhOpX~~r14f*uI=sNO4Pxks6GL^&}-#H z<CGa7)YL6cWU_#n?zF?(zXI1bU!CJDe%*Y1dGh$rV|yQ|x$eJ7AW8&?$Tiy;c?KMi z0}|qZge@jUp#kHpuFR$;_Y=u`-|N20>^R&cDug^1_FC<_aq4w}VY|u8Lj3a%mOt|+ zaYmvUdmk|z_9vVso^n8(N#P;NeKWr$`j9`}gL(+XyE2O}o^dZvGA8v%dlpaLa)&32 zD(ZSWun)Is@CMa=j#lJJ^ecHRA2;>6^bmjK?yg$pYfZ{VM}|e^S_O@SoyFyt+D01A zP`S2!FE1XnjP4V75OQrL(>TRcT3CPj*5vQW0_&*h+P1#}uc!LRf8d^OE7xldPOavD zq~@^y<}#1^w{y4lj`0gmNf`+X2+J}1h~D2Yx1`-Z&*D>N-POp(q}$S-DdV@?b(2L~ zbiE}y4!7;+4I26i5#oPX@!*?aziLt_qw(mqRzIS|6@@dTtHf6lht3e^nym_=j-5#= zAWla$-}e$<!}3Qchn1UUCAhRpFQ8}L`_%W;rJ(w4^(*IL)S-oFebNknT7Pt%nPC=d zy!5A>&_NNDg!%Y>5B+3`DP6g5SB=RGX$|n|T`#RgN~`f8GGUKaTFAp$bzjb^h<QOY z`GQub+TB@H{w<OBLH3cNdlGL?yM|(ut*0A89);lc-xMKANQ=ugs~dSrL*>A?M&P8y z<XR3Jg-XlKyVSXi9C&$=w*_@dqV;eqR){Yt<V;t`;TCrx)VYeakmEmo7vgUOvppZ1 ze29ODr>j=}T9XS{g9CD{fkwi^Ky4OA8pHeL+QNakZb}Pq3D?T+8K)RX3op#x@)QSl zPk7C^b9JbvzSU?#VgCA9kHOJv=;T8O7l{%!yRUPOok`h~@LaA|^$a<N=v}LLCRH!t zLYP+RN~xst?EVSiWC?MUT<u?nnbAU$Y{F|o#?DYIV6C4<Jq=dtUWoHp)gHRm8f_%N z<`bSMKBUgxn@%;pCAvuT#>2;!a;7EB8=x0ziem0Wvc`Fn_x7P))wUjPvK2y>0xywe zoNAU(7Y7Te*;+4Avj3KvTT}PtAp!f1lF<5x5brMCQ&DVov&&aMU{N!-Ci#*9=9`W> zrAJ>?UZgY~*Gf~nHmlx{A5AR7KbSPj_WMShMV*v?UdNJ6Uki==F7$41{GGBnYI$lx ztCJA#Mcq>lti!DeLVR|iXBb4|q#5Z@r97Fg{&Qgofm&(hcW2eBIr>e$*#-Tl)&!(3 zJn-7pK5`?Qu4V6lJ~-j_UV4ril9JGBCB$p0drJ51;nu@Kd_tjT2!9$UjiILdE%hET zGG}>j;9)fMnna+MWk~ic@?4jG%h~KXU8B%-oUbek%1t-<X0NgF`e<L{?SVH&Ui<bW zj7T3@nA|^gT!=3Mh?QWRv?v`KaMaRp{fO_rMT!9=d*}}Jxxt?7A#3V$m!dCG3po07 zmqNKnRrl(5&K{5pr1;Iy#Dr!ap@a8yC7h@CPy8cUVh$yz+jf}g2o^2mb(ay4ENhnc zJ|5<Al;ssbjV6B8vSg&rO7_0fZ#$S?cTagy%l^qNAepkME<$>iO1PHLWF&MTZhF5L zZ}RT<sK=SDhg)ofQ2Crxd}Y`0xEAYZ&ZFp*J7A8)S!C*a{T6jFM@;Ctr;i2;dhE8z zw?2hDT|~+>Rh^j76e4sWiEvGwVoW?NjVqqL<pE8WR=i-Ub?n`+`#)GmQwpL}2wIja zyI9sK^ynmYtxomq=_Pmvf3~8M{LPgzY}^c0EiIwdS%|k0IOAmFl!qv}Ox9thl8~ft z=<8LH#cQn^*-}dMXcAm24J-klSeHH%o$crF$C~uo`4Pj><snXY{Y-s+Ytn3fgYwM} z_<3QjQOtRkq5rmT3-9}PoQj6XnzVVRgO|T9Y!YM|g2c~w+&Xn|?9$<{o___ypSgX2 zzx&ouKfCCp>;G{ek4Aq^f8MYh6JFb8;+c3qP<y+0Gv>hYFP~=KXC$@GX8bT2_AiPq z;%WyAhSzT5=ppg~eblj!T^l1Av-u~(YlrL-1_zHUZ5frF5WvU7u@e1Dy;whYzs0_i znB^ZQhA-w(LmDe)a_i>@N}k`ZkI2M*L<_PH9%PhE>RffDKPoxT!;o#<&5$*IDv!%; zQ>$03*G;nMnF@Cuyh%g^>_6odOLtDQ`}w&l_OBP@^Ic_0+yX|maH(b1@vom!wOyus zrOHZSgAZVKw);xRG<&-=+E0$+i`JkIGk3EayBn-tZ5}+h_&&tZ_EGtlo>P4uXTcA( zxV65)<8NMZM})L0PCSc0QFKJF``V{79#OU4%e{T;h0Etlqc#HK_lpe`Jzh~8{>>s> zcN@%I<)@Q%&p{cnV=+b+Gd~<8O2g%$^IlgE@vrf8J+ZMA?ZscTzf2Ga?3sFA^f7Is z@(_Q)?k?T$?@rD9c-EQpKv0(3e7*dn>#g%Tc?wI0HH`-w)4E*Z`<3(6?`FsMG`PqN zB}b<P)vrD&d2@!f>=p3!Kq32Rwza`o#;rD6+1sI}T1E5w>W*eR#hyB;lJ<e{^#C&R z)SZ^WAcduC+^45#Q$4R#rZjD@HxHDT-|x`##DzarV<<c`ws?{%+L~N3|6X%g(6ZV3 z<STwlH?rYg!_5>Xe`ibXyMXOOHfW#igEs!7#jz!B^-HeXsj(XI?;QJ+35bQY<D$G5 z-#lty_{p5xtg9$j=1XkN!b(UhlV5d)RZIK@<@O3Dw$5NBoRJX2&ZMo}#O5DZ{98z3 zle60wySN8Malg6sH)hf}AND31#W;S8&cD0sTlBGHv=<H`aO}sUVD(GPedCY~0|im; zoA>hZrJL4Pm0v1Y3aou6pGi0GJ9$KuYwQ~j)>2gYW>DFeYkhG{+Y=x6tR^;J$Ks{; zB{nght@!m!3@t+vx!C>!JA^>e_v^7;?>@M`e5i6vMP;bV^VQ@t86b8O5E}t8TpbMk z&2Tjv2q~1<^up8AUQ}f4%MWniJNf6ehVro%V;aFgl((;=+483?>d%MY3JuS?;idT! zn+_Q=RLR%(K^yIJR_S2S6xAg36dEDGzL)qg$_U&V<$=%=OKf(JR43?8nQe|ejooa4 z891Hv|8PDnVd;>eLf@*&@i;5Qf>2^Btfqn7Tq?St%#wXAdfdedVGh*TkCg}r+k*o$ zT?*guD4Tf)n@P)a9?UcLP@5eK`oyLiRBIW2eH*&h@GBtJ1%Ukf4Z|7ijqmZg6ICxi z8UMUCV=H@*|E346e60Qrm;geQyUQ4%1tT@P-+1_qUeu76sry-E`HRb!#O72iK44#> zONGyb{HUAIxV$U)Ae^S=;B3CYKcp4Yx@V)&b)zkAdvh#)C3$-n)@;{q(cgCdWCa8{ zVr&eo|3v=w+s<F;Z+yRb`-ENa3vyF;iwyM$3GoS4iSqRJvbEv)ZP(P2wjZKOb(6~7 z_xo>jv)?<C;MUgr@wUtpzq#rECBAQkx$Da6Z*IA+&J#TLOqZeRKnc@wiz8?g)xMOj zqohlYBHp$uv!~*<DgAKrfq>mB`<{7btcE+DI#rwM-fxE$YdYl9mv}%}hG%%U5KqZI zrMAvFZt~-I8uArC3}zLv-&Lzdw?eY|9?Wc-T%0*sv(Q;O*zvNcdai0KB>upcr(<rm zqZ4Xx=I)i1Y<!CRw)s3ZYsjg`WiI1pm;R;VD^;iZ5EpM-p6EiTy!>t&K4u#nJ5%~* zW2oWnMVVtWoD)vP%TLSRZfqQh$*O-lu+CLlR$MNZ-X2a@T@4?X|ISgoBOX-ef5#)Y zX7iBa;>)`O_ObSX8*c{e<`VlJj@d1$zT6t_<;Z{KoGw2Up8a?_apt7P!{<NO>Po+< z&JX>_$SNz@Coh}P$Nj40=GK!8HQa5ua^7ZRmkVxVS7Tp<6AF>k*2l<ru=~^-v?Aoo z=f{0YG%Uun`6KqV@yDktXPjrZs-F(eiNnTh`yzy%=Bmg^8j$$b<rQ5pbF^#cT=C+r z5D_uB+!2LzxZ#;AmlR5;h1+@s-rh|uwmazkN|^u1bmEl{V4#zic<<c4{gGfVDVb&I zyZ-agYExltdhwO(zD`pIt)Eq1U}7}Fo7@qCVnK;!6E9VMKdjnaSevHEh!R&Ek;c71 zMUB}`-0se7R{SXQcm;DA&R_O8{Lf~^3kNNu;0AeN&Bk3C*((^QM82}erlv2`QyC=t zcgS2}*Rw5Wn%~f@Zmpbh@_zM(W|_Kj>c{B=s>!{l^lwKTn4aOlZppt>j?=%bv$}dJ zTahqU5|VenAbfxEz|*_z(R0R<;lZaXG7WC4+)j>C*E+j!{Stn649Ye5vEF_8cZx#+ zJ+&rxZqL&{*raHmzngMdU-UtBbzaNB-TZ^M`TsccTj>9x>zji-iJC2cZQGc(ZClf} zZQFMDv~Am*wr$(CJ?-x8-52lM*oe1(+={qW5f!&0Zq~`XdGaK{ALVe^G<4ihKsN2w z(SvGT1h}m3_;;jfs7k_t<f8&85^v}(AxfcSzHABNCjMk(X@N#up0W*Q<<PwtT~354 zP(Y$_a+D?yW(FAAk@#OpF(G#b*HelkNMHy=k`$cpAOtqg-TYyAYOdL|z4B9{k~$>@ zTCIb#Xk&M(j1eGhM(05!9n!UV;$#I_yU=R`|CJZdGld9t;cv|RMc(lUILZ?JGskQ? zF$Ny23~Cp@!2NI*jq`034<g=aSsv6bB0YBsP23$>YAg!`(Nszd-3Zfs9(vK4EKPUH zjA7&b;#1YpeyKVBUSxXF73-f%hvb$QwNN*1N(WhCTxx+FY2mc+T#4c{DK%eJM&PE4 zQ4-Qg^=UGyGf3-x1oc0(Tr_2G)l2HKmnwCQU1762?3Go+B3?|dXPhk8-p4IyuApjl z)|xpS3wqI#_x5?XlC#IwO1Lc#f961#{_G<4VPo~=iOt{H_}ST}QY91iu!DXbqqi+C zJL2(n*-Sj}=c0Ja9vjZdCr=QsTavLI2~j*ZA=1wd#X4Y!zV`OGBYS`lKz}Tp?czZV zNqeDCB3`d>mFV!ae5j4yv5%_Wj{vq7PAVs4SY{r2!oSpsdz-27Ch>+W&VaZ*wx?tx z0*zxXE_O}NL@VBC1I{nL9$Hvw>V*?b-IH98xXFzYnq0fsgNX3rs7Vd1FWQ0T?|a~f zd!nBBWH3(y6SR_I?oUOcE4lT98jH>T%f~GXv+4dimbC0??_|4M*oXUUjP+293K)=v zbp{!izA$F;3$s`7SO%vPo;vUT$Mol4t>6PT_HZR(ux?t}O``+qrqr}Lw5nXg#`udS zcms}Eu6$mqv%2W*XbGsutnRepo${w(Xk`J=-fQ$^w;)}=7%JZy*~n<#AIokBK1)8m zdZ0c8lCg0|n$r3lvce%*Ys`%U(`pESNHk#-Vn`|>@CDixCRr2AyM%vJ{zl~RFGI3> zgf0gkHtd^Rg*6GtKe`~9QUo6uj?Ug6nBZHvND42LDhj_FKq%38yN%u!;~dtnntQ(G zvJy+Ps$$ft!CEc@fyYy}T`a>A#nhY!82-6;>6ZQZB_tbRWyW`zsuzw&qah)1jgG5D z;hTFi2VZ6(qbX=qbp~WJuDx)XsUN^5M3GM+vuYh(IQJQrLE$K1(y$F+TSWC@uV7M} zFw24D2MQ01%Ziy&gTq|#7?fQai$TM{fKSG;hl#HT_`2$JmozSSDb&Q7OhG!ON6BkM zi45$D5d!jxob}YsrFFw_LbPQML!2eZi$-+(u9<L4vu_T2PfK>&iE-oUjxBNFPJ#~l zZHt5ET<}kod9NiEgK)xU911WuM@44rVX7US+K0I4a{X+-Z4T6R^mN|?-ecv6SSLu% z8NE~A?VpWWd#;?YC*CJ)*xbR|WH0m%ad00um%Gp3*fCG^j{iwe%h;w&boP9h`8uiK z`x@oWbcTLSO!ik#9^7acxPAIY?kp#Y@cJ_Ry<ZYixRX+g917$;3nl9clS?s`5}8;{ zt^94$urf04XgxRCXvjfs?X3|B?qcDwx4o|U?6&<cxecN7p}}io?=RwJao=aqCdOB{ zBi_2rSIV#NX7BER^`b&E+oKaYo|#S8OtU8w+n{%EoNrNxNOjGP?Q!CX7SOiM(vTH` z6%{+{i-o^J=Bc>N7%5u0sXeex>F>9k;@x+kdlmFN6FgrjnrfWy_I|2I*A3Tjx;>Rm zF-F@87LM<ZT8Nj!S=j+;9vfOMIx5+zD%UkFsU~e#TGh)l4d*dCOdIaGLE=mwmk=&B zh0{#9BQ0wo7me356_ZBjzg)IGwA#Ah_U<Q6HYVy~Vz_qfwNy?xuhvqZTaL6<{jM*f zLylg?QeXC09kWYc=p3x`t5;u0em%nTzuflQoPS*L4BRDJp5j?AzFqXr+_ya$EctPk z@>VVzr#+-k)J;RQu{?#CHT}VFYu-LyvG_jX`)~nu(TL7X-q7?@ER*Be)K4#QeP(ld zUCLcDd;MT_$6eHE`7|(aKhZkgKJRt>ptV$6TcPB&fRq`8Zz1&d-CI)8Y0~lF;fE?# zp|*P$tX)^5Yq5@mXRdv!efoEz*Lp?mx0l_Lt)=mqQq!*Ot0*}#8)v8Ev(|Do7m#~X z#+K}Z_2m(&qkiH7`m}6gVaY8F@kM}j-1HMqQ4Q?$ucY2IUc>wOo!G2pc647QN@J52 z7EjpwVSRO1Fx7r0<#ez#x#TWrt5r{N^(H{SSNt$u`=(bfKhjP!qG?&ka$=1l@Pq1g z$*5%-hlHl?Ri@LbF(<5}rPE$%Im{=PG{eg%=cDTHpJ-MGX33=*pDf$(LegWWRT)hu z(K(%>O)s?U4H=w6Bg!s~>g)(7QZBrkx<$#Tjm+ZiwT#KCLYl=<*b>}N@hJcP4aqR1 zA=@6cS2d|BB9yt)GA^?s`@4OFoC|KV#Umkx02IVBWES6~OZM0MOf$SD#hlnzBBGYw zXn#W6kXp51|3XSbBB(n?$1@#&Npz1+Mn{W1W(lEycf!lghAFnErxWC^Wy_jY9_AHU zw6Uj85aHSE)h0))*+nH+?b{~z$l6cHMVcTZV^6hXeEMv>;;f=T@-zl&y8mE+)(a(9 zL}-rkQWB)4eAw&*3n}+*B+O!RyMO|KHY+0&;ECOki-^U?58wpIhfpK^Ug}H6EGm6N zAIi*Gr=BC@JIGwKILNDFGEMX~w=6hBE&IbJacT>d9+fbl5p`v)7cQ{REH?Ay1<vfo z9$s*k-Ak_q2N~FaziYJ+G4m2?+Cwlc?LEv<^$aIke<<(?%PEgwR5U(aGCp1DkZt1C zaS;_1e4V6T?m)X~sm&d*s~c|UJ<P@@Z4vu!kTyPw@m7*~9d?~XLG;0<r$oSMV`F_q z5+T?QiL~Px&+_AY>}A)n);M@4m&1yW{^es6b4Dg(qM9nLWXVO~G2kT$%^r{`VInY{ z-1y5pjf4%A?6zOyH2J#(M`lJjwqu%IAVXY|&&T*JzuY3Dt+OvZ7F;OZ_05LqNOLXe zhz>UtA&_0?JuNFxnLS7Aerz6$IYj?F$<qcEGJ<g<1lmoe>gdK<=n9{!9o%UAHC?V` zvE*#7Idv9R5Mvg!y*E82`++&Pw@Yw2#x?{XNT@eG0~@G+cD`Z^QCr>h8P6O3C=}_O z<e1g(jB8ZuTv!fu-ST6vXSBL<b{T{Pu?$d#!x{DV)P*SYq=z|LoHpoVr-NSgATcMZ ztw{@}@uwIp>br6LEWAI0A&CN=*~^8^<9JOAh%z$>&c$`;XC9D@_tzU1yI&A}^-H{D zBnc!M!z~Mn(!1b&OE0e25qJfM;G6F@Pb3M;<UgKRx&1+rb)Ky}Z<ehrPnxwfYrjS- zNEoo%KZ^?jVHaR_NyDO1@pp=R!IT>EcujK*X5+F;Jhv<EW|fDzv50`_&iT8cH#Vt- zqO2W=?%)!P#g&5^haHI~=mX<?cXFYqkML>l_}|IjqTRK$7edHS6!)_0FIL*bl~MW3 zwQ5$C>m9H+b+07IWisU3P)HdoxxE;R77%Sa{p06vA2xc)kVs!|1lXHB53ih8@7`X? zzA0^T6DzMOCXXW+7ZODo#~%67SzpxmY*@>CeCM$femfH_J4ZWgIYFkWW*SRJ9%^l! z35M7%NTK<#|FCVex8ap&8iS(3izo}2)TJ8Is+noc1~U|R^y=cO><jVSeBx6m?~D%; z#TlHV(8*{_ZIyQ1mfT9SP26>sR_>T?xXxP^Rg=FrQmoG>Of;U6Zw_q^%-&%}m{U#M zKht}v-?z7iiWEq;CG<FdBC{Q>Gzc5w!|abpgxJsTj*3aW?uYXkTFOSDgn18gCVqee zcl*xXKn1sDWz~3Rb=zuLUo=Y;3Aj-*+kW)(02q{4F-4^)F|`TN6jV^i1X6@T@$pK4 zjxU`{jr?-}T`>frKO$)Su^7`$*O7!A3rsag<h}f%`{<u(eV&M<q}D%fY0an$+=EEh z@H(Kuy}grmI1|p~zZZ}U03#0CYW~;a5Q7f5ZWor>NIG%Xha52%bIGmz{n3i3HU(`m zK2pwzv~Z3G%^mC;FgDzCJi+$AB5%U$O{p>Ev^J2=ytOOqcG@l8LtxQZh@@qJIiLi= z2$Bnd1%6o3aW`U>tKJRK*BDI3`ZS=1TyhLa>7M1<jI3pdM<Xy;w94RKZ6esXkKW15 zbSqIRM25+St;jy8f+Dem?s1G*OvAvW$04vwzJ$bRL5kUmWXkTqGTa{mX`g`^%&V9k zb5wM4!-gi8p<t2|8pG3DsEUsO#UNY}pdl35qO=V2y+Ckj+&giW$X~~2;&APxu4$6) zBpE~S4G^&?8sdh3!h?~BerW0TB1kD9gZ|>P@QGo#yvBgjKNsza2t>bk1LY#hAvQuW zaJR-`ZBhT;<%8vz<Jw3XvsGd(n=1x^<(!g|q$4R{Op;|=)Jw01_4ilXQ)asnqiP0R zG*8lR{=-bM<F1B3D^emv3s&?GwEt6u63lI!PQZ7tfTj-_%2{hJ>YYNmns1Rx5;ntu z+lNum&2WMy=sjFQWhl?Miy8P5^&7EFnTp{Ajvuj>Cr+q%5Pr~XavuOq!;YBCC<Q`} z2zK0Qksk08C5Oq7-n#_0&#bFK0Na<PRwdv)ObRA|NJ5U7>p%&V)Yg}k8ufFJ2GV;M z;x8UzZv8kABlig!4j=(mzDr;UQxjl`rU*Efxk5v`!zvYm61YOKoFO0x&90|*_Ftg0 zQ|CUZW)$$wxr+r(6Ht^+H;4Rrv5Yx@dz14fmU5pt1$dLQmO6sNWw-_rRcn*`#Dy0r z*Q`@m_A(B&C;MTU<!2_1Vn}qXG~~^BGa2tQTuN%pxRm-(AS5}6?>=11S=61yZd$7C zD3C30iYs5a7>cT(Hx0v;-$Aj9m}~aoIbdO@_~E#{*c5!7VIM?k8$_v`u4@gcP(G_I zd_Li!qy$%g9;Ig?9-ud!**=Syd*>GF*7N=cYoA#)d9Sf#r?j2bIBZ6pHPo$en>T`x z?*QL`<EQ<wjtv)!zI%Q5ZU|j4B|_y}T5Fx!KDxX568V)kx+v}A>+nFtjN9UMKbuBx zKN=5`<%W76O17;vb`9{VC-RtxmvUMls<Z;6Ak&ssmLQO_56N3_tVnj9l54X?e{(e@ zH!~2)!XkMMC3m3|!_RbwA|Qzr2<kVaFmn_Z5a<k%b%SWh#wO#BqF<fbo6jL$e~xfm zbQP=3fd?cND1jiLAAv^!disuR;TA=P()l+4KiJrFy&yf1xo7NiCVhgH``a#Wb_<o4 zK#PTEH30rFH;M9l&~Z216&Btd=LSW*gfVw@*y$3SAiaQZuJg0;VJ!GMrw%Bt)1(2s z>Lb3YQx4mmsFf7QAQQ{IK&E7uyhIwyohVLPC3ke#&|cDpY3t-HxQ}e%2E~Z}R)kr) z40sH6(=ctF8Dp{<4jgps@iBb2{KqsxZ5VLC63$xW&?gykEmTEtGy=*;bQXT8$2chO zhRb3>EeOfoOBA6*Cjg^n_5MRCt*u~Y4j}j3%KEOTqOPJ4q}cdL$@HRZ60g$R?}X*K z*&is+&Df#@`kt52Mi4+M?R^2v1E~?L7x--IL2|;tGzdI~faDgpx`D@by4U>4H3JUq z!4hm(?19ZZQUVe;3}M|1{sn6-hbFl6_>=!#qY;pL-zaICcA(k~f1!TRq^D#6g1SU4 zv&)1Wv>jcAlMOg*oH(a7jWk4Q4*~|xfs77sfZ)gjs<r55fn^xV>c@wHR3Qjc6et7k z!=mbqMcqjU^~a~Q#Rlyxd7a1I-7HEQ_m9hmHeTn4-3>SQB^e?BBj^Q4>p`=IkP8*7 z7NC-|L@<~{2m%G_mud3ug$pF3)V3)UtOk>7dMJB|GVX;B6HB=hk@C!0l_*LKnuRG6 z-sRXw1(&2{5}Wgws)H8Yd5?#VTG^@~Mw5#yI0dofU}kFU_^a~swS0ycTXHECtQ!3b ze=|jE9ZRGbr;3F#3mXSXuxK4pE?Z~+MEO&RN`|B449*>Z-x?^m(Dqz;Z>@Nw5#EeX z@1%OvWM;7ON}>o`z5nlaRW=EZRb-}`vZG<$LvhVnMS-20AS0(lMoNt};;anxZ0m@b zvm8;_)YRCN4H6K92>^gNYUe;$O4*_M1JgT4NlWW1OWS*kV}=L|GKph?2LtI7U?M^W z0SC_0NQ3V2VD|@f2>Ra0trC)lB#kDaCJ0+PM3u^oFQva!<v^hB@M&Q)BUIrVeL((+ zg=2aiWb@s<`i?E0hlA4M^e`c>A7c3D8l5b~)^{WO3mrO2H7}9M=6s;kQ3vv|7>E0{ zkgj2#fxs~+kr;$BMx4#xgs$(U?wowc#}UM)2rUOz)|8=(b}K?l*V!RDnQrhahBpty z{OgKULS08G_0J<ept^gm)#ZDd^LL?n)5@~jodm|eR@d*ImrGL;g$}>&Y@}HuqE~2D zox`h0ObO-v?7WEN>sOEQ!V(^|)5nNMH@;tJVGVBldKy$Atr*~8IYryn!gBdr+fFt8 z3gj9z1kR8xRjg6`lR>_)YSK5fchVyDy){6Le`J6(%R4|#(R84-xH_kw8>$1*=Mx|a zi}R}_iU3}{VlKQNVWN;0u1CFU{)wmKoZ$U<PIlMMup&RDvI_f!S6R2M3e&!|`*3Yg zdCpnlU{<~0-CVC!Pq3Si?(*P60!L5WsxS)k2VOM7Xoee@;#ejY)~-rS+Y?~hPia|% z7(05i;gyF`p(fyM%q|`dP{v53<j8pLsuc(<z<XTipv0B`f_?!xVea$d)tZ*$>EgzL zk`INFF%Juhoc-eXrK^ou*J__V;W_;Q3&gz3jd*mWJwxr_m4y@6FQ-S_gHIvDXKpt_ z*nBWGU!X`v$clj;N>YDl<$_cyoec{=0y1>)#_wj8TY=0iB{R#C9LDFWfs+n&a`dJh zX(huuu=i?Do!6zIf6e3q96uObYEl=?U^%-Aoe5VeSV9j~sKEZWwgfSX{WkrR3qRdJ z@oMfOaW@q1;+Z&HM|grjJ;P<;6c)vT*kdFTVunk!jwe_d^a4KSaLuK^B<7az?YBR= zH&_;UK9H!AGM&a7hjx03@$4?YtS4{=#g!1p0~QB}OL&VO09+knkBF2142(n#!l%CF z(kx9mqVc61LNKFdc4T(UHgoq}H&f7JhuZ;*Bsah*BA*Jaaf{3v)TAfNsX?26V-b)5 z?Rs0^_C~>*Psw!dhd^$l?Ay#Ddb|b<jnV8u03~9ot_xMd#5@p$zsc1pt>0J&-QWJW z#=b@pzPsHL@K=35xISvs<lfug)ZOuyfTZY6id%m7sTwdINlIi#X4e+Ga;jPoNaR89 zwSWcdsd|a6B&?UJ*>3C1v@Ud9!TVTJ!U4TG?l_V?@bV-%K9}4kn_Zs@zIMyW`6y%d zpTI}*!QfSol8*;C{k=H9(4-(SXk5oEr47j{?N=)=^u9t&Yc!%%0p7>0Z+k*Esl8)( zx;97?gxi;;T1g&YFqZhuy)o_+Y*vxcyODRj`A7<!N3khnS&}o0m1ZvePNEjjqWt`w zO0n(w?LZA6(cQ5_%NEGTWn{(^!NS|8EJ{$_LyEQqrrwhovre^$f3R9Kv!pdC><a@F zjx9bYSUQf5db36GPQ_%ERgAGg6!mU+aKyjHkI@OlI<fm8vP2!C;5Q<Xi$uk4PO2|2 zIT9;s63__v5euC+`Ng1Zt>J|}uGHFN>S8Q$!h0DBctKPqN9SPEY(Xm_Afp$wUHeqT z4of>%aFBvx;%xJIMReYM1qF|>H@;}?olWjcKzU+{!Wj+$Z6DpFpqS@+e~&!Qn3e*k zVbN?loI~@*rCDJqN4rPQZDIFrNdGZ_qt?x3kb##+TQC_$e~FE{>JHU~V=BomW}hn) zgnnaIo7(q}KpY+*!;^||K}lG!qvu3V#UXNU!ppJv!Gv(j86=ZdXD@GnX6j)Ytk@Dm zxhN773OB{wCXP3XLkUgxj*xX@T%f_A-=+8_m%*wr2n9r5sOW}2kZpr;pa(S$RvE~b zj2gmT!^2(|RTCN_cLIjo0bS|Cz%%LO-NM6fHfm8Hl%Z^xmTaAngPNj#gv4)#@qY<D z9qxLakDHe;kvR$6YRgm|{Qt^4$vay_^y_BIpd^fol`_!QxRl4;G!R&4HfB3C3Ao-G z_I&rvYNKjKsbA05jX{ZLO%YmaN!(a(EelDq*f+>^2x5)66vpM>=9m4_pB~{>*^_)_ zq~#4r;vHp(I+-9?>vXfVbROY50G@mYZhwd_?zAo<;5olHgKcwpa?X*$T!L;*k&q3j zHz)3fWOBkkb(tFy$BZu>gK}I%>nv_@j~q7SaNqmz%g+8XsY<BX4epWjW1<*C-5Is$ zhprIS_=kl3hy%rHB7HRQJx-;Ti1o@-d<)!9Lw4RvxG?T%;y8X|ZzL`SmeQyEEt-Gp zMA0>|KJJLKjBO#B9Gf6k29!&ZhcyE*D+*i_gj#;6;P)c9mrrVzT`OC&aik_pMTOW` zg3TD!#|Q@n?#sZ#Mk>5<4Tgk^-;<Mmb3b;;G@6C!=$;uX4SDxmbU<{La{#4#lDysz z+B}$rV{<|eyt4x4OcOHp)|xsjPMkG&4($r<hRNi~K7*xZ>V8A1=GJ75nBo3fA|DoV zc(8&O-;Z6i+|8U{jfcCXcw%T=vAe!wEpbNItj{8kI1gWRhV%d09$s6<L~3H&G<+GT z$*wDPVQk4D1ga@zDMh6+-7((Fu@`r4kJY}z#egl3Cpj(@g#r~3wWn4N9vgtIIK<&r z8AH{5Z~~4;QW0~;doo3VTcX_%g&ju$Db9Zicmq(E1YO|dLlybZu!{I+%RmQsepF;> zBY5G<+GK;1#P2Fm1VK_F7NdF`3#bUJwk=D<!^|SwCUJ{96AwaU)b&vSrHUa;nAIQ{ zr5O_^km7vl;7y9_<0*h5%24HF;LoaidAsu*l4=Hrzo3$$gFECj6A5BmKL%(c3@Mp~ z=bR!^$ecfTZ9YJ)mjKB(2C&igOIb%-Pnk=cUCzs3K~y%(7-*;P;*Uz^$?%on5ZAGL zh{R{ZeSjshKDvZuf+h^}kWOV2C@dgg0O-V$(0N-p@cP(-SDJ0TZPasqG&p3vRe%8) zWYU<IiO#K54r}Ib*!}%s5?MGYHAK#AJhlB$d8Zw*=p6qxP<eJx(a|*hbdm;uJ$E~} z0w6T$*`~i;czaTAi(8MJy$3l!Wf%~WtL3%v1^0cDXd5)70&3s?bc*XWvVA~-;Or&@ z&+wClY74q_2GbKVy{6@8m?g*ThpghK8T~%wug|(gUe2#w0uJ$zUB<cDac)|A%KFa7 zB#}(sL`LDjM#RP?UDGBrSLos)RlvyaU89e*pbGWzy_p6c-C&Rw+y6&kNAL&91KFF6 z8v1p0k;v0!M)0q6Dk^B@sHyRynz3lM<__0pOOXZ@8IoJxh<4!W9#Ou+1Oc&^Np`O8 z<cP<@DR23;9RCLBXK*xhOxfQVR9xN0!R>IIJJ1<=2SC()P5+1BXE``<f=qx%5TqD& z8WfW!97k0oRgy^zs}bXLMb^YjilZvQw*o~yk7Tep2aR6dWlnb<Te7#3yuLjjrkDi- zdPN)|F(wzi9rsvtf8IShH2a%Z1n|@=P3Vxh*$lHi&E?EQKgtR@GiQ?|b;ob!I#0gY z9^`SS2_9S^^^3l!&9_{tq!RW5z81fSpHrEz4pqz2sVNy@=AYD$ex6|nObCBTBY2P% zu_|65dz#KY6S_2c2)1cmLcbusNO({~vd!?`y)zcJH_)X2*yW%kQpu)@aZ`H1$8`<j zz+%@x39uN7-XjTkUy@kNyNM(`7MeD)uCPPU#}$8FVLzD()brlpc0)3HLMMHP+S&9W zicV}a0iN1;@VwaB#U@elNEuyx8@dEH&M}0hHMG{&i~fo~!qu-5ypifPir?@iha9$Z zkI{(M0!3j%f#vRoyp&_eRS(hh7BLm+phyaZw<6kja_WPIgf44l<rosYC%+?if#5UY z>L;L8KqS)wB{2>AB7@AT*IsHIc~2~j8x->~5|^R~JSIej%v(2`^C|d2<K|h@KmB3E z|2`;Skk`G;`~f!%It<UVGR<NNt=)r!0__7IjP6})4}b@oMt(h*>a{Sq0<H4c!v#%) zS03NDKm00yQepB}XCz=Xy+@*=q7k$p0}8FN?zoRt(1o_alitlq7Q-sD08Pl?G$Ovw zkIpb&CR&9J6vTaGUYJd}e-gVh1Kj&4IQs7i9Qf^IGHZjG`*UT<BP2NWF+s1F;h4x= zsCZZ4Rjc|@gB0|F5KP=Uds8DIuPy{ELHsi&sSH9mT+1H<@#AXz3s89mq-RNl_3H@l zSKD^R0gT#3P5%%HGUh1!zEIg!r=bB+j>hMiv)s9~ky4Bs%F%Gf|K_ec#B@#9lFCM+ z#2I~%lcKhKi@h>txx`Sni0j#YQN~%5n88Hy#fpwRp+al1O$>N&q~00@M#uggPAGC~ ztsHs`@J}~M?7JT9jHIuZJPow^Blr-XavLF|&Vvew!J`h7|0dku$p2IpShxUUkm$;k zvj*7R?&j%#z`&kbQN6zk*dW@mJZT_5%j>_443FcxwI&}6pd~a_drA6!n5ab91CchM zh!}i2TCYfw7_W)n>s-e(8!`(+CC*8z+ZtSs+zYDB?JA4s9}{iQn)7B`Xt3nLHWg=E zzR-NJE8E=1q^Avaw)S%Bz(RoA`3vdNlpLXj3<d>9oPZ7=h;G(&<E0hTdEr3Z7Rt3< zI2lKL$dKs^oA~<ygEkYrIHx{psXm0%XTqWwd?Y6h5(MZx12R}Nbs53XI|h9TG^O1B zC^@rT7^j^-|AnG0>M)18wXp#VC5yMv4gWh-%n_XX3+Ch)go!gUOhM3ga(iurG<)v3 z*`Y#FxpFFK9Gl>n#k`Ep-SV8kEj1c@913tgL5#eCI=5|scFZhUR|K9iG2~-h=%OPs zj(qH#*FY=pNj$SFi{-1Yw4W&U2bJ9t9Z6!>4(6DqJ@|m&AH@)U`fEE`aTuw~3=Ybw zR}|ONk+q!K;q(x&sdGgqK+}mapmg|vVUM;hdW-qG8}Jc=PGn!e#~)Y7va;D_?hxLy zyF4)2{z-b+<kLlU<HDJ`cqD|xR&fsL(^`&g_GGO9#;9iF*CH{nY1`Qy3Nl)UUYfpx z*_8Bs)sI{+F|I5@I_qq_K}v4Lh@p$7t+CXWT}!pI7QsX<$JoQHVy0SizByh#Ze6m! z>1(T`B8w0cPkxXiIB$6&^;VXV(tVWkr_$y^*&tGrRw1cl{l1&ZXReYI+NP$z9ZR_J zUGx(EW;@;zTC=kxIRAM4b&l~$qrjw%iNkwQp&Y}G3i%l(r-q3gkC*4sd)vQgW>yCB zT_-xFIMrjeSfE=?%8z=Vj+Ga*78%Hs%Z#UBzUbCDlVW~KM2c>b#dhIy?0!80c%jUq zPdJU7One~HxM{?<|G>C{bz!Z`IUMyPvlK1~CTAH~(qvT`w@q@g*K{aAJ!%$pez@)J zEtCdXX*@aH#yfx4_)#uTMvMcSkcv9yb~JbwV|LD%#E@#1KTiP!)HsZno~O!P`1`Uz zE;}Qa<VbQpve<B&TlP^B^^P~MDDCo^$`QL6$!uZu`J+ZQ`M58S9M49g=XZT?f&spO zYKy|>i+JOSWV!WcOd;!X10m8svh6k1l&QE>1ylEIaaTqJOgnNGb3YmkhGsXDV9Jn4 zDln1nxVUW#HMoo(bHkK!b9<j8nC+<b+T=A@;5eH(9KYEi2*KCETC}2`p4Gy6v`LbA z4a$9#EAUHYVl#=xw9mgKwsag_^*}%liVMG2&v$7vg|(L3Ey_@mqF10+q}!Sc%$pPt z?mkB)1wJGmLCbaz=it|;&5pxOfHY*h&-3mFp~>U*siyE4fdgPl+RiWmSTAFm{8iE# z`EG;RPry?do%MBzM_UxUH3Pk?7?+<dz|4&galk}nBA^AiWCfWvvzTp({8hR<4axS5 z)%A54qL4Hm6)-|`!mPXdSN<{~?rdn76(FoLq81}q;wVTt@Ku&VGm)m#;&1@>iI)c% z18~AUVaE#Oo^rMYW>H`j7h@L#)0KP0X<f-sN}0MrW+9ZqrU6g=39OiaGPokykTiSI z-gDi>w`b|;pR?+utt$>Lpc_QqwuPb`ME}RZ51?C^{_uL?9yYbnAu{tG?lyr50UnIH z$xx6bJg)cm-s{peUCAxJU@6Ge_{rVqKiwtc&>}8(S72TokxT%aqTOGjXy=7##7QJh z&eQXhiz0{Ug|HCZX}E0$U~)xJO5kO~!a2BH)E%OclX&$Ou*<JHh#N<Nn1GSrVzVi@ z^U*l8zo6B^R;FSZd3P~<(gl;-t;Mbl5&Y-u`A(ME41;COJ+7Un1HdOy7w3lzqMUeL zm*>&Q_wEe>20tF!QcM!*Z<uW>NY@UfI>POL6lI9BPTcN(l1=ERp(GH@Z}J|01G(V6 zs`q;En$UUhRcX9ScUE5<kFbMkj4+%&>4hbnn+%8vCFmS!ovNYsm<-mlmKensr=2BZ zqh~X^*N_;Jtrgk4diO!pKiG+R9*A<LUK_Rr(m(2_zvonYf?N)oxQk|?w)t@=!rHjO zcHG29&>&;a!C~6%ob~+g(rg<QJH-A_V@9HruF~Vyoa!WA01Fkq^(AaGw$<pfRAA9l z@=lG0iADK)*jBiQA*{MhO~XJ&o`S1-?p(Hm#D@(t(+n;H!Qr1jAwMfrK0Ovy{2k-T zXnA&eBD~t7npJYULOs&GmYk=Rj>`5dUQ7ZjD*9Z<dFb^NWTSplWBgpBHxTozSUjx; zxtMKfM{8{Ddpo_57`9&0(>-^S@o$@v6;I+i2#LZ@<W&kJ?hP`-!IQcaP4ALEw~xX2 z7_ujbYzd8}?`zFT*zhO}9PO%@wGj-G8h+-I@Xfc2$-p^NUcR-&M~U*1C2eysn(+g` z3V*BVi-TQpG$(zGC~&)2{FF+6QtEY#e#UBQtEN27Y-yvPgmVe)Na3tII7uKeehFD4 z_qxVjCSPbWxWlk!Pu0bfAwE!EeSN3iTx58REq?tscN6mea5oi3SPPYYc$_gm<A3CC zTG$#n{)f8>Gd4LjDHZD`K_e06HbEcpJSjydIVCeiEivgZF-A`>Ma#6v%+91pAxk?h zJvmk-Ni#M%)9_c4Msj+TMoNW&MU6q80mP7?A3R#Y9dq714hHbw%lR)VX+>ap84Wl9 z@V^J@|3oEqG&cCpYW_EqR9^%QjS*leQ-&afXqOH0^A(6c0{{S30l>vV=c&4`(vE4b zU1g09qY~CaxFvt}eEC)Tig&2lUvJ!8|BKlz@$nSufI;Dsrd*Ld1zZD;0P9P5BH<cX zorWbOL>@wizj>#MM6+B7(|LZyKe}@j%#;SLZOGJx9*p}w@xf$zC`xzeH(L+nHep$X zJXI2t?abETIh@ziQMEx+t1~a_L^<H#V3$b1{yFF><C~lxt02VuW2(X&>_V3$fbRYK zqR;*d;a^H!6n|~iA6|1_X)bW5CvIQ+n6F9#`agtq0V%Tu1rjqwVVXpO-oI3tGXl-# znO`ekr>@JjKZh!UmN~vsR02+qNA7@-mS+R;6x!$`9#X_*LMtP3iOiwNsdgH^8p7C6 zPA?PMW~l1%%N`iVtOOm8U!e#s&IO_sNn*o{nk%{E?4zKRDTaWC(8*NcPwdr<b|t6N zog;`{fbXn3GzZJsE#kFrk_;d_4Rlny_iDg>K%4>&!sf4wW1I5@DB)pp(jP}yH$q|+ z!zM8XG^$z#FugMyN6XF5#pB?Uj2bIhS2MJB`q(FzvK#0yRSYr)cedsXX+F?Sv9B$& z9HTot2f_=Z?OBv5Nc-<=uP6N&VO1yV=_(kF!g<vn*uO2UIi|!8Dv47ipl0mV<m5S? z#IR(^SIpJSZewOc;zuMRxX5A<cbY3Xf1Y&D)rfIE@$nSJkuOU4kU$**Vc$u+MPQ>( z{N^n;I1}heSiBacX@Fx_E{p4NNZ@JmR+q@Jlvd`@-SU`AMB6j(m(JqDqfMLc!HbxO z!u98`JifLo2E`tb=*RBucqawhncNHV>zD;mi*lVI<BPxpl5vnYgF#Orc{JC6M=N$Z z0yS($7vZ0=QhB&gpM|C3nDIU@QD$RX2J_o-yU*PF#8rhIW4=C5Qj*({<{IS0xgZK` z2H|8sLonNu`rUzW(po7fpCwsn(hS?ZR+I85i#;9HAYF(xQL_y(B7cN^Y+3sgXykE3 zi7k*!xd(N;@oHy2o-PoAarhDYCh`QNL9>XFM*d4l(j?iUuP+Gj-ThTl5Sb&7<z(aY z40K|wkz&$3!Pe{WTnaqZ3j{k_aMhfAlhbV%m?nU4E~60sNpZFR{rl`O-#Kumuwpxl zyC9}~(0AvNAt==Up^5bEnYpI2#{e4lH`Y~NB{j}??9QXk<<_pz?;ZBQzrT2MvdfG# zRg(RO2?9i(E6MXHJsS5w=j>GCQ!62-`NvNqtgus!=ohFQZE~JP6D)ZY*7I*%JOWz- zkvszrYPLV}CQqqFNhj#mhIW9fdua(}h#PDwYb(=J!dIPXBS|6rx5^j^g@y@CLd8S` z6G+bFfeDF4OrDSd$byJqgs?&cLUDu<m_orK0>QzU`BBIP5Ge$hzlK$y>dcDgYe<kH zf(&fGQBp*gR0F9FF~~otptVD*4syb$@xK@1hw-2Vr^g2BMW%tMbgSJ3ri;;r5vpi= z@Q`WpgZqL>86g!R@^7dQ-uR>}TS>OH^-oNQ^H9^1lyND~ynw^Gs@M)ar+-<OXc8uI zMBv|!69d9!wpN^c;dZT2<&njq4iV6uF&)52FjSDkxDbUSavT8wcAL<OR6agig*ZaY zfz6^nT3`bPlY$|4su+T@u*3>HmM4NTX_ZWhhJ!)@mwX5se~PZc`8*QFfdb-yTR<eO zEmUxF4rlnStY#BpYx$~Bs8y~sE$e9c`UPe78RA95r=|ZapAp<6i{(>%67?FQcc!vg zJg78wo4cMW;+mlT+a7$eyKYx6{{Y>RoQzn%tWSPf$p78LSUgFd2rq({3~W3-nOI|P z0By@)DBTo{VX?rH<aFU`V<)~>*+-T*TU2^s0_aJ?&6K})1%0{Sc#=DX{O-(gGyiVw zue}wFY*>JrFLp7>hGE%cY%aP4H-Q`uAfr&k;{<!d`oh?)FCv<f6vyDyECOfHZXoy* zrpScCCVP&im50M{XusDEbw$U1!LmEL>Gk|q(lJ2ji;ON-x3{F13(tIm$W_erE#ZA$ z!E6VMs0`ocmn?B16sb-a;Q*Kbm(`9Z2NV&nADkrIf5Xgwp`Op_j+8er0MHBp0AT$O zFr)VaGjx_tcDDb)nyVxQxeW%yk)2o6QprRCA_=AW`KRhxI5I&98?Z#gh|QOEOkD1U z>?Fmf4tm*0?D0Gvxrs=$Z@=f~SMPs@2obexy~<P|{DUGD!I)&CKV%d|>L;c2X|&AY zlC<p)|L>+PN9-pt5MRdW!3Bdo#J1TZTyXSR27zd*-{<{g<KU}hfOZygA|dD>8D?b9 zv<Ap02)d>L8ChaV&dt2}N^2{28ggZ!YfY;aH=H`X!$oNLT@s@#p#?jzD^(OR2XJ9& zpp0FJ>_XMjxzLI2(GoP}Pp`qerzUT`V=&mS_@m4p%`WZpzby4x*o)xGWS}TY=3vul zSfhi5am)(Q9LW+wpiP1mG``{r4dsyG1l~32;D4B8hH-@@Vbkq7VH7CKdtYf)${L8Y zr>NyMI_$-i+v*<jiv2?{CP2GfRJW585X>9hsF;iQ-j_61ItYx;a@{M}JvP6hSAw*x zg}i7aJWjoAf7-W<bJ{|TOh=MO&$qY8ZXuXO6j4^lE9|esrqn`fD!Ptrd{~X!4l2sc z-8pU5HniX|uv`paO^1X>wwV<*C1}>{V%%!N>$g^@1nk&owg-!e@C)UV6el;UUMQ8( zXaw>rd672`;YOg4Aw~okZ#r|i31!HaV%9?mdm_l<DdAn`HDjDIhQu3irdU~521#S4 z?E3Zx^VJFXWVT)*Jg=-bvv}&Y^ZKb_chSSubogcR9XLw6JIqA>>*&She9X3+o^9I# zi^UwF$M3^PdCR&1-#X)px=MWJ+<@*_XvrltQYIkRHw+0*A;+$2U+|q67@yD->$DV3 z@8$v97)~bJO!;b=fw<+L<-3x6-@Kvy{*R0FUwc<Rf?CPp$I%I7{QtN}ZpNnn*|uAn zb1|6=h~76V`cZCueu;pTxA{cP@|~S@7rL=x&L^R4e}(HJz(xA6A3?m#j~u_Rx37Q1 z?RG@i#>3>Jm{%8hy5Q+T^RikFOb41Id+#K&+&ofDe-v;wq3YfoGbw1J1TlSZGQs_7 zO)&Nj5W601;e10ZFnn6TpX1(rN&hJXxt>0{yzw@?pStk6YDjo_;y?R>x!M>HlE1K# zV7jy`(wBu{MD=|8*=|0p^A75=T+r^(XFjL6%hNs38qlY|r`)Da`cAw~9QGW2m>Bv& z`HkVW`hQDk*oTv|dmzRJ0X2apz^erdHfEGEfBj}Pf4W0DU<jlL@cK*Mh;P8nYzLC> z@eEma1-d(kCDbdf?S7qSIatK`BFs^s!&Hp-Avj9)g3z1IRy@en!Jg>feVn#jW5y8I zb$YkxKio9K9Eq8c9^N19=83Fa6J=}AtWpywQ+lq#v6&ZHwN;^N*!ycaEk$0N?QV*w z)K+IrSwjz0<Qt$Pd=#OMKq#E40KDrz9J7*#qdvJ*1rHD2O93<^s<9P;D>yY5m+5a9 zw%6)01GJbMVM1LP;^wG(tNBW0>5+MN0Owk_Hf-Ac#u{GoP(u`kp=zeqgH39UG~a|b zvA*g1ujzM^dUM>bMIn}bJQsT6AhNb*tMg^Tu3Lwzh70Yc^tH3aUJ7ti>!Y{zb&DBz z$LF5KYx40yrra|L7AE&xD_Bm|+UskM<r>MElL|J+0%Z(Y7Zf!hFU1xyu80j@Z71-J z<?0cCdUCY)s$$P}F!xKexhS<#-tPF>)>^Inc^k_rp7t~dplKe(&uInDg@pSmTtv2w z)fZEGC_-%rfY?Sy{4lk**&k~Oy=j!(^7blAH`G@Q3I#qFXBap^-dsnmux<;Se<i;< zI%i`yRo0SU#yFQ>d9P@pm{;^BJ6~7R9Aufyp;hHgcp4b7B^qVEt<_<=4JZ$nmZ+bn zJdW+hs#3?V+Q(l^0dhXvO2cx~>~T5sBgaHUGhKlf&R9KlIfln;>kUn5bzK8P`~Vvp zX$UQHS|$>;QSFTpN4wXYgOlM-uYRvfrkuM)MderciV3iATl$kDJ(hZ3)f|FZAXQ1n z8Xeu;`YjU+aBuLlNfn`X*Y+=!pPE~}frU$bs!%rpcF$V;yW<vA4~j5H46GA`{}*S5 zg9E^Ou>k-L8~_0Qf4~_!b2np?|7)!->0t7>JT1={Tl?3Vn_D^)`;iv~QW}k|$@|Ou z@|%&UZh!V=9o$yHpiyZXy?t)px6)6#o$Q##B*;!a$e1O_m`@N?MTN*f0f1TnR?M{6 zj#nZgF%rOETLb{OvJ3GLw%K-k<={L&D*(j(qXV_!Z5nBMcZVcA0H1}iR2PL58`Vb6 zS8w2I$ga$fjuq0NkbGRl)8;+js@vv%rW`B$e9An3cmJ1puT<J>nWHMJ|D;rv?h7~d z!K_imA}X)gb%$)>g(#jEW{Y|2H5#^&LcHWD?Vz91@i6))IMEo5HU77()MXHzMOXc< zen759o01kvFovA+XC&Z9btZx{aaGLn?0D??t5rpK(fi=DWJ;T+zmQ)pH!`?}tU};I zg~Z^rEhrp<bXmp?o(Lp2VmI^`LaIRDdK;uG-;BRhuLSsxtAMy5A@?u*62VQ{N7Kl2 z(K>)Z6Xkd`;#5uKqxD)?CW@bO%ul0(_0lc5$nxY~Pq?g~UZ6*plNVmbzLWNSzD(fp zoA!$?>-E+@lww!Zx?6oUXn97Gt4axNXDdP5DlW$ZA4eMqFJ^;1gEO9#t;)((<Xuzq zdj%v6)HH-fgP2tlPBgyQiE$pO88+DMwA@+o;FCXRj|Eak&ng(|IMyu-JmB!4zVnKI z^BHe)?gW9qdD&zE=imIz5-r-C>_h;4nqPokP(<K7wrkhs>Eljq20P53Oxta4F%;8v zGr3|<y>YMbspQRXYomfTXRB6%%ny$O**-LubMIJ9`Pz%B)`UkgG~bKaxSF-?E1|k* zP|QIDXq*VwX)4)ifS@p71&m(qIY2vr-mhlngD~dU!oKSo3rYbZ{xpQQhfZlsE23@g zY9}6vf1{xS9w)~3%4}PO&hXdP$oH<0C(;|h@cNgam+yI=%C(zHYemHFZ>0n@Cl$x5 zW1nC-Z*7(t4{jQ0b`*8uHSuf|r(2TT;1}G_^#O>vLumO~Yagc>yjTaqA?%2Wd!#R3 z7EvW63USJ<h&x(8sly>Rg?<qVui%Q6j}wBAby-G~$hC{iF<#E5q9o_UJ28>_xXWfv zC)Vl35_{uI?Wpe~29U7nOcp+kR}4JLg7ey#F7YE<o_&ThC!g~(HP+AI>Yc8ao1{=s zymo0^IBe@XD@`ugw<4w{#AtR=KEiHc5q#Q%5nnoD_rzSB5SxWP;b$lNo5|;zc($F` zD;c*NaeG?ATQT8Aq~|ZEUXw2ewUv71@*<ms+uw~joeO0!zs3r2ZZW2`hIlN4GXEwW zyihs;4cB_$=77kc0h92C-wZ!2H^mAgjPvhz>A8iUf+^>?-SgnWxn46|ZW>AuWGXkm z)T-yhX?EsInGQ`Z<Q&ZW%6%6>=7J=Qx$zP`>$xJ&eEq_5Ey1GfAg(vWBd<aV%z9h< zCJ>p8`2(H#EgB)dE9|EU!y4Rvg_jNPW2cOVk_lZV-5&Cxzyn(vOlaA)-y|{!tXY}c zY44uc&s;Jn5=T)=XmJQz^nN~IRC)$sp|@bG1aFY=+k*KvcmH_DrC*ss)5V}OBde`| zoG*QW;twm%^mUDI&-98WBukB5%_ZLVWSd7sx|G|IBb1)2-5gIinHxk7L=HKdvmCF9 zj}1ddY7%5G(tXVgBncnY+OiIB1E*0%F&r<h+P%NMBEBjMWp%E=k}DR)Kq7rxV9olw zhF*C5`@EGiN-mG<uOSR@8H8m5DH*(>j(5m)FjBh{UfSH7jD}x&o^6mnC0dcp#ajm8 z?&dn(Nb=%``3s6!y!+FM@HOJHeQXNxb1TETLOSR8K8fJFdEHUHRKXoOfB7B^*>un8 zSP8LQb72t2C}su#wfYsU^n`+>FAar64&qx5#P%n4)Cv`Gb~YS0Z&JzMyB3e$U?!!e zT*t?c5doEn9ST}>v?VP}=iPVYNlka;y-QMb$P8YGBeY#H9=4SA`oNtHLgtQ@(k5f& z=ecA(j{<g3u(^1KlZydTrRFG5PKDxXi^z0Cs$%5-u*C20F7UhJw~Zgu{2UNQlP^t~ z)3*0$MBK|KsC+OL?K&K!kwN!y??W*P^#3hl25%E~lsPAkECt}vMDoJwlv$YZ@PuK) zgU{x!Pl<{OYWai$VJ^pJ!5`e*QX#~dUX4hDv)xGNDTP{I=tMM0H#lFI%EItgiLD}m z`fwaXuIOC>df_UchajG08Gl80Vl+(YS_oAl`fmZPaQal9uag*~9ozh722zlp>&Y9q zu~M7Tv{jla(4mKSkr}`X45QVZ9Cy{9RjtP8Aoj}r&;iMDIznw04H6q6fOZVsCJ(); z=;fsom;N)N=b5O6z0z}r<98;N80VVy4E4l+D%B9@s(6Dk@w6|#(zsa?lX(Usg4pp4 zr9wYAb7833E&K#=87SMa9ZiDdhP0pR`O_wvi>^H)O5Y5{v1oANf=-qoKdI@HqY#`f za6Ndot43skb!-#;m(cbEFwyv52vAS_Av#>nL~?&2H#Qp)LxXJXw!qrJjD{R-&C(Q2 zEa)zOi_P_iTErgUEIDmrXan_XmEJIQy{cs){oq7sRVy$Cp?VM(A9%_}BSLe@x{;6w z0l!qw2c{-h^&^9}pn60+HXY~(8v=9TnXfx3OF$8#_u}oT9#X*)I4La3%EZjb%=qDQ zGTIecEJ7C{xn##6yb;Fa2#D^JoAkkK1R(*<zF$|&fC$-H9ycF#AdjVizVfwmpWc~m zqTTlu4^P~h<rN8{(x%L+?c$lt_~5>5+V^N7%W<ba`9D5}X34H3Zy~>`BOeM>^SQ7X zjs@0V2H^@GDoIljW+E|xh)}Z^`4plx4ASuyHBpq#d)%9ZiYJUy#+QU<@oh0Yi%z-H zi3qvBA)nhPQX?}+wRK4Dki6T^in<&F?xJ=vBwL6Do(cc9Ef&7CQ^l6~p=ZIT6FsX6 z>j;E!huzDxychkw`<G@wV~)~aMbU0tQN+AGZm9e>rlrjuPpMR<w)K75-%Nyskt8n8 z3NSSMz&td#f12x*;ZWh@U2psJWxI?FQmHGL9^dlo3rjWts$-IaN+h6x{ysPJ5;U%| z5`8q&;0~S`fNH41cy2Xozh{;YnQ6XkNEb-o$i6qicAqOsh{uA(27b6x&j6dKfnVgX z&I+e2h+|NwFAYA0C%|mPFWt2*`}_DXGKEV>ux;7^z`;)(kIyNWw`|fE#9yUagDNLL z*&aLv(HAc?bnK3g1L|mt4=gpN-Y}bV=SyeM!ul5<ICd0ntn1l;@}9uWh{b+;C*q?) z4;kGIZhgcT3Z&N!lbMXu&d-s86GndQH6ST+99x&Xu4F-EUEJ9-Y94(pq>3Jh-oA;| zHq<z=(jXUpAz86uFlntHiZ<S6iID_Xhg@)KlO&iraza|<MZUiS|Aw4(edN)&2lOPL zIV7tR2zf`fkTZxGXP&l_2Z$7XO$D~NX`D4QIoVwp(qz-DZWK$SbSVv(D$>fwxMH{! zxCluL%e@;>0v|ICUNi;Fag&1^Q0}@h?hk`IRJ*{5OC!(<VYhk_e3&JLwpb9==Zr~Q zY3=T4!BFuEDM2%n7rTFIh^{F_rp$zVs*+zBS`N0#(*^4%h^HDNer2O$%n>UWSN)PN z6i;!*Vt9kv0CC*E-_9nmv?;gA9?Q(<{EjJE>f4)u4L<L)Z1Y`C<@Pb2H)4qbEsc7j zK;d!kwfAZ0X!O1qY0O`NTk0O$SrD4d0EM!D8kc<a3=QMy>cWzULD+c19GQ@RioZ7w zkSjOro97Uxbq(sY@}G9KoLSP`B%5QIiUE4S|I_i$J8Op)hhsoa4Z)H=x1GDCqB+#A z5$Wr2!8}{EfpfWx1;IA>HBztoRgYDOV^S3rVyElzo0Euzpx}k{?`7xVe(<c!o+l<y z;E1gfJ4Fza>^&Q-6?y0N>QZ(I@Tnd{yHi)Hg*h23vqkrgw;Fl%G5u%5d`LGg7kY;u z3+z0F3VyS4c!2>5ZEs9(a<gM%kbXD(>Ndgyak7^$rs#x2FT!hMv~>vjFsT;qgzF;R z!h450w6S%nMu>0?mw~zUje<^><I@`scAUWC+|3A?WZ6Zk<Id@5WF0~>(LzRu6@wqP z>hJx`ycosKT^HUNO9`-0FT!8ocDBDly-c49`cU<wbXU-%s)lKO4g2%wIf});M^K@a ztGdFs*R2Y?qL8VzZao9;d~usbsbT!y@R*7`d}?p-0VKQ#u$zBn*#_3UZ2SpLF<87@ z?*>kwQ1Qwk(CWsZZ|c@|dbrf-ja_JD8{ZHa18nE=GHU<*QCaV`z#dzoY_5;zv`t9r zFX7VLoXxVO_!?^5XHcKlY206at>|PAFEOeD$fp0V!p<@*s`YE*ARUTyNp}q?jYvs% zHwZZ7&>+IlNOyyjh)64?bc3`sf~0gx*8uNuc+d5hBkG5>ulcfn*S*&B>}Ni#d#_#R zPD4n$-;`@2cx*90mJ+{40nvAJc^Za(v4|h2MQX>*@lcRJP-BaX!F?n?M>o=b!`dZ3 z(@bT|(lc^TdM3iotvxyc;xmP~CW}YdaFJ{(DtI!KJ2|B5M6knpi0<xO(20{ZU0N*a zpVIeuQs!`)skw)VFwwhOZc8k?3@2Ec;$A3-g%%>B(TVm21)Sgm%vU&+2;FhWt@E}Y zeg$rXWEmi`MAz~dq2`tex_kK4+iQjH$LGEu|FRu<8sv!Qfa$)Sa~f{Q04%)QyeQZ* z9Az|C!|ztd6ksg#rp>seU9QLJ!{FA%3#YKhDOF2+`V9Ir7S?gY79l3x&HI>g*>~GK z+ujciqQBvQR0fdmg;))c@JT*$PR;H7#(~(5mNJ$Q`2lp%Yu0Y)ObOzClrNe^>q}do zIuSU5ML74WYNa@gd9ZY0H}ZMe8xvKO<RRdLMw%HJE2H!amZXOal2tEA_{Osm!`Oy> zrsGj#WgXLELpAVq?=d8{ym=w+w;;XAyTW64V#c2_<q*6Y4*oE=t0tGl9BLBOn6>VL zBBCPR%rjBsc+Av8#y6Rg;5(Gi*pxxawIM;9lSY{9s|s^sR`W^Wl`sCRTaC@Y9Z75L zadu?=D(|_Phxe$o7^r;o%sxlC+<mOf6A>KHpe5FjufuLf6nktU{SdsX&e0eeq6|=3 z$I+#+wN4Bl;2Ol5YV`_sBeJ69qK7Er>Z-1DDziff!=yi|dusMm2c$o1+Dkd1z&YSj zZm+{ct5WnzX}l;-mr&FtXJ+oOiNy}whEEMy8ArAUu)k&6<blIaZmE7=BY3Y8Bb^V4 z-Bsfr$=L)xZ*ouYgY(E7kG-B#Dvr*<D%(RO^pyp)T!&L3Zn5?lDn_=pp{R_&$?5}~ zch;06tZzogg*`7E;uV#2j+HuLmR!*s2nNi{@FJJ%%rDq_21tRN;N9H1Ct~^V=$r34 zAmte-#!z1fG4@4NjJ1?rra)5-QflxszOIu|8LQ9Y^mc-oD}Y^)YDb!K_WNo<r<9bg zdS^U&%8TI(YOaZt7!P=f%9Gxe9<!5aRGSVrJpCo=aT==nM+Mtw$3l!f;s)Ae1%oUE zq<ggTf{_uFM2g>5z9FmDPDC){`&)MFR;-&;taVx_p)b;S;d>Lwggipj2P+!4CF=nj z&IqZVBo%Ivv%7G0`H5}b6{8nU?vVXpfhz0pgKL05O>UtC+sTMtERSmKtLJFzvrKKB zpkU9+h(KmcM=X98!o{~o5_jVPzBDJMnl|qQ;R2fzi1MQ_%OF<f$ob??dc-q^Q+>Wh zWNR1gy2Xv!hIhzvxDPA6w~w>#*Xvyi_NOG=Ze<f%+J1Br(F;E@UY;ZxiF=mlczDr# zf0#~sW=Grk{^gKG?2)0u?sgz+QHvn@@?>(0R23hcz-NI+^G@9f?MPu=XYKm7OJ1Hz z`;agxVnlnj^p`Ke*+vBpcpTNUQ;HDYEQi95PZ44x2NgkM$$_<n)a`1HNG)@;Ix%Fz zu<{LLzLKrc{f-6C1F+}}(sS718CW+Q!yz_2ALPo*@P@L8aVqg$U&L*syv156Ee^o# zam^C)I~c3DFBmtZW?;y)<cp@BGX&f)knx^+nEEBDsXYNVQ(T+FSCrygve}pA?S!V+ z%nz5=3UqvZ$IeUh(noEa2uS1exZPXxRmcp~)ZFET7QaV!AC}fGX}95J*E968=Dqef zP2db-3_<0l#RAwqNDf}ntSD4&e*#hXfjCB)$O`|X3>l-_Y>{H#oL<OqGaR#}2XJCM zj&7jA;*`0<#<uM|2)Bk894VC)z1M8-{M8!idk~V&ln1>YohI*~Cz5>?rwXpGcr~+p zKm-^b`l%ui2_Y}fly}j~jZnPfWVKYR1Ok)q&zV?|M^8ol!ViTV!5)z`KKjL6amfY9 zHibpsKNaogBa0Nd++oxPzQAmLD_>r|n%e&sC{KlWq^LHw-euF@hhRs*mOT|EiH#t! zqx^bZjY_)(??vK=*XL1^m>c3=4>)Az8v@Tz9W%e@4gsrtkooRWJk6YH!I)Bu_9|4G z)*+_lG*T({h`D^KOzt8|kR5B1moCSo6N#1g#mbpm_6ftrh?f**sc@;(j^T6T#;k(` zF?ZNkL!52%T=C8JkHGQ76&w$}E4nwza{BLdjwV1#`@%Fy=6zW5_<Qp17j%+FhDgqK z)(*RFxoz{fkz<+Re$_itq*wJ&kK8;gBhR&wY4e$HZqv(vd`;CWn8&y<Yde?KK8_`w zqpAZ?d3>Ax?i|5;xF;?Xq~U7B?|=R-IKlR7AxL)PSSVkiC;`bbSn)+L4CmPeBkiQ( zx=ThBYXt+KSi<QrnTybOP(%MtAjgW1_3HhQCCQDcz=>B>EO$w`6qsx2k!^G}ckOEu zv<5vuaK4F*Pqx%KZ82n;U5R5q?<aBjmJFSqVcT(sHo-k3BWv$CV&kB#{)$E1Yx-rT z@d$0Lt*>OJ?{P5G0Mh5tLzTA;9&3us)4^+w!NsmVmM61{REJJZeVV}aGY=rzdS_cF z^*i1YvPB{{gi_N3^g{{xwRwrv(-;yupg%Wk$?`}hi;-cYP#r?&W_*9hYlcbW1+9u; zGsOcObm+$_WKNS;9qhZ*^*7?+<P1YcOjMj%5Y$QJ4Cf+FPGcA!SF8BE!_LnRD<9Os z&END2r$u;O0#877zN+0Go%eX{U?bKiu}vpnVKB<m%`bO7{64lTB5CcMOHGZ(3+2_0 zJLrH(CV|ZPS$L2R7xzRo5U{Gl9U(kVT2eiwfMP%E<ZM(@uWz8m70^uv^}?$ZlTcrR zT;DN%ig5No*ZyWA%jx(D3$CGr=)&Ut`#2O`9HRG9812dm`Bv1O4a+quisqXpr_L<1 z60`F3el~mSA*c#SJZT3ng<@XWQXqx6d%Rcalc~+{95ac((Dhc)*~G__Vx*NS64*&i zHB)FECyJCeYS9oQ*U)S@da*dkfLP`#kdMelu{j;LUi_YyxkycAqB~{T+?OZOHG`Tt zDTYMcDc2;#zQg;#FjbJb9{{GSNh>2pVas?DI8Z4Q_)_u&e(rI6fNXBZ!bY{~k-*nZ z%u7gxh_8{FQp-S>UXa{5J?q-IF>cPYVg}x&``wD0VwSS&kb?6{=@QC`?V&ZW`OCQ? zs|U;qOLHJeOC+TAXV2CU1o*3_v;(&c>Q~Id91~FJ?CNtb3MdxgO}Ay-N}cvRIVwJT zN^*yXj8s;BE`)2g^4U~kW8uu3uyJ^@a91M8FNmgE_3%mCx><~le@(B&!StJ^Jkm7P z?=zU>-xOR2!*xT7%JX&t-0vjicdLUzA2;j{PPY1g1S+F*gJoZp3wLXm(ZJ6I<+Lon zZo?34E=>uhWd?oT#Y+2t)c`R7hJ&XbZ^r<6=w>I+us$h;dyd}UYU8#@4?g!<XO9L# zTpmO}ns~JULT0G7b>6o0$RS#wmIF6$F^M(78_w)Ah)i`Ke-~<1G=2Ql>*4PAbqfKY z=5*5f29|;>na~kx_B*qorQ<3#8ZcgiG1!#VXb3zZ2LYyU!aZbl{GmH3`)&B1qZl#q z00bgrU;6Y6Z9OLChd!l$ZwCIDf8Gc%&()oTwed2!r1xEKnWY3g%s%oZm7x_v1!QKo z!tbT-K!D&USm7Q+*#UH(j+u3Q#lW&iE>5_>)h@1lozLpA?J6OL>BFv@y4L<4+(5Dr zQn!zTq%%6~Bll;&NX5PyskgiE{HSf*fgO6#Wu6{0f7FTf!>slgS)ygT@U1L+{n=UV zNl5`N7*@DJ!D}vJ&$CRra@AHjK$;AzqHtM}`6aS^1vh_~F+p0a(3I1beg)bi(Y_6n zMDb=}{j^4$kMp1jGO>t9KslR_I7TUkJF-az#t%>tlGy4hxt=n{AJk8zkhlZuFY6ci zsJrCPt$If^*iz~>=GxNHYc|s5&`Nzgc?GZs3Yz(Yb(%LM$0iq|Lf?CfQYCVzY!6sz z>ZwP@c5+<MP$zXEyL*!79GO?9i{DpU=J)*;O5NNfV#6%WCISyEmyQw<w21K>Wxe<8 z4aTUz<fxrPk`1;01F&K5!m`?F*MyQQkzalJ86S9NC>FHImr=ZH@iNA~HnHregR(Am zKohhqiF58<;R0Tk?CXLPHD8Tv#7P@@<UD|Y<(F5kl4Td$x-{Lt=Oh(Hzzo>`0>95E zXI^DlBWKFi`PxEXYEA*9<P7xpIg;bR^BcjpTa+(GxWDtZ&qt(}9YST$8bM#a!e}|A zF1JejRfuHE7tfYg8Oe;xc+ifG+sdNW{{R#{t>6n*P78ByOB1ki;;xMn?Hi1vud#l1 z=<dZ!$6-Ia;at68tm;X6hhemTYB|&0lEy%|o8(2dlcIZH^{Fnd*2Uel(J}Hoze+p? zS&^ym=r-f_)&m;bsuuNAZ&K4Iz^N&myF;)!1=OQ{#AH@BJAKn2$<h27y2cu=h8hA` z6usSy@TCXN!5ErAT?FjpjyS);S`XWyueAB~vh6P#`rlzr$I;k-QPAvAw5aQ@>T0nK z4LYwL_m5o3De(Yk3_Moe(FM3Z?Hyw|B19+*@s<kO_v)0@x^(_{sQ@d{bV+nBW-WU5 zaeTkza3<_hfBo3?geQBk<Ro`@CnNfDZ{(bFFkyi#+G~bf3m4(Qut3VoYTXcx0tx05 zjG)IMWpO<!VmkM<rH(?g!$kd|C!n_UNuSPZg;NK_*QK_b0Ywr{Zi|_eccx=5q0KMY zJ2-GxgCVb5)eaK&m`YHa1u6;*3=+)Ue~fvs(CZtYtDmMOc7W$L*6L~~*MlLihC}|B zV7a$5iVwYI7#jFD2%b>xe+Nw(IyyN&1-Sf?xG7V5$^@lxL+>ZLK^%fM)qg`YH~q6r zahm=w)zFkaLE~TORf=zXgb{9m+8H|-+x#_me!DpxGGu5gkLG_+sBkEhAL@?$MX8yw zgS8vr`PHP&KNM6I_FR|-4+iG*+?9La=OszG_bc?TIEF>!HW8>OeQ53eP5fHpDfO>7 z!>6{!4yJ#F((&j>9-_j)>=IseO|LaxSJQ*`S17>I+}O_SH%VW+N^QTllEp%0UB$mi zUjs{^CW`-75@2Wg^f$QcZS8%cqIehD)kTH|_6>bt&RcNLp)R6ZSL9mUn(XWmA5_g% z+|0IB{uY|)v*&-2N1&bs?fJsMVEh8)SGWaeVr}&oApcog4i0qBU_j$$`GRf(nqB!% z{*W-hm7_Y<3Kr%nZsNvFZ_(%QTXom<Yr7ZOM*-b_S8)?p^ab|U#dUOo8i@a(P8|P- z?+&zbS8;PWP@sp<FM9<9vIaT+26(+&_UE%B6QS)}RAjXO0C`4k0h*e*{1$kf@Xc|% zKR+I5TX4TBydJ)LYsS|CZ(6{AJ`y_c;eX{_8^UkpUF*B);r>~l2Ei@7e;nPn;;!Z0 z^y>XAua5Lr+)vKEKQM24sD5U;QT)mT{Nt$l1Ma5H<9``%`q0qag8P5d#|<C?^hm$@ zi^GTEgL)DvAH%}{U?jLyKw=`U7B2Qu>>MIYysjduJnXD)&P=lQT1?NNFCsfQYiUb3 M*h@d>(P9(&KhkBcGynhq diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_physopt.dcp index 97494801eb6e9c500ad07b2f9a662255aa2867d7..ba07e8c183a39bcbbececd336016786087209202 100644 GIT binary patch literal 201806 zcmZ_01CTDy@+LgCZR?C}+qP}nwr$%yW1O*V+c;zEo8SF*@9y2W|BR@&qpQ0sp3ZzK zyRx$Cm6rkrK>+{&fB*mr_SVR)3!V=K0su&d1ONaBfCMl$vZr;ou~tzA1pt1G7B?GO zo^mRrM*+CGe^WDNzrvGD(7fPm!6i`NI<f-b^BflrXVD^oAsG7hZP@?KGZ>M!1&qEu zK}Uygr~jaChb*7al~3KB*3n4B!|N<M94cIgS!4luT$Wxb*<1Q+;+j;%^VA#rtf>Mt zfvL%50UT~S(d(>b;~Ms@b^ei%H&+AAQ_ixe59pLDb1+{9m>P7?6dWiK&>p&@dr!u% z9L^tBD4){udeprK+Dvp6%Sm%DJ>VQ6t+NrT=h;h*O7qJ+$w9Xz!^JsFMdVl1l9b(S z=Xr|=qV``$q<E$E5olwQHVSiRY3E6k7h_Iy+qVGI=CN^xQl{*Yywb=sXc=+MdFDjo z7TnU}ZS?X&27`fW38he(l92+!3E3cV0X@Y<5j&1)#zg#scr^DE1RJ%+imL173)A;M zvVZ?dy4lqKCV8~@Vl_OVFhi>lpYO3-;SSIZoM4$~)w>^&nIqUV3$tPQtT^H=Ajm#L zD&tp9?o82UY4sl>^m)FvT?}x1R^rKdA-4yjL9{_8H_R`4&Fub7Q@di#ygfeU^2L{H z=b8F6xm4b|hHk4m&M}76h0c^V%U^n|<(<0Ay*A;30~y49P6TpRdn|+(q8j#v03H-^ zoWU(k%gRn{I6J>b=|U-*ZqIp4M4}t@Yx|JHpEK~-+cJH0&bsLgbmfGOkxWHX+nB9& zYS&Ant3&*LOJj894w{8sm#91h3q5+}F{Id_efav`Jh@9Zob$NQL(mE<m?$i{G~$$y z=Fusq1?g`z(*%tqb*MD%zzO9PITgB*_h=ny9H`YRY8xCUae-*!H;Qz<7JB?nwO!#9 zrv`u0jP<tR9en=W;|Sl1P}x1Y{C_ak^8R)i9S{Iu@u#5w!&nn13o~0i20b@N1ABWD zM?EKJ7eiXte>2!8cFGEf0b%I+U9HOqTI&zR=N*aXtvo_SiF{=A*eRG1Qet(-w@;Fa z`Sbo!UslFW*2=Y!rOv@pZ6rod4>wOVSP^1Rvg)z6p{+1Ha!tb|IG_~VWgNAa$Twel z1`MwuQJgV|R2S7Ajj6YC;6P1&_JcwN0B_ssuD(ePCOIk<`voxxv`lVcBbZi-YT$V< zU;#tJetfTa%`}ur%ca1oIg*$4C8pK|gWhi&^vqxMI3c6r#^mZ5!N|O8R5or9El+`T z*NQ+AYe+R^0E`~Rj1q62n^d(^Cg;DIF81CI$2gwcvdl|K6Q?zGQV=}#1e?0>(Z%a@ zaPJM*9hzdbKHEXej4sl*p6@qy$wgwIS?}iaUqJs;saKMXZ&W`DW&LplSpTz9&5i$$ zXYh#=u<GYW2)TYmb*i2PAvWANBw;}5Gi+#iXt}g4b+BK9?ZjsJ?!hiloqJ-P^twOx za)1f3;FNkC7J7SNj}RM}gW#1&;N2Y1o&YJ8?5kvBS1%Ci9H5NYzaRK2<--~Hz3xEM z5Qv_*+zaDIGWUsIEUs?Lm7ZpjAyzYRkla;cX5HYOi%u;=eqh|8ey9+<o^+irDAsKH zYeQ?B**riS<i@f(j0*zU|E9wqMttBG`8T4eALKO~XhjR#GiCB*@9!@)eSDJ!-CCeQ zU}e*~?f^oVKregay4v1>Os#gpxcOGJzE@TM*N(eK(Dvt4;ftWE1!)S}R_SyheS~3( zLr%V?Y~$sdNR|Lz=)F{DpT8Y2P=0aWOl|r^;M*<fVn9~&J~7;HVE?IWGj^VosUHVC z`cwX^uI&vR4Q&3y3j>Y<uzjmryQ?y=iwF|@BMkIx|2h&F(jR}0<{Q@g`3D^|mGaa7 z4i_GwursJ0imuCov-k7v?jYyTNe>uEi0Q^p&sVm?M};|V@G}9`8b#Wn$4<G)fux^c z!|pU-TMuMX{Hg$Q@ME8+{^bGU>Rz0bYzo+x)6+&-uqjg9Kr!~fD-z2NEgHc0Dy631 z{XJ84j`tV7FQD9pZMPu6`N2|!zbOqBjl5<;d@uvB<_*!2#H^2`GX~%LAyK3zycRw= zTsGljxcEP{;fPaJqxqu=xF7Zr{5R%V$eVjO$=N&8I-1y98+iP?Umry7HbPBMOG%HZ zQ7|#mGtMzBGAW8zDwm5>PEATEjLs-Qj8UjS$kNEm)2q-kH!!j`F*eHIxIiiZRG=jS zjJ+MD{Q>}movMzGsfLm6WrTv!78*5HDjx&*Pk@~Edz$zV004EQ|AV<q3>>XJXx)vC z{tc~0bHj0i9m!`#&DrFsFC)?A*2GNpU06zaQgbV^ILSdqrh)(|5kUzA9l*p&>Gk;v zjP#W;qjD=(f`ieHAD?aZ1c(3kJPl<LmBl7rqI*4t$bmhgj2P2PW{oo8yB-&IcgjnH z>wL%b<|*C$+BE;laKHH%!)f%8;<GJh-lFVbhtllY-Az?@s>#THQO55mU9$`~M~kJ7 zK_iu%SaglsdUxe-4d>gpXWEv$yGv}0xU#LkyEA&*Jmp<Gz&4H+Zw3f)*L|kxson*W z7jI>%l}?r`1zh^MluD5`m)|yD4B2o+FLeF)u)b54M#g7oQ^bF|xpI}X6-<%75SF;! z9o1{b>Zc?J)LQF|{qO{s>UZ+xOD^-C`FT~=+rFGxVf!L;F2xpJb)ZBeyYR#MZn*Sy zMa0dTZVfSp=gREcFrvSk)4`JH19bmvj5L|A7wHvct?8_b<v;i}FFrOjt@wHW`R>4E zJ;&3csaLq}tl3>*0U9?}P%n=Z)TI{gxzF$few1NM?6Zzd_rrwCEPlw$&Q_c!+4q}- zB%cSRnunLcpJCday4)jZHzwkk;O*sC^D0`#21no8mOFX5b%KVcqa_@5V^(uYFP}8W z7|-e7Hy2PgwSkBP@@9%+sAlHMXyur#bD!lXsP!ly*rK@L3Y1Qh-JL8BN*TXpAcaCO zfdd($I163_CS=gsOQz+Z|DF!6P(zRo#e>}+%9k~T6RV?5z}TzJ`JLrek|gdG4A)>b zGIv7o84;1JZ{8}P3<4&_>%?NnAwoA;WFk|V=i$#@@YM$mIzWLL9b}F)oL$~c%T%2= z(B7y)xR{D$SFi{N;+i!!FUd#No;r2Zj7Yjo{Y)Lr4feGsPA&^P4ciNp2sSY>;3`UE zl{v|7aaiP-#TqP^QH@Y+VsPl#n%5Esg&d0LCJv}9pFW&Jq3kPJ+SoG8^^$h!0xPA9 z)m|J+GJ7}RA$gdzzN~dQwHM@%(K4<;o92a}5|TdD7*8E8eOC%}J)m(7T-<7a)K4Nl z=MQoK5|}<6N(hn{XpXZPE#!_*f<Ssrm=33b(O&({A!$d55odt18M9C<0>It39qQoj z<VSfp$oIezexRQzYS0ffcI4g9oL=B2Q?!P{5c+5GfW9xtoDpA)P&QZtMgptY?Tor- zWMZN3#TG+`uTkFd^$RR7eOeJiEQta(?36?A5ZaWOpA~0w-@+a_rU=EMFo(xXz?c$% z%oN2)vtNQ;#%EqsfpbW@A0jU3jAt^4JqFC}fFSf$hdYmSkFR1>ouGZ)!S%LphQAZ> zv?shg4XLyEE~IHBhFiR{^_QqI+={R`&~P(51znqB(Rg*alS*wt@`d0YCtVUv;5-JR za!Sz4L6~S~u^P3zc1nSFg<$(9IDgjh9?H5VP<m92x)h_L^P@n541>RJ1uM00*KTEW zLI^>qD^|uPh18gJV_6)qcA<qJG&o6Uvc!bYC~8I$XRB`XGecfGWB7C&M~)$07BqFR zc1%OcBtrhkNew41G0|jB%6F~F!ImC84>W<A{&X(#O1?TF6uIUhwd%Nm>5Aq_T&20a z5*Z|Tt8N?8eJQ(jw`KwO#GHG<RbPpoPMgtCxTz#<Ol<~LBy{ayd|Dr)JXo4xzr4dl z4z)lHf_)2zN{hu;wpgy!4_@f)=C(~uG_Oj;YLzn%>^+1WI}lHX2y>AhA+ro6&W1t_ zi1v9!15M?DsIZ$=S1OIFdZ|*!dP4^jeS{)2ED$4z<I`lY05*AQ5beaWf)RC(oV`LZ zj5E;gb{VI94V<*!{rtpnf`5=I^)m{kXvee~ER(asyGvbU))qaQEN_Nv<E2t=FXdj! zA)$%Wjv2ytY;MU0RydKA3RLelmr-5BUm#Hh$Fgv#yZQr1Nj*DTWo;8?z(e@&A_^f| z7)L<R#Dr-mE~?{!m}nR&1w&TUG1{rW4aF^luFd(l5f2+FAAF-=x#y^wP^`QbNzf!| zC)j#!QB_+J;aIw9?H^-oAf0GKHh!C%BAlW9SfW(zC~f`H$B~*c{`MV2#<+`QB8Rb~ zSb2W8iD!f~?RaK1>PlJn0Lbu~4U+Ndd1p};4dVT?clU6@U82+Qju(Uu?BO$v6~^*{ z`AX5CeNjsyDB7`Dfng4s&pu5@O}m1<1|ya?to){a!;{Fqc$hDRt0l5)6c=&#E~+?0 zFJd67<fiM-0h`KN#Q|k_!bJzW^0}rFPxAKP${NS8Dhk3d9Nv?RBhK1%sQ$>Il)wj8 zNr3mRxT3gLUnLT(U|;kT4?PSrHwh><!a)Np;RSmLz=?Eihi3m4PII;4pc1+iLh(;B zwa6Q!?cE!UJk&_3Ed`Aw3eu2iJaMk%X&Y=sO${MkHW4x&<SStX;$ISPM4&2(lZXqo zdJZ1iJVqk%&mE!C$+>ACs{U%W%_+Y>t>M0kVCSH)TFEp>?59eerR^kcNg`w{auCg} zYrvyxTS`IU6Uj-J&!9wbQXtZIf^?aFeSOG%85tZge&w%y6foG90=fXjVQcfVCb1!> zn0-JkR8qeoAiWF-KhpSqbM|w{LXT-S=-n3+4rIyFV)dTLv<uX2{B`Y|dIwk}l}+3a zctq-Dk%OT~oSEH+_7O3Jt0Q}1407t$G^eY3q8Z%D-}vj&NnOnS%OGSQvj5sl%IW0W z$KxnY{<e5erTEn*K6uQASrR>IjXrp$ugsn~ikwc5hGU1qB6y6|cki)i)Q#`16irTV z`}6;aXF54wW=GKg0F=)F08swR0O&tP7Tq~^b{p(1!#mey1o1u&=;^G9I45#+f1!sW zlb9P0TyV^S`uOdwn;o)NHKi;TejRt}B`R7cCQ|X@WCKLy^rbVr-V?s!;3bA>B#xX} zW{_2VfOq1#?*Dx~lJMTX)@{gJkh>o_9GKY(J5uWZzIMtre*LT8{E>LLW^4A^HLmVv zkM&nq_HWwPtu3F&YeF~njM><oj$Pu>*!ky#^&7g@Se?hWuiNLJw?u2+_uZx?sSZu) z+(h_q9GK9#*PArIJM?#-w=PZ6DDGU+wU7J#I6q@b`IgM#`=K(@_l$4xB>3+LPHEO* zv$*?^7qPoUTD8}(`>$Qo!N#%H;*S*-#ta{f++YP6SS~Iu>j(OoLp6r48OZl+>cpkP z1c_+53DgOEB(khY;~z`BKIMkU9{@cM)2ulSYo#{52C~tjqGcW9=dWu`H~%r3i8{MG zi}-eXWeTsGSalwgGCgx-$fDzI(r&RIo_{3@%4~jS%N+VfzE*3L5jpzsVtnHJV3Q<U z0Dt}b(=bN<<M((xk_Jb<4U>M^y;&6v?Ho@e^N{T%ijnnXW9xWZk@;HQTD%$w$=i@q zlt=o!QNm?c?xvn`)0XM2HGeP^V8Q2DE?T;?Wyv``IU%^x_Aey4+i#l<@VNTA@IbvQ zut%>RwJmgZ*fzhQ*KmPm@%j#tWfGUA{*`_$^FWe2f`71O%xZ9Jgf`=2{KEe;?EO;j z)&+Qf6#csGHDh$$b6<CiJd6B(*6_4r_4}Hm8LMi*1<G_wH!MaqNrYAAc;_WPg%1gT zy8DFM?D^--92pG%$l&E2m46JM>|u6(_j!)x`N^VnIkM(doBnCI>%{l#`ij`u_QU_J zaeX=CXFy%c#Ckz@e<)P&E2Ji*{E#Cr#XZR*imcj=6D!x5D-GRnd{Fa<J!u6NximH@ z$*1(OF7MKrIot#JfcO0(?t2L9jJ=MHwXw4~0k|u{_Wog6;e$i&HT~hYLf5zN49-x^ zbHK?Nf)yA>pA2}W-w86W1_mE9em=u>OD#{of-IKS`lpK&ZbwKKjLj9AT%OZ5jdz%B zfkQ8bEf(k!Vpl*R$aJKm2a>_G`7Z0;ii<*XSnLNDJjv|P$B7Z+`_}sbo%9?q8;!ZG z{YGsc@1{PRj=Akkk4_M9Tc0h~+~O|w23YGZSm!T6rJ#`(9Yc8A`Yd{8mY3xxblU+~ z*M+cSFs-ltcl)5N<ot?0w@u_`%NxM;-DT`i$q5<Ndd|&<V5yZ`r(C)|dC4eInAmno z)yYfn%#7=M5pZUP7zAP0n3&$-jtKsy>-7q|+OwHEG(IS{)T?a0p|k+DaIu>78M~E* zuy5o%1A1GaIT+-nc8+HHD%eS0vs;u@)M3FQ+^vp^w)H66Ufsh|>?yvFGrTGb+l`OC zvNNYH$(L3dR=z*@4+jbO&!IW8lV0ug{iPV9CuEHBxL$Vo&|bD3y(gC!*Z1CU@%l+m zxkbXvu93*R&5I9_<Mxw1_a<SC?I7oeM0|tpo5JN8WfLm_soY<o<mOZqyy5#~3R4ti zPZR-`nLGo`fTKbshGkDWH6^zXF1cu<LZrrKUjZ~Tx&2C#8Vph6GxRuYG*-i}qkaZb z*-v0%q!vLW%7SivYB;GR?~w){#2ohh1oG)m0%U9+R;K4`ZY{t*x>`jz`V?1e@^dX& zk%lhpk|%JT9o!M>%ro;NWAN3IlrEj9O6JYjH>5dpu&LexxHF|$(>?^aZKEd#E^@Bn zjUdA!q%LfN=hf|P8|{F|=et7*E6csA`F=~KNZnfD)IS|c#hNvq^*)xFSpm$b2&tE= zoXxbUy|Iv;^6lVHokyPanKzStS(8jjcu+6!OV5^^qY4@-$t_~2Flmg@sPT?zQ_PU& z5dQ%h*O|+pYLX~>mVv)5C*)GYHyBXMHJQG)_H^Mk2e8*$Pf;?9YZSfphD%h~+5)$3 z_uzu?9GaeR=0}^#19%Apm>5~6mvk8RW8p=5lTC=%2bLYQFGh4BiKql=ff4$+a!Io2 zrf7y?va%x<-n&cGXg<=Ar_H+%CqoWF52yT@J7jc>w5$v?E3DvONQ$TXlFwSJ%aI9# zVC6t8yr2bXR;B6_uX%5>O&DhUl;3b#`LB0r!Wkx-jMM~&O8_WC^nz?QHe@hD0T9v* z&@`rP4zDnR3NS?6_DWBt{ag#7GQkzH>g<;)Kb6GJ4^)|uA>UyLw2SN(?dz4R@Gu#8 z@~;f76grg)V+`sIxRPQ=qtOZ-A$S<It+*I?y!np$w(=dyWmT=Xk|)P)`Yvr82<o`8 zNV>IBsWYpdkN%R%1M=)5Erpp`(8zj{vP0yQ<3G>~J&E^)mbY|&Z>r}gi#}RmhF`}^ zDvHs1pLY~9*6K~@|IE0JtC6^L!fL6Kw5!`Kf~hR3NGL!Z6eTWVFOXVd1yI>&C|<f^ z9wUp;b23T{oh_1%^)T<ayU*7U1zscyQR;LHf#?5iZoN20Up3-$URW~i&`OqlUfpon zLVj9?-$Lomh?S$o$oX4?ej6Kk#qaktJC|l&3N667rA5~wM%f~CHmaRwM7+2yT;_EV z_Sgdaxh6!}O3IDKM9%-eYR+Oev(c*I*hT=W1{Av7blu9<{J$AgNmB~%eoZu;V>BtR zdf$B%dNzsF(fJUTfDDV0mU#Ei#~BM)XT7ZK92Frg&~rCpFJ`4u<$5xdQdy_x`3jSj z5N^_;w#XP?U*9Q2SnRY$*Pzp=sS)lc%QGO?@eQPJlAu%#$c*N5de6wny>R33&KyfE zYfSgn2VS?2Njdppto<dEDZMpjMSZ7e?^q*JEe&YFEk^?(#C@#6RDAG|8uhxej-fN` z4nV`AobWeS=Jf2ei(}1Fz9Ls;4d_5GNCn2Mcz6J#-#Hks&%4YE{WK;-h?SJK0|4ed zvnDb}qEO#AiXWE|?UUU64O2ZRqy3W2?XEe!3QU(&y(&fxp7eu5#;Fcsh=(If_tvBk zlyZFPdQ{Jfg${t$#b;!aR#n->4b`$@k<{D6k>c(dh0-4JwDUNzp<rHTSWmtpN=t8W zGP0emqXTm&=c4LG9T0?${-qYulp5euNQ?hbGL#NTN&x4rh8=3TOv!`rhBinpwfK1Y zX|=}MxhC&VDA3H*yRn2#|EzEFFE!?I5tl=7s6|335z-`_anJaQR+h*m)5CYDMMS8h z(nMDICt#`e+CK19<*)deFa;~0P<81{ec^St$s%CY{n9CY(pW`nc7PG}n%G}fnVrMx z!Rpl=!`;3Iiq^p`%3)s<Ja|`6Itz!)PPpq%6}0>Wto1Uq?s5HYlVe7GF8VVi9DqYM zj7zHJMhEFFWf^B^`t#0u!Sq_|zGcj4Frp>#t-ZU7CV#q^s<5D4=5d$+SeUi|r7O?V zo=sJ_`lOZL+`*;MDo?@pldEmX7mu7LiH-X}U?^4Yfe|ehu0WW&L*Ted!TTv4q|b~Z zeZZ?zBvG!djrjFS-z@dqTQ4AH(<*{yJIPQjjiH19O-71q9)}o(P5MQ8L`cI8D9A{- z$gXBB62OF-kt;)JC~08gt}=dmL+@wTj|X3HX%H0?(&sAs(5<Lc=E&2KOoTB5rT?4; z(O2f^ll^md2*x^t#hdP7wc=H<C85S!@y)95_QHdXu<hgRKj0pEM3zZ*cx>kV1iy65 z6nFY4D}rx|>^xXMO$@U+H#Z-lh<mE(l$=Km%SjSR6*+-VACQZ(n5MF8>t^=?<x;iI zq;b|;iWlT?(N5+DMi;I^B}sHrN&ThyXf!uTc8xox^cg7&xSM(Q6tRRe0;RHW&sl|z z5~&~OE5y5vB~;zYqLR*7gT~5*?}?3>mSAaQO~d9AY?+if$B-Cv0FC<zpfoI+h__5B z*0c`I?skX-lCE<L&d6|LdppV>7-2q%tG;fyHIDXe_wPKCXhnHynWAmOvaSqEm?0!d zbBr3AO<*(s3|g+^Kdz=oQbYy#+d@I9?vt7R@TQ}2K=v-oxLJI*R)A$=XX!n9sncHK zt5++1<T)$1K)Zx8ZnYLVz*(7z7k|$M6Y1hCck$3F#H>W0Cm>?Qdqi7wO5W|Uc7(P3 zb^alkrScVN&@m~^v|6i}yi_h?8Ciz*l>_3K!XieWt)&yDaIZ=l*HGY{1)|{#Cb)2Z z+Tk;^WLvW;t-@1PvASf!X*_aF_@Ay!XE)Rh3_z=v^oCOQ<y?=`$#tPbX4II6phma9 zhvg(wnh*g*keS7;l^@dI4L)0GAq>OJ{2-7X3@NO%k|pwm4h$QE5MQFdZ|(wq*iuw6 z!Pp?855uAWAWZDcdp`fXQgpPAqEXF;N`K1`Dw1k%5iK3t&cTt1mITVrNC|%^OH$cH zzCbR<;t(+$CYRvco+{5zvs`|t%nUFWkx0EYUz0A4z<>3UmMA+1AY3NZ7%k;Sk?)Eo zJ;r7ZP?&VAMWXX#r;EhvT-ORNjru+t`07|mal7-Ntfkyri^lMUYdOhQd0=jlR*E10 zW-Az}vY-9{Q9Y<&;Hu7yk#jA&6691bSc&;P&3ZTT=cs9Pt{4n@(M7WXN+%8Iw*2@V zd&7CBac_tz+a;+$knP@;X>o-}3WT{lF2ed~;i1DDd*FGWVs!=cbF0jR6`XLZ)Ej$r zJ;RF1c*>%7*2-!0u{*I)zy6(hW;XYnjAJUEZJz-FK(Pz%&2n_#*x(dA`&Qn}`pR^* z3X)gDGM`Yq9RD~K+*UB|S!L(6>V_6u!!uvNXV-<Q!1s6OW%)kPVt@cdPyazu2?Um) zBOxBO3Pe<peu3L)=h>U%X$OJmX*yYXMm_v=Im<|oP#nDQ4o3J*iqHs&$W*YAIS%bo z@bVGO)AZx3DcgNBin!R(EX-hP4a=5g=`899GLc-Ko;xB!%y`C`P^gwg#b`>EDHcv< z_$Im3AhZ8z<Lk2-tXwR&2Y<{49n!VWuk=RdQHi4|m;y*Lsgrd8YFn$2ZA3HcG_5Mi zatgRWMGt1TS@A`tld1|!WnOlN9=X(P3y3`*y$WO*hs^l}lU#Jbxy=uZdL%YAph^;Z zxp`+-JcipaeIMm@&rTO4BWrsn4-Y2&*K>{v=LBkmDpM76lW%yR9%~DmR2mV6_!LQt z_eZWS)@o1PqB}7C(_6?*y|P?)8u0m!2s-tMEU>^7cBomncQ!0tC>$kdmgj_oE0R~e zTJ2lr4d=-HLD5#H?px*wMgpv*559UIR~c5XCp{mga%YzA^zX5DFbb)_Xj{SANp@k( zDzh2lhJ2putnl4fdH&Qc3;isW<L09!q0@xcCswwQpRYHytPqM^C#$-q{b%|?DMI*3 zX{$b|tswZ%mxFFU<|SN)oQfLSY`625jMJaC=A85<4eli!WEb?`r)Vaa2vZ6>SaYH+ z43u-$M_ZwHih844cNFSw9vToNMT!er8(T1Qr{thA8<{)yJO%p7nw(&sMGlpMW0>uP zL2=3;u@-TeKaV5VTf07vY8=)Gm6$*xL`$-Z@tZ9^8%G-LCAgIj(Ty8o;A%*gVe2C? zz$u_`APVNa^YnR!=%E3hZ5lUa*ut#Zrrgvi-7FeOTd`_D1bacZyGpl$sP0qDb6s-x zHfDkVrkHQ9mtHO5Q*0RzQO6Y&)d7GSzlIMl3$F(ii|ihyfO+4L+fNGrJX5OjkKbz; z7@ipMzT+_1yPR+WlZC2n55)2k!iY3iDPdEV<BPC+W6khcb8P>^{au_41Xxk}aHAfK zhsaN3l$UIb4EM+~dWRhIxz3YBnQiXc<w9K0OZC%}?FdO?J%V~AaY&8BT)gr%6OL9m zs`Thy0@m<(d1OQeH>2_b&sv^$CZIPw6%ZC}*6qMPPeD44AYVA;FH4gAsgdQtK_02l zRKVYQpfu4y1#pjMfPT9g%mGwtD)YE#07GdmGKk1Coq`(9jYOObEnI<ZJ#4y2AS6k; z(II&Cu=-|kRHc`*RG_>V`Cu4PmD*`4>9AU+i%1|W$i&#tTcHpC8CI^Tz{9FFEL?WJ z2hU`nYmlW%6tF-y7~ir$+?FSdawI4!;+h{_1;$iLQiz?9$0=XJFyV+#7>7SMa;mPE z+scC9Z=hd@HLs4OPEf>eEt*zeclcu|mYy*FerB)`TOXGS)da&4sRO+bySsPlPu;GF zZ!9e{JRj?&!c`a@Ymu6XNym_a@-#Q^hgJdDFJ5jkl(<WH)5|cU#AE_rEG;8hkr3#Z z11?Pisi^3|-Tl@Cul1`vWO3oIq&ai+@IFG6h1|`k7k}z;C46EjSp`l8Bd4h*vT5<X zyCr;;jmhCtg~SV1<bg#gZeP%6fKg(V=`h}CdS>(xLsxIrI-+UuoxA6wnsp1K<2z1e z^bk+sUh^2mL_oAMp4?1b_jOAO0}EyeZrF<NQY<}j%7_z`C-5e(7?jcN2WncpjG<C9 z0ffZSU3Nfx1>kFCQdZY*O97Zf>Wx*<ay;nUn<X&E`#@KW=E`AV{4uD}`8b_k-MHyr zF&d7lR}oH%uRLkHmjgD%S0t!%u)+JOr4~}od=`1*2kq56a_i$iFhdk0y)sL24MZ8^ zz_Y#Ee15-#dDf3JlVAZ5N~yU*g7GUND|Xbf#5eu}<KDaak8&ewBDOf!P}OD(a;u_@ zQK14tBef|yftjV_xPnG2Tpwn|M=p~#%@Rd8#42POLAin?GgPD8(UUg_rczLYvsWNi ziZJF_Vzy521%h7ZmEI=G^>*%}Fqk(pm|*J7CDK1O;UMZ%Q<2m_D*n-)Y##(uYdqFB z#XWhZsIU;eF~^MTt%b?}w(_kpB0}8wOv1I!#(_iL6*^Z^*5|`N{2X6M80nOswBbrp zk+wIRXSmm7G6f&xX8z&g<s5xfA^}-S6>_`2E!VQrkZ_H}(becP@+HI2?+P&f@lOfZ zdJ5n>1rN?U344D!me<2Op_3#Ndft(2w<&KOcUa6*Io;)TI({SE+Owz3*WRy;Oz-BW zf!A{GEQrH{mO0y-N(O+1aP#~i>X98th>Xm5NtoW>Brp<3N5c9K3E1MD<=WM3l%m2$ zIK~`bviv=Zhe=d1k)Qg!lz+%TUfXP12JI<Xg^jR{A-`e;h8K-D2koS}`hN%$<BbQX z|3kQ8J4!O)0W9O!&qFRC0A0&niMrp0JScJ0?K_aiuFwnSx6y_rcAD_8#_=Xk7lAoU zEH{xUJr+C|apPGWfZaB>nKa~%KTQ;2{s8D8FtTKnG%Fqd7b$zB!|Ny)ohXma4kBUa zm?(`ge=z(YtkP5I^;YhgWN@Dj-w@@=Q_S8fPlxBp6ii*M1KuwI)9nW9cUQ)f22Xak z#bPmS@PO<v6kxXAOV^wQL)_Y4K`en&MKoc6XgJDTtw&Vg#RVat^|iA|h`K{$90;bN zcbp@VDyFEj0`{&LQ{#ei;N{c<YspK+E?q{p3D}EFHEI#Y=3ez)T4Y1L=yNy5gE=*K zS}323oXTj81nu(|nP8DB1|=dxt_YwQ(L+^014gX)NT=lmYt9mRG(vz;`8|rH?0U;k zzPA)7fH5-_2T0{`Pf{<b?Zo`Ie%kTY;_KCeW$a`ERI79!f6dOCQ=|Spn8$1^+DEf? z_3$<U)i30T-G-x7ZSnk6`?2$+?Dpl{agYnas@sm`L%^tLvslSa`T4=!duljmT19AV zc)9J@7T*{Cf2P=f9YG8U{N(?2fd7XSyP=(dqw#-Cgf}ZFNDa^<_&%$FJh;RxwRTOl zV23oM$ci9BS~zYM>L}5kZQ^{nE!e;$@SwsHy0yPM?u?*A$FiAY@wbxRbMF^9C*C-7 zW+voNyMS7YrI~_$sk7I|nwoF-YG7rc(O{Y!5axvU2fIBe)P*qqKDQlW0D&&Ac$9}s z4f`#x9IzC%e$v5lq^)}WA>?;wrN^gs7=l<H7?Y?6@?06{|Mn?IB20yb6a*DdI^caL ztqC1@@djX^RX-?cr>WKyn^S7xnJLx@cUFC58$m<2-uQ6PQPyTrk4B}hw#J?@Z1GjL z|7SGH8nM9j1Hikxo{w*>@S##l$klcOAWN)?I^tCcC!xE6u)=u@bd?zlSE_7nfTzI_ zb_7L$B9(mL1!nGOcXB*ctE!}2+_;OB!ujgbZZbb^I7=7noqp@d=>J`la&)h~HUt9z zu!Ro*fcD>#5KiU>_9p*c;E<x>VVymMy0bQ6_osWfiFcSV%z^{x@TL}bV-KSGxxTf* zEoaN6zTmhji8>+*k`R*3W(qY{P!p;ImcXGL2^6XZ3QC0orCFf_s`5*rpi-VhGeT0r z9H5yHf#S-GX{WU_FAKyj+5P16c<R0T`FJYJ;px17;<3-laO&k@@?rUc>ptazQ%b9} zMTK)giK~oN`l_7zLRzQ!D)ee0tM&3y+Ih%}Gl_{QM{;wny}Plf@pFB=6g7&QHtoXs z<3#iC0RC-sal=IO+Zw26yti2d9t;B?4$sH=+^*nD-Dg;YIj4qf=9!_b51AdDG1$N^ zQh=f3;AWeNr6(0QnV^wm_IiVZr4lKOEuwgu=%X_VH&HqjOnSQ@CsTHIxW5{Pq^co` z_p<XZC22EBg_|gSfjEaTewa+*-;@_K82;g!2Uuw3XBv47^zw2G>z+V?M1>Vr@(_et zHf{9jQY=F1!8SA@g9M6ACTr*jsEFh!z`7^3xyZB8mMvCjBnXP3HZ`W<5%r)J#(=-W zTa+7<B}<tssC1SpmOFlJxI7{Tu{t|5M^J$cH=fPwdzf>BTmhl5s*4Ih^~yE*1BAgL zHkyVm#~{}82Uj=Dn};gVqozKaGpIof2qNawC@C^g_j^o$hS;k|v*Pw5Ay%%0^Ow<A z?!gQ1DOUngMfUT9^aL)r?5ov8Do}xBi*B&!>oO{1$WqZjmPMiQWKEb}kQv&G)+ok% z7N?I3?@8-8q*Ci!G!s!%fSGA<;85!&N}wnn9ooZ8qs?WOJ0gcVQ6LwyYo1#Lm;|nT zNRvYWBNtuOYY*b26yLI{69x-$WQnu^t41!jpIesVb_iFaWmp{4AfQjlYG=shdxQZZ zD-q{o8%-IdS8^&I?b~BNKqL%vkmH1?P)ZS(=0*wDB}%1E7QnNu<<do`a6C})QxPEe zFZGA@s<+@yqcUJ6PbC;vWdG7+UEk#<WY)!!t({S~XUdL4H9@sQM>S>AmKWN`(je}C zv6y!(S%E?4OmK&xEyTrUR1zBV=4G<p=N%%@;L1xa0s^ZHYrvA01T}&?9530^rW_!o z5p3ZXSp&lCtMYHA2GXG3)b{OmEZl?%n&!WWhvxtmAQ7{bTLiyjArB0wF5xFT2R`#R znA40?Y37IypOx=$?IMat7quv4RvcXzhd>EtP?jupP>d)dDNSl=n?|dsHIgSeM{G(T zD-B(tOu<OTN&ic1Vu`H|Yb0@`2QgfKB=1wCN2p#0>c|L%ZbYiFZ3tCJPaDYI2n^95 zY(9=Uc{@kgMNNw+%Aj@ssEB0M<GY161`bRXf)86!>XN~9zh+9GlOcA9oqS^Lb6l1U zVd@)n|1@#90JuuwJz#WX%?gmUNWs@%KqP2soH{<F4HeX(4Wcw=s9+;ci|;?x&!$UJ zN(NLf@*+As-1G^UzFgD;;H?y=%GQV4uS5w4ZXn8B5;}7F+rCW^vy94Bzb&4ctxt8Y z51JK34U(UEn+uOFL|;p#_fpRW8Zvv$7Mh3RvOdHY#g(#vs{lq@33ax&xrHCBwh5Hc zzMJMD4W>=tC50X-5Ss?aCTy3C^x=tOfK3gQ`GZDFeD}_->ZqHCSl}hre16~yVv_N( zH=O*<!3V^)0@-23ZpqLPbqF_L<6JnqTPLb&A4M7Cul}gotkpohl$2!JK#nxXBOsnc zX{39@)=;@@^CFPj!Gc&?AgvV+*d89)#~VH53vJcXB0_Tss6E}lmrYJLQA=>N244S6 zA0gy3!)4%;4zsp+O^H@F{VZ5#hWRqH%Z=d+9x`ruuF&zf9;*K}(;f}cEX?x(ur+`X zYfT`-F1Yjy*o{kkTpzHsaAHY>cV#-}B3&Z@ces?8QoA&WZ+TKk=bT<g8!(<>VF|q^ ztCNQ@H8FCk&gP^k#|MN`i5t&$7VT4Oe_Y1ynD#IZF00t&p?hsGrfmoh%WvZ%9K7Kn z^ReH$)HOE{MOpJ1`3T(O!VBuYHa+$Z+cX*2>!0@DNp(1|9esKJJ~Mo-WnpeN8<>8f zb2Bp-e(|?iFHzBaLoY;MPzP4->3qE}y2CSRw0xT`-&Vg@VR!dw&(59C056<9U|TQR z_%?S1E6pO#m3=X;Zh)ih4&|6~k53Sn$iB3O@zwlxOb1`NRK<=OD$;rQ9b3tsF<)TJ z&dFmi7mT142AlHmS1(S2K75ADUUo3}`d_r7^+xOVA${X-|5SzB8FBYM(|=tYAgvfK z0_TZ%_veXs!I<)q?NiYQm=SMb@eX%?Fha5Q9wg6U_yuWu*5%H7AxZMwxOIGlruo*f zuYq&c1DWO(CI6XrPvsMKPP--C9(ZQ@q8jde!N;I;<h$xW>atHPSLs;eUf2SCk5yPq zU(y)XGx;!!Zp$-kyO*QyN!*#F`bdL8rXR~1J%)rHce@Mh*L)htn(3013c)ws@!Pkk z!++}XZ-H5TPNRcU2%yLL>kAhKL*@5tfJG*>M+?DnuktOz;n#)X=XTVl(CPFgA8u-o zdxfRN%t08po?!$BHLk0==PlmG{N?pE_lHU9CY%&LCv~?EOj>6#HjU3$MdRV^L4dtf z9qXzs&L1sGAQcqxU7d4Lpfr&iI`BR%mB11F?in(DSR{8GkZ)Ink7l$!txjtoxgZc; z@IFNFzCNv;GGpStPPt7hWOr<m8!iampSwOno-ZL{Ri1mpKzck7%5}p*WTbdpK*VrC z@O>W|QTuxGzV>;ddUpr_*&%ox5H_C!D#eK0%R0biV39y@K=L@Bcawrx`xcfU@&$kf z1negTZp?gGE#P@;{tDy^1m*|rGJ*G9yu)i`ef*v4T-p-@DiR2c588DC@B4d>H~Dwn zMhw9^p5T(;B`#>Us9Plv9KY$AV39yzP{4k7kLN4tN$l_q7MJgN6ReD{Ny9{2XTrQ| zZSE9~GaA1v=HMoQ3vOzK-3^29oZdIvH~LhLmrL5moOf7cihbYL-SLm7>f=lEfqTj8 zm6ya&NwP8ld3vGt`7a;v3gwGm<5!TS6mUEx*Vw3~nTig$ev-}ZZ1G6Vz9AL5*S+%T zMMZz{V&-y+El!af^+!3x#9X{%=4A3$6fWHKUtWGLW3>;L_fbi^ID>~?mvV4c@>xZ{ zwHz0TUiEJ7W)&5!*AAEC{#4Zg547HE<DQpd7135PSXRMJ!pb<gluKAfXO<0@YjM(h zUQf>zY?m>dM{x352L4<Q_-U-fB3d)2U)jz{-<JOPv|P4?;aop6PBRtQNyt7n){-Bq zXt;Pqf&3>02Qf9b=5~m%whV5yLo*YPD3yOu*IY#|_J|#`G!2?#9J5sU)vE+<rRvtL zA?8pu9!2_bE9b%__Ox!5Ty}m7xhng08|vv@cv6K@T(w<fIafwmEODj9Z<53;)X`O( zrkhRJ{roVk0u&+=_g?Zho_C=U5>!rHjIJ-U;E51;8HQ91D;2O30`oVBB`KqEg@Vm^ zJ&O?bFXB{wA4$>XOv%DSH255@wdlaK3s~f@jPX*bcQP)_6>>pf2Uz(r%Y5vBcwRq6 zb>?JO%qD6jUK40Y?X+CoR>`^|QF1Ms5ep^bEK>QSao0wSxf&sNw#!Y<($lkI3noYt zQ5W7{7AES?(0gm_P9o&ADmt<>GINS5gPs<3PyVcWuIkf@F{7X@C+bOeC@9|A_^jQv zVkP`8=&;T*NC}ovkrXwTiX$n|zlsRAM30E1^0z6_wSZD;v<0JIULFR%I_t+zZ9Q(q zUx0{heauoa*V{~{SCk`a4}4{oBLC2~qgCv{?8WfV;X+oe`@Ej&V$oPLv2#{`S;4u@ zXK!~Hkw1?gJ=(W|z8`Y<h<YoTj659Im~Lk4{tRB%HkH@dS(uvJ4P9$UN>WlTsMKt; zD$;LLE~K-?vNq}7)3D8a$2wdhhokSwGHkO;tP+DRv*4xh8%W&wkI#ijk_DL$Gal{Z zK*5jJ?i+Gu5rd5@w;>9QNvPA72D;I35~NOyvYx<f#E$q6)+D4IY1QbMrpvX$%=)@) z-$cD2BNwy~ckHK*vOJok7roX^JSYz%hugi#Jfw41UOIXtwux3I$(epjq-UsRWW=$S z;5Kg@>idLORU>R1Y1}gio|_AgTOR~G%HF|w2pn9f%G`n8eZ0Dhy@zb)5GB!w26tq? zHS&YE$>){|eDainp^q)Fu&aX8rkXMTHGU@1BbtH5l+WDO__b4C)9<4@FvJsnYB$9Q z`4<-B;Zwzp&5gL)?~#Ai48!*^Z<sh+BxZN=AynDKRCEJiAWH_F3FlgY&SSKjz4yeO z?fF!oZoZ)A@Y3&p$DjcJ+6blX2x)}!69N6J{I>|m-q`Tp@ef5a-KRdPyox@6r=2|} zBSM=B_{aDEk^#B+n~+#qX4f1cofXz!5<k`NPtKnkEp|H-wbqK1=W&drm>z)kfR%tc zB19|lFF`#c4cFWgM`+$@as`-$1Mihfmd%<qo-<X-lqr%WiaB|lIkII7XU;!oLp?ur ztbdrXVnmDP%u%3182NsFX!#yqy?OIyPP$0Le6TM!^md-!Ty+-4<(f$OvOZSg{jOe? zqV;HMF3Ji#X6B}+Cnv|pBlDtP#@b%em8!>ZpuY9M;(Gu5yaGN9SWq7U0t5(<iAJi) z>+}1kuLI7A1I|EQT3iqOx8cj<_hU~^Yi!TA=ZuHD8m|kOawP}M<%Cp*FzamML`O$Y zYg5V}?_o`^#P8Js?W&v&;_rrMF(b8vQ5KXh0{O3@n~PR&O%2=U?#H09_d_MKHk`Yj zWw*cYm!00{(QsVbBR+OMb{nZ&7Zy4)Zeh))2RMUcthFKWJ=}SBn{Yd=IU6lkdRCZs z@|Q;`qZ?ULlb)V7OutL7)<D8wai3J95e#w`?j(10dYJ;;a-Q$#ch4BoRf13RR|05z z5$4lcQkrV60ehHgL`tr^J{8KZrFUNEpL}XLRx_{lEI;C2@ti4>#7l0~tv&9*6Bzlx z!bEpGHttfLra4Y%PO@A18UB$5qoy@$)+|x7q%mX0&eVw`$M%QZKistjS-B_pX#WTZ z@IT_~<KtuFGp5UUb@b@zk^bK0OZWZDh_w2FUTxTZS?+7UI`3x*+uIm=gfLNpxF0uO z+{i)docWJ=x19)NO2_+iu5Ce~dODH4-LAadNI}8`w?!Uu&?|I$?hCJRt|qA`KZ)Sl zLwlSGu0Oos9vrqEr`uH<)Tz;;{%McIbLLz10X!zJ{&RWzQycI2@5x>644dDpw3%1q zcR^VD^&br1Pt|XsSM-58d5Rw*Z9CDdhgVD@LA#++NY^LHi=hMdZvun&bUOA-z<Rr3 zZbG9B_K}VfxpVn<Yi_N>pb*gW`(JYd`2TDpixJFom1(M}C@ILvNJ)s{7|NV1xxSLi z5XOrE^nJToD<X%_<#Iir%^)7>l+C2yljKY!7L7!}BqD)`$LICDSuG$A^BNF^#oBVi z10IG(r%|t#PXHQ%L?(R_k48W&yzsf%bzJSe_rAvTb~C)uxeUCP;pX)7U&E(Afo}5! z)Sial)#C+^TgC77%DZ;h?Q&QMox)<Vn9XKT3Z2AYFzEHZoe!BnqtU3>Zcq#vNBO0Y z&+RZDJcdLn5s$;57(9wVDB%BbGZ!=hhs$BVS+5W@3`0B6S#!-$tImFb3tse>@_FCY zp|wq8lgbv=EfRakXP?y}t?k(s={a0$5O*I8aVf~x-Lsx+5$6=#={dArOGp<C2K|P5 zQzf6iPgW3D2L!?i9%qzAf7k21Hvq>~Ah=2!1We5u#A*?C{fSv0y&Q7Ub?w5x62Q{` z7k~weWQc5@BA2F6lNwFN``4iER$I>Ae)UQ<{&RQgyaMa#y3&+v)f~mFtK*8*Msv<V zJ>17hPu7|Vbfdk4Dt>(HePHzlU>o~|s^SHgPdw9Y?Xuh5-`^LO9b6DAEnL{x=pz6S zA`8__WC>!VHxn9lCaWwon;T;JU3DQ`IyH(V3!Ydt^GX#DUwwlVTk~DfGs~A7xM?YS z`!jB@%9*TjUDrW)VCb<qE%&d7@}0F+dz~s6Jk?<>HVaCiHkJgi)fXL5;6uEPxE7hI zre@qpRuZhNE#(h1z{(Y++FDvwWvi_ej6XqUDQk1CM2z|H@88Uozhz44n<wJSPJ#mX z)}N|Bd+u9ow6+cFR9jiU=RPKMzUR75Xmora!-1NHO>fuMG*tKtsMIjiGBPxh!T61j z#aj8$`v*g)Uq&Ke5RpK_-QMBxx375IFK=iw;I5IJZq(UrSIg%t+*_Y9x36?U-69;e z2l`&qX5@OGKR~{wh}`dU@o&C{bZcyF!2Z_g9qaLo{cUPP<Foy2tf4kTwOpBVcC&Ru zD`kbSicCmISepNiI@)4T-@bB6CHL{0{Nv*77wvyhd|}?v$=(jW+zRYu>xcq;pHih- zty&=&a-LkFSS%U=1$v%TCY#M_F&=!DSR$EBCJ_m8mQW-bjrL12_%yyiFc=IH3glES zIJ>+^At$*ofdurI@hZ#$HUSDaLwWJwGB7ege>8P~VPr8qlnACE#2k=_Fi?hgAf&Kx zDcKo<j|{FCP1uO3U;K{H5UwlL`LNgtEI)+`0hOgmv!q0Oi`kipcv<yn?rCsOJKnk_ zOPhUokg=J&>&+%eFhz$7!MX*}OLD_*T^%6DD99j3G2z`JKO9NGK0b4}I|~7N21NK! ze!yrQC`a+2AT=1QNDtZ|At}O1LMVhDutNf5p#8Kx*san&@(EtKDIq6HMn_SFExhzr z3D#MA&B=tuRR!_iFw2x`1FB+v0phyV*=M&@Ep858Hg=<RPO~2M*V&>e+EdxX)2s{X zOsJ@8o<g{CG~@zBD58Tb9Fa=Juosa}lunc)tIC{qqf2hc&4g8$)7l<J|DOPyhs5jk zR%J$3msGbVUc3o<_m|`;S!)obK{^Aaw&ID%xR=>N2|wo4Gwk%egI4a6C!tUj7w`FJ z>Y&pJtEs_iNB6P?TjG}52Ics7L1^ebXZ_qNxWXG+ASAs*N7F2GowkF|{=_XmS}A$1 zHomcw%l_nTAG!*>9!jDJ15ZD+k}bW*_9*nVb>EcD6+E^}r0oPQ+8G33InMxqL?<!X z&#VZ}uPR(eT`QE?XF8}wudsC!&;zJ}(Df?WKGlFXC^2!9zwyCuQAMTBEC=;zgfKs( zb|X}M*_CQUb_<2?q2_os^f=783!<Hd&s@V3BKsA-y|EECF4SN5*&wsrzf9;Jgzg>y z|27NVJqtf~Eh@w~qYS&GOvVze%!uXc_1iVGE;&#h@;$vM6qj?62Nxe^7Elvmwe-(< zm7Lvo!I@3Mz>xc=8RaepZ|dQ8&Q<-vH0Q~t!^phHG5G<QR(U-H{GR`b-|f_s;Q=Ct zf^1|g%y#fPhm?bTZ|kp_00inB=P|j{?79!=)D(=|TnWrvXVjbHbqOr!V+46cAR-9U zqrVl~)rWvsjQ~SkOJgDSzV&29HmC-~Zet1n`JGk((qnX0SAKY=BPm)ZKws*IjdhF3 zS-97?Gr}<=egWMKxDVi!k#!+IgT^ISCZOBnDmar*NqvCGYZ8LD&>V<da9dBEa)h_a zB6_T9`W`)w;AL$wwiSh`&dC5FkamJNoq=}xEAY}X^{Rp`jc+ipW5X?MjP$6pYjDAw z1A!1JxDq%slo3z@+5mWatmb{Q)^fO+JI-==y_TWc6W8FL(7alLu-WESEoc(PLtO7j zsxN<WTxi}!j=ispbZdMK2zoswL%f}F#`9TPUsb#u1d|eWEpz~7;3}BrXCM`{#cgPq zX8v%<*P{K+cl$BW3SEmoz$3uZ0!M-K!|G2JvTxfa2t{K_1!=-MA}AT+)0~RF4#uID z3Bv5cww@jr(1Hvv>7;|P@*yT)SU7)t+d(|lh&S@)!lLi7Fa|QvuS7w$4fJV@38RAl z{;?*k!4}>Ekmq5gj=TT`sO{lR4^8g(lW;SDN%3qBXtDqUb?%W6<<mlNN}<Cf#A^Ir z&L1N{5l&+W4#-4@f}#+Nf70nKucT2AN2{USK3#4&U#J2^;@3CB0lJRueiHJZyvFCG z=wb=yGl#viHhh5PISgkA17k4$NL+ElN2ZtKeU=EyHx6~2VkC%%=+kFDZhqZi1+;}D zF8k&NT}8(At}K=_{cwImCbc^egy0ruY)cO?5rrXZc|XMDY&7Q6>((0wLFRftd@FNK z!9i`SE;8Q!W}@Y7^_hr;o5ld``-PbdA;i#s14-m}b47fhWyD0nlvFYbXPd}I#dR&g zR#`O8KJcpDh}##76GJ;Ol*_NvD70t{Rxt**kcw+uea4CbmRjgTuAbG?=?#P!05w<l z{MhpBe3+MeM7uv9{(E*$mXyK&Q6ZTCNFkUgm;i(jq(Wfgv@<po&Qm9eKBD%2hZFz* z|0+=8GZTE`0sy@H6y*OFPPp6tJDLD|!3E#{zjybt8XL3!Ym=|B3B8Aav&)Uez0aS$ zQOp&I#{Q)iZat7ABp>(hW`|Q$x2bLXNgmV}Tn+naA}%$g@*JhY7?rh6j9DJKM_+av zlrRAKGGylUnCS!EPSBVu8>0kD=KL9EI+@D$g5`QE1m7x)q3QrU_YTz>`pcNUzgB`y z1MB@s0OMezN-$6it(|50(40dW=wwzgSVEL+U{25So*a`xm)P6e4a*Xv%{Q}49DK1? zk8!B~A6w@bBT5uy>$Yv%w!3fJwr$(CZQHhO^R{i<dUs|rlgZ0eaw@6%RoLg`?CkZe zt=jIEZi+YiXeT}F4Oo{;j3~0I_>*<kPt+uu60c+!EXmKqO0QGA{s4$s`0CaB=BT%L z)LLP?p*jWzYk%Lp%pH57LFEZv4xdG0CNGvU*cDA@8#;1V#z(z};kN%YSvLyIXKrKA zV$p0&qWtnQTJ$-iV+lo#rHi|FW1AsWKYoB?xktV@;Or|6tU*^{wkxrE9SA0dVAL3Z z;(NCa0T<^-1y&t9?kqKVWYLletlH{%s&rA8L&p3L?zKs*WZ`i`2Ien5;M%Fxcuj*f zljBE&#*t|*dd*OU+sf7rAa3QEFZy%f8|9Mi29V^I5uk^;6vOozK=9j_JT*hCD3V(m z0gvY*%Ytr5OnVO28DSi=T4l;dbAe!u@-<w`@%*#pITY>s(%j?M<4gg=<nMc`Wt&G# zi|=`x4HS>cMeQxq1Ted+?Y|=AS7tx(0hxmgqjqeXYJ=A97jI;mY`x?Mkx3pnBHy6? zhP1><hL!ZBHiLUVcUZ4C?%wAHO?N@CRB)ydt=cw>bZIQMC}0|3Yl;lS3wk`a(9Lia zO0$`tky9^~Kpuc`KY^2bsyS})cbH1vCDYJ$JBrGJTCN*ZDe#|9MCBS{J~3at*;5g6 z$f~jw<Lf9q;S8X&&;xB}#S~#&b1Q>;B+&X(r6AABjnm|7SNe)?!c(a#F9ZqEOE1mI z692QA>*LWs<df+JN(=DkK;_BW=kbkEo|@-pI2(M~&H4ds=kFfyAOi|(H#`!U12;k^ z+dENGIYEGCAB|3B_K_do<T6omR95bLYH4UO`0H_cSv3%Dx2SGyuEm;x{6-*%eF^t| zOVA%xQ+5`PJiNJEnd!ZKJ|>llX*x0vO+u^P3*B>+QmopPrhj<ThMIF<JV18T!}2s- z^<B-CJn&jKG-2q<bKD7DaXN!k8LF=Y+lj54E6tgr%~(HwXs8d#O5>~R_2DO=B-6T5 zk1zTwn|BK-q4vYKD~c2OWZQ%S2hl%~i)bL|4sQu-z6QiSA@WyrEV2)=+Zy;EnAjZn zZYwa`neba<O8~U%>>q?uXUsqao@0|`$?Rd0p-08wT85lu@5_ZL;-x~htT6?igMlx? zT?kMN#@@BeLhn@5@T?_+9r1w3d}j#GhC>*;vYS3rZ#Qntm!LxO0g;W3JZV*D)aj9- z%U~z&(^hA-h+ALxCJpHGMOeFpDeGeXv&T}T1f}>vDN$R%Y}@AK3zRdp@@A>*snyco z?_gLw;LInA&rev9#j2uwkklv_@gJL5Gtt!ui+FFVdc2Si6>!8$F#{Z4j7g1wz2k`N z6+SNY#nBF6*5m#ihd|Gtz^=agGR-W1na#Vo!kkb?!-2~nRPZAfo5NQ@oDEg2$)KV| z`40yLgP^pB2&)xO_!nXRiK>{PBmmdv<851ysE4A)6m5L0)qP8$GQQnXAj&c!mM!m6 zs{Yd_a9N$?;ezE@``G>6K8ggP>yQ$CX9BF?K}o8Qqw3qlgVnxJ6o(Cbft|CZVJRi9 zFMU(su){8rGOB@YZD%#oY@E%sbDWMu`A(73K=v&!n|Jye;BV3+a#YdN(Ylr2rt?G4 zF@H&#wuaN+9DA$|6VLO%zU^U?12HFT-G&RBR3j*#@s>|f2OyLF+cgiFsHLny$+~S( z_ROPy@6#f|NtRfS*&$-3eMX{)OM#PPO>iUktB`9F?q`?kZ@9<T8o01kvld+4hpJ;6 zJX?z(jLyDog=g~)BgsPN8ctN%phu(~8H7a97`^u_2IFb@h@FN3m6z}VVqo}?MCEi+ z;}Y+{^)^L(v}Ey){3pv)4!(0ba_Cd93eaQPk7$oQE}H=MSV8k%b5`FS$pe!#1$*=N z{HvfCQ+N+p)4eF1lXy)EuK+Sr`BYYhtD-OsSxv0NtcIrB>;udpLBeN}snS@zgi4E; z#%-7gFWEro$1p-<0z8eJ{VvT9eP}>gZRjEEeMKb`qeD7G4Cf$ME=)eV8kj{u3ZUk( zN&uzOkq)upYPz&f4JyYknY6&t;(@Nhc)LgG_tPmd9Mf&j1XiD|z&?gtX)2eNTq$u^ zDn&oS^_%QPn>WE8kCFyIw|tH^aG18WC)V?LFjk^Z@xz?GwaXU>N=SlcLa)u$=AK~> zhH?#ekqR+CCh7Jk(m&Asw<Y?1yPbd$@P9<d5QeZ}%hyg&PP261%gCls8KROjVA;5I zU8H+S5K=Wwn6jz7UIkJeyW2A>_{u|^I^Iu(>hX9VeP~Rt1G<vE;!J|&Do0#ZuR5@F zU%P#@d*(C(CL#|%;$`nE<TV9pcSFcgkds_nOI~bWojL6*KA@CI%--sTjJE?-<PfmM zGBhs|cWiF4MSGm6D6c5zy%Nstw>#QiLhlzgu91|T1saKI{@&cxWsCMY?M>mw=Dtn* zV`<T@HRLR6p4j(YRn$6wz?a`=EPXsNxVkwAoa@sv96-ZX?v@V3&8d}<HL#WSlH~`9 z(5CzCec(|713)-t&h4vw?Y@#e(82x`Q)W%6odgs&nl~&C#FTLnzmIU{VOhOA>usrj z{_)lXr(tIVZ;%6IBEAJy#U8hoBxS|gp<0J~<4qu}0pI)>tzE)-6$%dCrj&pAK;QM? zX|$Hk4}Ox{fJz{vcqaG;ajcTpLANKGSNghS*dBJ&$AuXkrHm8xsi?BM7-dJE4J<CI zUZ2yXDCuW9-A*b2jxwawKIz#Ma41aWFuWtiRO6X7R-f{~GH<=46FNr*c2m@KgR#zM z2j+dyX%}1p<Xlo9xVeh2Sr^8*t@u#@NeGcR<BYly+b(~=LBC`fV*&D;o$W!FWS)Y+ z@?lde?JI`a<1;*$hMibCYGr(!D@k^I>2ky;n2i*&y&hT#?oWFAY{OK<{#r!D_j8VA z0e@B9b1`U+>XSDA*owo-oIN#%{}itNK)^!K6N^vBzJ{R#9tiD%&r3$GZzm4M>Wwm0 z0^EFacxU&%$Fn_Ns^~{D5q4Mmj(<E<mb1%p;gFc9z@Ax)eExfj(;9=<t(q0G#*K0X zDnA}L?UoN_nAw#443d`^|0iTc%7=uOM_(k}2$ZC%Q8mbGXs)ihu%mv>H~^2hxaT~? zun(#~;<hhY@v9`W$Ot9&f?jFH-R*#1^CvS@qsZ8=_duzVGg9N$<b7N}SJzq(l$=a~ ziPm@85<N|qZJN0%uua)p>affL)8qvBq~BI`!`2zsD(#H<bSVnkj@Se|9Ur=npb@CO zvcQVieZI-H@p+7+hl@~OcU(ojhs#6?%Y%Z)Lx%S<`N?N|1^L#)tRv@!^CE!7?Vncm zE{1)qdKe8n`+U>KnhPWlYRn{`rx6(q1DDfirrI!jpLYkm=@=JKsw;zYH)SAl<WnH7 z)&h325D4tF5CrH~0#Uk4xeJ52P7gvwsp9;u(d@-*`0gyfrer#a#9f4?%Y{k|lSb42 z{7dqdg<oohLlZP+1ny9PJAE_(%hr_N(uXhX2%Z}fP{V<;2)u)6^L_U2uU?|pB_6y) zb*@Peq?jWxRPqmWxHir|gk70AV;u*;aY+RN+%e;)k=()`;Wu^WH!?JqWhVY|@UvDP zU;;H$rc8OD$w-^T0oNV@p?mPPNO&JeLp`mC{4e%cQv`Vp|Ksc-n{))YWLg>s>rTRI zuB$;V6ktUk8GJW+t}<c~v9|u)cmDM84>8e|Y9Y#SKOdBc_=B%o)P4Ha@4HMuAz`ha za~s|fwzaS>5hj1A%~_#0+K}5eU0&pa8-{$_htvYmZa|2gO}0J{Kxy<YF!Be8^2+-O zW6yL;_(IH0w$9|V$KXnx*qP2sQ-{<W;!hL|(P8qbTbj_TOMFBCynn_h3ffV=i;<vN zwSrfGJqP?bh)-@pB7)Z4Kka(zwRH)|9D;H;M^f4lQSkdRNi&lJ<|z&~rz92g=rpd0 z%9j+Dh;umvd$XaYVY`M>+X&4`aMB$wyR3{S1eJwq%sHTDFcajdfC$fnCN#Supdj%! z3o*|yHq8c0#OVA@1gBOSi4hrIMdpRVI_5DwFJ={c%0MC6K34KYpVFC4eg7Bm5)AL^ zZ`zJTAuSKSO^3t&S1fI3RK+&g$dK17aKyu>ko!SzPK$)Jb012GNP@S8WqM_fqNKE$ zVESl<0OXyc)9VEA4A6;iRF9-GK-EMkHd|WRDx<v7TS2v<>gCZgaj?F<e5PZWf%w61 zM5raZwTp;@ey@{9J_|y_!!(*&*rz*d0Q)Kz1izJsO_*V${>O+%B;4pvM=F0?f=09X zs9@M8c(Z+N2efbecLiV06v_09<T*j!uXr@DJR&nqGA?-)SIOfG7e9h{JHN060|oI5 z9-GW`q0*-z4w7Zp%<A&{5xk_MLPi8VuWU+QV1HMu)j!_jHx9^;)}5esY;3NaoCOWf zMI#k?JP>21_430wpQ6on*(CAO*aE?Z(65!AQo+*|6g0oFlM#WC9p4z3g%hB<yeN+T zE`FSzor`yzK}+5WkqrC;YvRR|!!huisrs17C5c1PWdnf1*T4$AfS>Qf8iEk`8u~k| zRUkzz$RXs!ulnRY@XxIT;~2?>aIcLq*QzHN3C)FM%xRb-AM`JkhkM{Ga}_(u4Btrr zL+6sQ6gogIz&GP%=T5+Yx5Qk>RhbvswW!mm`}n$dg3UEo|04?-qA6;nJ=DXi1S4NO zCX(Uzc;=OmQ?3n6N^($8;e27XCY*$EA!9+coArq#9S|iBHijHdaH=PcPfgt5G^exW zia~6(Q4>Gx9d!U{4L|gk7w~3<=CBZ#UYj>>%ieGP_dBLnCQI<ja1Ug|PV`<%X@Och zoMHgW_<<f_+9g&(7YAL?>iIk|`CsplwCA#_Xcjw%XQv&e^yPnHP|{S`=iDz?s&A)G z)}(i$Nu4Bg>fhh~lJI>KzQU3(Q=h!I+5NQ9Ow@Qtc5f8Orve4=r2Gfk$~^RdugTB{ z6#7_u>V}ZWT}hX4KP9wVl9RHSU?HIl))yp(6aCM+Wy-rn*H=GnEz>z{qtiW2_o+j` z7sTra-oRE)infYvw90!BWh5~~JutU?S#3fU&Ik(K;-zlMDqC-)v|9E#l}h_~4D2=2 z<c%<(ka**cF!|gWg!PNb+4Y?Gnbc(aTk$a>vz0MFS|e4=AC~uul+a%SXK(qeg_$k% z>LRk`X~_Y1hNMABx?Udj$Kdc2vVkhE;fZ+TtYB~wKN$kt=;!>kJ~J!Eh0u5SJB<M= zlZn8khTc!;yM}Uj#+rf7m(`m!{qPL50+S?;&@&+k)(G%Fd$XnSH|CiB+%7bC{%M-s z>KY4rOQ;BK3c&yjP2787)rTN2c2KMh!ME<f_ypEY;3b~?AAwF^8LL53a(;&W6yjsF ztO^!xyb>!9f%y}eOIkQr7pcet2Y5c8YL3E%K&n?bBF1;l@@AJ%D;mX&B-o_T>Nb1w zM7u7z#=F_gC;aBmAgxGwAo`V#zDt4k$u^}zN;?l#Y#9J<!s&OkV=d8=r5Acv6|^EI zIRvlD6JIsvLkdEj!NIjV>MhWUi}ra%7)iiyX8SF-D5)k;I4QEy>znI{H~pt!0l!#S z(+Tr##l%azayTRm>l^4S^c*FvADR2tlCe+zGNRk7M3t`d-?;8r5wwYIueIwWMGXAi zHbnN)YSiGZ&OQ$I&E;Q)(Bu|V@6$7ZAXQ_$%VF37wpd!bzU%HjHoK9z8$SuKo{~2* zEQw+6LyFUOe=Gy@Jw2Dyf_TGiO}%Yk=48*3hT-b77VCaDdN(60sC|ze?FO4m@J_A` zwMH-V@S3R28NvlI1S=z@B^8Ww<Po_X-Fl?>#H<pL)8bMe<Rt)@>tM2*?ef*++FY5$ zf)3W@)qIERkz5<XJ4ETRdAW!IxhQaai(F-w_SIOScqTF}0<{=~@ae-uF8Ho>9XpG8 z6`QPVoD}$(z*Co{oatX+>*RV75-#xwHNE6U1?d67cI?H0WS{U>VQ3tw2_oLNC&PVN zG{{kaG+taXrdeh3afxYh?i$FA7wW_HG33+(Ep?v&eMx7~z#OpTz3)&IHfT`&gcbya zBk7!@FH`R8vbaAs6eK(DW}mV746$iI<n`S{2n(I;_X_v&gFFAs#|*dhUtw7(+H=kY z!_acu*jb~6XjO|y6@3zfnbiLdHG^WjS#=V4e|XjxZSj{7g)6Iaaw&H1WYievEB<U@ z<r!Wu*2fZ!#gK24X%*l2d{Tm;D6`6<eujG_JNCI7oPz26QSgegfp(4!qt>ym#Fi47 zBxYTKtFDI{JV>e6qEaR5%8)~L8>KXH{`;L|FW$=&QQdih-LIvqW=8n%rd0LH`1D&K zO(w4c!R(SR`YQ7|1*peQ5_NI$4yECwd$i#d3=hPL_@gEKe7;b6#X-|7e%ak0^OS;~ zgTw}hegIfSP{^!?#(0`%h38-U1&^QgvZtq-@ns;|WCG>1l_Yu2ZG+$WE^Ee?;PA%o z?Tt5K_Pu!r1s~n6t+*y;cjA1;B7iHe??=<s6LBj$Jy||~j;p><6TQWbFU~W1llq<F z6Zj?mH$=fvc;Ef}VU~A@qF-HkEMynX{+T4!lg{PEYFn|D@2b|b#V1Uqdj8^N6HABR z0dh6Z<Vf1$FJuf0JybE#r^Oy<1OM8C;wYan&_FhuRyVICOt=G4ca8wZshIx?m0G=+ z1HTe*1%jKq|I%oK^fd>X)q45;q16h>8diSogLj)WtLyBC)cr}}cqw__ApQHdyvXH) zm^9WjXyUU~F?VY=8Y6F8yk+c1f&4K1@((Xl_YJ<Pe?v6;jzcvO&`){iGha2IA7&;t z=q;5r8`GQoW!)w*S(I(8Q4#W1R-TdK?X-bE$<hxLcMx3!FgBR@+#6xPrek|fw$q`X z-s(pK?<<Pu&hRqY5ftV{$`iQwm*O$bbx5g}J;Mg+)iX!*9K4I0f+3>F0~oAplJlP% zselZYo`(RC`2x`bwrf#!GouWj(LWsG_xsk(UrfLDj>~gDWCg(7HVi-sP4`VEf4LEW z=qH_b%km5XgJf(q+;o85^{2^Y*k^ofVGA7DcX4=7c`4s?@<I*P0KSB9Bd+WwZZuA+ z<h)sLVvFEX%bF9u#BE-pIZn<B%{GmC8sZx%ZUVX$pWa}`hjn@r@&upw-do;f7+2y1 z-=fk)@cYN<pYK@b=}$;n2=JM!Q8%_|7P2w9X{D^BJy%aR91P?2izSjN5n8LC1R6S; zS#^YByp5DxK}PZ;w&sPw&|)2R@=8frkYmvg4H~MWo3Ga$RZ#bw@v%8>W5~pKTaFFA zNQw=L-PhLH-}7BTNN#ndF%TEQ5L<Et+oQHI^9eU-(AY)B8`(+OSh&`wmf6?J@tCw+ z%w(cLd!JhLRb~Y_LK(W?Qd7qH`Qc3B{Cw+BSQEhz#RlKV3b6an>f~f3Mu`7y(R&pM z-Z8EGTHEv|CN5E}eFz+};XOBX9}ABe5Y0-vB>&9RfuP&=8KBmb<gn*7*Dk4;fOHsY zw~YOkrpPM%Q3MGI85b4XLST0@&Xk}{y8w)CymEJT(KX=Un=qn~tfUSzyX)H#r(M?! z6H;#F!#POnP1g&fQ%x!H<jSp#>&JgIs%t|y&<_+b3j^{s^@bnqw*whtsd-T^xPsoG zN~?g;<j>v12()xDp5yqP2JFA|=(C>dX)5)*8z+aEWWSUa8cMVUdVEdE8)T`)2cED1 zrBF3_21GEOy-}EO1Kde2Rm<Ty4!ytnZS3={v@&uyCOGZ-6lmS%1pvUE>XFAXc%;AA zRc4xkRP@@5_B}9bC42E&q1fRw%D1dWjHTQ|_3(ulk|3qiWJp4@BZ+!jpoubF_vff7 zK#RR`xOCDq@|~9=uE?N#4<^`aLwkw3N>Z+%4=ipuksaKu3&9*wLOh>wkDz*Y(OKYS zW_%NH8vG+Z?L%#v)f^7Kfc5cfy5e^*NAS<D!>oCCYWOh+dNubE@PIjnXU_T!-xAfq znd%m?&GnNTz;--G!=kH1wn52J5%n`!`y^%Mje=P-4FD+bgI^c{q@AwoliM7t`(>IO z2xXCvVFW~P_;D<hTR~xX_#0Ue@0a;{vIIB8w;=#f@X>uht*Sm#7SE#@?ngU#P$`B_ z4m|}EUX)@l*IKlZc$RNZ&#qDjcb+u8d%@8iCSN_>_#)!SPynX*rK$<HB61(S%U6Hj zZ0V(nzs-}aw}nO03TRw#FCXotNx$k08e(rIdJjj-X<od9WegeCr;;}UfS$=U6AfiT zNd==QPi}Nu=#1AxXiH}_JpQzpxuG@l+xyVcKlLOKaGSiNTa?GiH~jAS$4JE&9j(Wo z>3rYa#VF>^$8jYvKpyo9`H8%Fsm2qXKrsZ%IfVU`-KgleKbr5!ri)Pnmz*TE|2xFa z*CQwwbbwz2H~dD5M0~8CSkiJq-A6<w>>IXR%ih6<<D{lx-+3B*c1PFNse;C!(DIxU zj;=h0m*tpnv~+#*+6cC2i&beXUM5_;A6)5ptPyhx=`@81U<sS8fEX`vw5b0`kz$3^ zK=Y{S&yMkLKToK$lq=#m`M66~0dafKu@V7hmCm5E@i+IGRg=snE6H;}SrqEM#S!?p zz5atMMYeOl1UppHDM75|Ci-+<Z0FGIZGv?CRWKPMwm~E+ubc?Ko3YDt4kB2NYR2<a z_C%WCiSX&w?i#)tcLD+E#zwb7@KGI&h3X`ezM1+;#6f7sAQXT(2M1Ql4}m^~?TaFK zZf|MMz3q&oHgXloectQX)|%ge$N-sv`!g@<J2f8q7u`bXW`x{Ca!Don%x>(*wS|Wr zv$$<9ETU1gOoO0(fT5kQ6>;NF)Z_^VDBwE{G?V}Jrm>GO+I26ET2g2muf$^F@_Pyf zQ<nEo+F~eYHO)#7vrZmBiKi>2OV&9Fn*P_x%h3T9>fMmIa04TM_^kWIn;06i-^-FH z1Ko9!?)SaGu2f1;h<IL1KlBD2bRoE&DVGGdLtXsIeekr(!7UMcj`w4(s7Qtu3yVK9 z?gEPfAr9MpwKlLR-W0Ws2qBXW5kwk72^-)5yMTqW;EMi%EF%znEmK>)Q9DZBvX%N4 z)KIp|>;QCXjD_b&-Ki=Fa+Z&em!Js(PZXT0*Ltj4;U)SKTB)n1I58PMwh0+n&z@yV zy!!=I6nh3xC~C0%MZT<YDqT=PYc^O^&1#)$AAD<C4Csf%hJxVG`4rf`DNKNjDx2lU zDbf^<K?haDMv2T7mf{nS0xjPfV~8g*PWTVYyddr08)47tByxCe<?g_koEj#4UG+U3 zl(6eqNqaA#PXnRUyH?Kit!`Yg7{OQtx|$VPF%<db9ypF!J@jA1aZ6|4Ywti9LCrnl zW{C2ocIHVX!o!g?QQ`z%MGqL?W81xg)xWkPcW$<d8`XIA-_l&mpMbao9d)4P&S;DI z+tf$!o7y5^AR5rq8tO#=ZeG(L*|p)t)ydTprb-G8W4B`2>Jy0J!n|nvxn7u+*Q(g< z9%HwyS#ruWSpmI0GtCQrXGUO$g$HSZe_*+>h7m~m|72G#EF2#uCmQaZ#<q$1=$o9e zH#YyP;3hRL&*hnwt#46D5Z~nEFQ{SmVIbs?Xt0XTAvOSuRBScClY7`YIVRL#A!0um z19>$$zr^NaZ&Ey$#zo+;_4xT&cg<raXZ86<#c&f_Vf7k^<-fp70;F)F0%mY~NV8f^ zJZZdD37dZ(!rMTQGlh_!4#3ukd<zR3m?i*mjjfA8=)q|=nXieIaClNkwG;J}NK>>| zL|+fGx6zG@>OpS9-Ex(mZcx?rek;Z>fo#ZED|OKlGzx$MzJ0&<fg)54pK%6A=73h& z!a~}qB90#{j%E1hhQ`1D#gl=^xP+~1aRf>qYQKFLk=K9j+CNLo_LFC>ECXTv@3QfK zsZc=;^set=0RaAE{GVokPR<7ZQ#bxk6{-jmuN}Z-%^Ha)g1Kc0a6Ih7HH!iUGyqKc z77+lW1#)%~dVu<lppZraf|b*g62TW*006o6jmgHW;e}8pe={WH;~#2SM5L0d#8j`c zWLGaBBp?tVVfR~v9qQm$u>Br>cWh30PH0ZG(_E+cM^!A@!tds{)@-d_sp|t{o5XPd zVCORkfQZagN9c}{F8j0*$D`^i4Mn3QOm@#6%fl#TQuwC>QO}ZM;`7efd$uTGK=JGL z6iQ2uuN)yg-Y(i(KNnu9O1kT`qzPv1FiPSfBFB$2z!TKtCxKDcV{fjB&dK^=S(a*w zUM0!3)x;%m^|g2BScia^5DSkKpA3-+MZpn3CQEHBzal%HvE5GJzne{Fb9iNDTIz!Y ztE*}1^O${rG|bRJ4<(?=!K>~E!!%P;5Fn3%4<)QY5N-RzG*V|ElWD2Yh{3?m^5_uY zA1l`b0plF=sPPQCb;<qiJ(7t7m#B--x^=P2cb=$62hUI(fvZ)!phz5ObyC${BLV(` zwe$@X+UDASgcV#ORPp5tJbVUO$#9q>3aK(yD=HvIzsMoLj-)E6EubqV5Wxh<`l;Z7 zph)ct%drB$MO27?gpdeVDA@f3YsuC1)HSuW2FbOJ1cX_s5;xx<IqwpQf*(qrg_Mha zy2V_I1IQ{BV!pt|6BdCac;nr1t|2Y}PVTVP`k=$rB?u919eh*@8xHQUbp`Vgt|HJe zS5qZe{$lCY1ebf-7DdBMONkFlR)*U{Rnt(1n^&`JjUv{IpQ|;4e1Xmt?ZNMPfJh`% zQc_cqNG2kfw7}@q1ArwFV2{E~kXYb9$i58(Ffr9Ok{jsoDQfBInrfIQpH~+~?rB3Y zQQ|TZ0?rjbq8w^EX1b^#A{aB0zU@K(0Q}qm54P6Nc9Z1`OZ+L_Lrg-t(}qF=3=k0? z(5oMv=ZNLSk|Hm*ghWmv7EK2+*>uZzks0+#ve`A>aGUaR+jJ}QY1#%~Q4Gjan834B zZH&_U+qlweHKF2bb?$NFExZ#ZFCzgN0R{AYv^+fAkAzS{sH~(Ym@5hz;OS^-Xs8bv zj+Ehy0`e2>i-HRp&{rlZ=f^|pcO#Npcb3rp7CessiB|6X?;4`_U$rM`0{y8+(u^nO zLYnU}fQmNnC20jW3S*aV)g@`YTjJE!^wcGHALhuK>zAXeDUR9Yg}deHA<QYE3H}r` z8`b`1|H|oPKimHi&I}~mg#&*td+6PHbidnp^p3zZjDhROe+X8W4g=dV-vEqWEfk~I zJz!u8_M&%B5SpfX@3=A^2uerx&aoq1eh>|*8>Nan5JFRM{NUK|euuh?K;Gd2*vt=} zYfnEiNe@+KG9&57RQ4f_Q{sf@q&uJ~-6@?p-3jHC-i%@vi^Gf4jq{I#>%sK#mP%Xp zvg54dsH5!xjq_~(`y?jtDRefieQke61T*L?GKUtY8YjJj>H$rF6OtLptXMX&J(yw) z$AsmM^wY~J3*HT7P&DH(gb+N2-qC#_G+paFP+Zzq7ATsUy21!9x9*1>E`1u*ZOLJe zQ@0z`ZOUPfL$?~#ZOCDdf;&CR&S&r|*M5&(cN^rb$)MM^yB+qe$zhLGw_40=>HQ9A zw;JT_%;OG8H#+1k@1WO$`z_`z>!4TEoi6hZw&5l#+xl?<Imxhi#R)8Qa=cL4xtD&C zD<o9~SV5?CK@fASQCx%I`=VviP&4zn081~rn$!nxyJq69SCt8{v+R#<0~ap%MF($- zqD9@cMT(@CwcIt;bq#MxRdd;ka_u7CJ*9R@PjlIbq}NcHO$yy6dza4=wOm+=riW+A zq!Le8nVYlR<x%eJ0B;O6pHEe(oy1|0jofyn1ZBx^S@p||!!FA06wU7Ri_C5B@aIXh zo#yL50e#6<+P|}{UaAS^DNTf4=?YC*gUhbhan>v^q}utY&+RsQjF;lI*J<zhX3~)4 z`QFJ<ZnT#Xb)v0YtBM|RSTUA_cj9QL&*Ro>ua8pOZM&q~b=Glj##@hiZ`<VSq7VHR zyH?5O%Z%ObkmTjT*-7v5&RXR4%eLx_?xjK1JC6g~J$H?+M_jE>n)B}Qrd!90%%V?{ z-R{xGD^lJiRf*-CeTw3N8|AA`r%&3jo#IWF^Cwxv+=%2<$7ze?)WKuDVt3tVqR%v6 z?E|Z~_p3EKIruA%n#|Afox}b6Oij$Hw5m~ITuxHhoW;tNSII_QisVFxUYF#=L7jF< z@rGT)V(F($6$>;Pb)VW@<=Xeo%EH9=tO_$69N;dR-)`mk-%(YcwKl7kE&NZ_nvbj7 z)5n7St!6z#P|vzo!4!}WO^M7GolzffolS`(mYEvs9RjbkE-yDPx3n>bHZKpie{6!A zn~S~0;<k=Qx_$XxJu^VOx)*#>4>)>%N>~<tFfqtj>sc9_$7u4sA~5t_@kwQDA|{(; zaQad(t-%Uun9@8-qLBYHNZ{3BlHcVV$-uNfmLr(u7z!64n#?xm4m8v^4eT|hA5)NZ z3MvvM5kK<e{A7j~5?~w(Bi4tC24O(|c=A6TaKh@`vcCDD^v>X+dM3v-5w9whY@(c< zD*_bLW$dr>Xb?rZIddp@flEGN!9svE&<0M9j4Rvfdi7Den4HcpEweY%#o3?OqGTSs zW;Q$I8h5r+pTC3a>uCgX?n;O){Yn2OnM-*-vl$ep!$y6ECUME=ru4(;<`z1fzvg2o z)u6ySLEce!UUa{g=j0<BY`$A}&Ykf-$=K#ZY}+|YnMG}F#7r0DjSSokZEOY1Et%m4 zRs-eCrnTZDo6pT-=s!e%ty&?;6q58Ik^caKC>R1L9N(K_2{?n1Cw!f78kSFAIA60{ zCXZ-Rcmd&`gg#L1c(yjyR+g^TMkXd*G|X#~zzBwMaQ;ywm%aquE(xti=H(!Hq-#r1 z(Bf3bu;IWa(<t#Vv8m$7+Lj&?4#|9R+l9g2V9neepeX%ZxS~*EPT>F!?p6ZsxaK`a z80{;%m>G+uI6F7JAuS(ZjgVz(TV#{daI?k1UU(oRK+qsVLtPb+EDuw{ENN@O!<d;k z4O1g5!HgrI1!Q2zZE7STtjY|1uH9ucr0LRhtJ`5FTFZ0`ZS=TNbF>A!jpN4tH9rP0 z2(mmeGQ(gzK72epoJ1lKjtCaQNSRaZ5sCl7JzkV32!Rkt5Q0&dAYfdaAOM7gn1!+! zxNA{xuO;g#PrF(#@KJLMD)5o1eMKjm@NL|u7lO@%&tsoz!hRK)^#Yw8@tWoC@s^4W zqxu9zbyb^0G_aP9h4t2^{xasYiQR(2gE`&eLG~(e5J@56v;8R97r2^CA(qsefl?kb zhx1$nqGN>VIr){fHdgowt!|<|XlLI$3gSa)7`hE4v<k0Xp|2eBn-!NTXX$32nUpMb z73X5@c#13aapHKFz`EAU^^aqgh6C4Z3#in61g{H@t2eJYoj_<4^i9+%wA(Do%MQ)a z2`{W$59miUX&e?V!@H@e9yJ=bWt)md?oxD&C-$Y&m4i&((GKtS<4+nlZTn_aQHi6D zmw9a>@wA4D1^G=^chEJD+q}xPfWx+}fphq>fe)vRaPPchfP1yG&fyYTY>~v!w9Mz6 zhMP?-CB~1BaO=h}CQH1V@C0|t6*v8ulRo8RgquqiH<2L$-})P$2PmzlnxNN=gGHQC z4E0}D+fWwQXz3|s$L(7$gP-@WtI7%GEIak}!p8MVyyEq?LZ<j&Awpk6-o)_^f25Qa zSC%xUvwt01Dj(DHJC_u^RyUe8NPiy&`7<#}Ov@xQ$))FIPZ-p8EW^ASG^^{5&jVtV zz%^vIzNqAvUNoNix6uKQ3}6l*J6wk~c|k;rm3nx+{6CdsB$m<s+?SruY}UdT*SYe8 z+IT8!UFj1LEC;1cRR!T0$27JZTR}oukvQhxOA%fQ!4r#QTs7P7$QstNTOre^BUYMn z0}x<&NW>dST*76<I*I<FTyEz>U6uo(D7{9Y^0;NoNCwF7(79H)GipgIN7WEvgupBH zEg(JBLB>8HZCgxH#d!8FAw>bWF13t9MTvOgyGB=ayk!$0#H$l8TG1*WZ}$QYeLRi` zq-DnZE=2Z-)eH9<K7h{~dZ7?H_0xY4A3N%Y2}sQqN`-^AS?<jy?P3dTU|{*fr1Ujf zpM}t}4Hh_WIydA2moK52@}bv@Hv6iLgv3c@7X#A+zzX1R8jfqQIC)cT16<|&DGZ7N zipv5>>t$Os;9UBwDllLsP(aVAmRvRrR}Fs`Av9$Vw~=?Vea*+WG%`|WEusxpELATp zgDR$Nl0z5;=ws{GUI?2YgNyFWoaO@}E3rF<pZN{RH}lB$VB2pink`-nxu3VLX~3)I zDyrD%j`O!63{9?I4tF(Xe^d_gHFi(5TD$Z(|5{DVQ$<rGsxBvR`xfbqvWol6xO`Df zh1<!QyG6LcpI2iYl}D&%38V=-O}VS#SCCZXH8CTOgrTxA6tS~qIDwaoofzZ-@V<Xs zL@5BPxv|O}!gj17gucF%Z9GFO?zVYZD049h5ivT2yr{`t<f&7;h9111Iq2uOtlonb z!Et_+z{SbX18B<+GABw0gZOtzuX1sr@Np+dr{s;$HRa$moOBr|V!JFmKCVIOBOeN| zTS}q+cl=$@OmKGUpTiO9+Yq%l_%Dp;Z^)dERm;-mV%rK;WT9RQa|{w0owj+IrU9^j z0@{D)ivJ}sUMRLXW&CFxPxEj5pShxmvFZQhihwj|OXvTgF=l4}uMR>+hK#2FsWE0_ zWcklT5`R*q9w4&ri-5LYe9{vmfx`*5*(EbZDggmi8PA<>;kg9A9Hd-DFS4*aOtb3r zDzcCQtMrWjUmgQ=3y6&@!C6>DDfMBHh_^HRnl<#kyWea&RT!G}*PV_?tyEeC5rkD3 zHFj9TV)kz*iR7_Ab(q9ae8a(UxIo}t3j|8t;9CMwWHwU}hzzC}3<4=`KdR~48%89^ zJbw%XMYTJMuUF9XHM$+pBc7Hrmr3wn5k~QN7ln9;AP|+iA`=n`WJy9t0Wt(-h5n?$ z<R%xH>Zr-q(~Sd#Td&wAcRRDSk=xz(e}H--DRrjrSX<v`)EbWAJ%)U3A*G^w7V|wZ zI!T|+=K4X;?EM^fpY>In$<lcgd%!+hty$wY`fZQeybZ+9d#G3JiROun`?OZOa=$wq zEwF>V&9OZc>oRtbje09<%(|~8SKpF?P!2%cd?xLZ*U)dM4LyH#k|-eCkG(&F%PP07 zsc+sHkr1Fmy#*!+$V?!DOB?g<K38xrBY5h#Mm;PbAQC4u86gi;()gu!SP(*IAL8B@ z$iCaBQ9I1wjy)#G$dL1mUz<BaqT`W-zMmZ$C`?E|>wT=q;GT>+3K&`{PZ*1S86cLP zPh1maT~Y&#?&;31J9wdc-|bB36b6(B0(^O}VuL%B>=1#w$UR&7n0>C&e$wOm8_vVX zTY2z<1KV2J73^=+E7ZqN-e>p-^%)ob=XRlggF}7HNk@1@%{tp~D#qOax!$S!Df@7U zmDjy)z%CBl39<7s?mt?t2YU5|NKR<me_i)N9DNPz)mO;gbBGIflO^|Dfl{0WSXe@y zI1!~(+QWKwc$F<|=o@dqV&fJ<mX9U&b7rC8G0b%8>DJzJ=^R!flLsr=g*Ov0kQlyZ zf+4E)wFD4f8)(U^N#Dolt;A{lrBluvL7VK8r*J8g_VP>5z4Q_pYZXbo%^)po_ty!8 zrHp&`D)u*S_qEjME%bcb05f(+=d^)ceYNj))`3mClpol#++tZ?3t~H;Na-(ECB@kU zNG1Y#NirG(lgqjKzvoDmitQUx;1ZHIK_bpYLAi1v8O9)vc3ez5oMM=ipdRWyZ8K?N zkD7XU&}m(DJ;ecv8k0w0;0FSUEZe{3O0xj?xmhBl7V#uf^~HHQYOEY99EX6{N7YcJ z;}<KOEL6@kWDKvSs+87{LKIQ@7yT+sspX)+WK>%4CXr-Fm478EbDSh8jiMJi%c%sw z34HoH$!1fcS)1u3MXA&lgol79n-~%n66qpF696?5Yam<n_pkiR%A8;e3^Z?S4oUi{ z4`cd<NI5Q&lNxKm#c0kwi4aFug387U<?j-6Bl+&c5&tGYCsu(k{uMSb_pFBDO#&a( z=0dAAI?bf@iuJ4^sx+pSa%7Dl1E=}s7ofw9>NRhT9%#4rTld{`bzSE(bPCULxpLdS z{dA+#a;|07Dz%XrwefS?RHLd%nFC+Yq_oOOQj|QcR*}R$bkV^W!XVF%jUvnhurrP) za7TbOXR@7wu~r|uo9z#sf+OMDZ6D4$ifpY6XF6WxcUSTyS+@FTypDB;S)WgA?pY~b zNCR=stS`VWta8N4qD6KbM%73(p~Q=fD<D@KSxspLu^+9vgKd<us!3T<<1(v3S)#ni zX%*urieVDnMmU&x9D{CIP7fo1DCuESqBM$8cR9$S7u~Sh;RhZ1(I!lJ-1DkwWmD6l zD0!a!@5^`Hd<-(`s`NC>Qn+}hUB8a5TSwrQ1+S(gaoPW-VqV8+>UuDPG3{8@RI@5l zoklB;LKi&Y9DdD~{)SwVB)LdHZ$!$YfRh;Qr8EA;vhpq*f8>#S68;D0B28e(Pq_ev z`&+>l{LrfXrlvF4IBQS8B$_QIlrKVc6z;XKZD^X5I4^RZCpS)l+1PFDTrItzwe(nc zExnYw%WY~2{l^g$ie&^Q3joUx68TzhI#nA&C0qb0K_Q6H*}6z+gxb>kx*=H>{l8xd z(q$MKmKx%=rBzk(G?_`1w(M|+a*X0QJNd7rO|(3DI|Re#CD=%ire4hYj#ar|l<8D` zEBnaO%Za@_1>x`z2`@$NE^nX~PpBavD2p%uYQt5`l(0Dh3k48pG>~XAei(&Xb7;AQ z7}8M&5ny?cA<cG}ydis1d9K80CqQK;iFx=@=993AB&rGvsRUZ&Ygf*|U5H!3POHt? zb@VgG<#n}PAAJ{<zA?k%c{;j?l03+5&w?hkRn30{Qnreug;8|9Kj8m&k66WIAUkD9 zm|H$ERy#iMva|Mb5W^^%I3$rDwSr*x-#<;Br8J3NzWuoMJPMR&ADA4c9}_vQM$8Bj z4<1}<%Ev`xqdCl&Hu8N88T;EFW2=mKyhsCEuECHg(QVylvHh35G%Mej&&&wY`jB1E zAIZn-Y-`yeTgQg0hY&x<G{~9@m)jqE+K3^R6|+r%=an&Tz0Zty0v6&n=6}xuuYVCY zpDn**W)+hyog}YfJh^P__NCVydmVE~vn-s*N-Bh1RqUjwVH8~)oVDj4i(Qw}I{R-O zaFL`YmH>>|1t4LmHHaqwgY2w@Hj+NB*1YW=^Sm<>L5eA&;<^*B1C&8K+H1gz_L07P z5e_@=_L>&h{2KJz)yzGrF~D0Q=Frwb5s_1FeMlxo{F@YA6h^ad9|=TyOjXIl26m~> zMdlE$V>2gkN5F^Y6(o~({k+44)#ky&Q}(R0Z%@16{#9M%;5)dlDHsq66eB8}K|~>y z2^fi%zd8?(u!9X8%2_Tn#(Rb#NX$e;B#~GQ%#6nG&%lC~RPKOh{Q!vE-ybAcU{1pn zC0wY_fB+H_zz{x25GjBGV#pC(BrcmgyABIrca_-cg>o78hEWmwU=wp8W|M7ct^{>c z-Q0hYvDHJypPU=gl7xeU6}Uo5P8~1;PNkmah<5sQRzqP5Mm+0GS|_ZO5EIjbXy2n@ z|A3=|862PGk`59RXp-D_%UA%mgBDp^I)^?=UDGDh5Ow|;x={ISqu_1g*~{3PFY`6N z-T_Y>R36)Jk_R{PTmNwC5_SOS!xeta;^!tn3Iay)h&z<d9577FKn((ZfmjzS*377d zE7xqKiz#Prpv#J9Zq&+@Yd+M>l{*ilH#eT7po;EDVmMh?&=w+As8~89qk65EdLCPl z4T}UI@o))W`j~>)SenCk(QO7Smbyi&jzDSSkeW%vE@ZdL;0H@Y9N%ZPca@yrN6He_ z6bf}PvB>V>c|vg8;Sx2Ig4P2%;<foriQfwpLpJN%48|vZ<75|2LxZ?hZJYXWVx^Ad z?zzc(F8k+L_K%C)AD5y$#~`>bbWGrbjg*Y4<cvd+R(H|lLpy9rG_g)ggGa!e=kqnc zB?m0+05F$^0Lp!WB380#TDC$r|C}0PJU(Y>)p~IdVatT$4<tP-VcVwXD)R}rHGV8X z=zAlEv2@7N{Zi=j3+|4Et0Ql5MRTjMBXe=Jt2&SkF!U8KLH%B6Wle{3=&M8-!gkf` z3k&6ZaDbe~W_Pd@bd$0l^?V%|3SC^JJ-&cF5ZdGcBquPW8yt%G588v(LEu1RpgNk^ zbT^85scZlPOjd%DivS7)$VWmB2~i3mnnR~#pcsfE(h*C9w&8>bEgfx`!pW3a!+$nb z*1^YJRgfideXWGAyvH1O;eW`tFzb2cLCfNQonnwp8lno0n?KF9tNa1d1=KAd(U2c0 z^!EtzR?{YpCngOM-vETPD|6<65W;Jr{iXYp4!C~gbHD*YB`Xe%a?Y}7yD6PO8(WXL zg0!-jsoYlM*@EAkg_X+3v27jUwqh0-oOz1AbYx>NE?U4Lm?GMe!|c-6Krk{naY?O! zsmzVu%dMay7<D93u~S-<f7^6~<{^{Y$w}_yB4qyVY!S*$wNtOZSY-lO0Ptimnm+TD zn&rQ0S>6zshojj#be13d{Eb}_Kmlu0lnVWN)P5{5<FmqfVv$P;;xX+w0O&JY-<&0a z-J!Gzi5xhEsflbA2w~-$uu4hKN3OgqOis7=FDEgHSyQYtbV|tkN3%z$Ypoebr#Mra zrgf@R_b_786v5yU>hD${&d&>6U9!WK7rqf2s1ZX^C4y)MLT+gX@5RTJqyR4V3@p48 zvC}Rb>j2%oj=rm=!7EY{FT-Qbt1cf@8gHE3;=vc#0Z6oIe3t;W85UKeoFhe_mtN5* zK+KCpv2<N+pq=(w-l~}%0g_hKbXJSf4`(`yz+_t1>@$pN@%X1*SA@%>K1QId<Q*~} z2JOVWO(cBFe|L)Khr!%xanR7TY9lPHQAhAL*!C4NHp?06*8*xliy!1o)tZ}?YFf+> zY(uB%y*9|_u2n_?Y0@-RSxLhiP{bV2W;~fM9V@Ua*P)P%mQe(sKopfwqS!Pn(;EgI zR512ed``ua5Tcqg@fU9OY;Nh$Wrpq7r9+obTxBvq0Hke%LXbR0@qf6K5)T6aIFV|e z-yXUNB;bWKM+BovQUTWah`)jZn2^d>gp3_NAP?ilrxBu<nPG+7G0j7QwuHlsK?OwS z9#1Eg4IAS6a918~ImVBmY6cp{rzFPrWRk{g<I|a}w0ZzytEdHnTC3SFJjup~K!6lH zWP5W0qX(3Cc&iL_bp0NmB`?GGCe#EX9};*>)>3C?Bv|_*XI!dws1I6_R0`;1_bDy6 z_~-Zs>kd!CKr2Y_MrJWuIYjV+FiN=sA$cN7DOlizqdWt44ZYn#*U>L8up6eDX)U=2 z!;t0QFEDW@)UHayy95l?V7e!ZB1hOCiLPLV1#scNpgt4^%o;cWT4EZD^R^2gVBw@2 z$+0Ori)CAH?pl*n&uKA9Dz1{JyaP(MTlSrG?HB#V8I6fpL%2>jN|0G31JPI>8nVZA z(dIIUBp_~=^ysl><(*&8x#(Ny;l-_}BE%H_RdD+{u|sYP21O6Nn_O40C95@8+X@2Q ze8$fGU@A~B?~vf2ee>?Jf#mJja9bg-+<a!UTbMD)YFj++oM}CCZIRJ(aaupAao(Tw zVJ>}m>$UX$Rqg6~jJ2jMh_Bir#vIA*`}nD}Fbi*7^>3C4u}&T&Cm1K-nK3;}v1bn* zozwQ$XNGiRP?}7=d1zH;1t7vf?bSIu0*>Lgv==0|wh252xVJ{|2uJ^z7()In=H~FZ zxG>_j(|z$%Dtd~7Bf(BY39mBb0qO{a*O*=tdLl8u;VaM~J~(HZgoyrDr+#Y8O-gq} zL`xzJQo9dJ<TAsL98U2<P^`NWNa&P~_Gf8axsl}0h<GvY2mI@#C#8rwqcbRllWgrc z<;!g|9yIkBXXi_6qKYukpm9Bj9UU5n?o_QJkZog4eoUe4txXl5LJcCsY%u@adSr7D zVbzlsThT*oZ#8*e%k%>@yW8yN5;U&50N>*A2tjT|y_4yeP4`_r(g@Aw!^yNbGt=() z^y)k(=fHLL@C1%ri}TIvVV1tlFadY@rY$Ve>CZ4E(f1vhu<k=;lxZL(nUg}<iUlGB zaQa=DDBIPt6DRO2mKQwgvskxUpm&5^5$x3Yv?snLT*Xpun#k2`RF!Kvea={BkQvH} zJL+tNA_a26B#X1okEy;{Gfo(Rq9G2nv2JAp;5pL2V=~@Q$y7~3yWo5<gj+C;!!DY> zFD&g`d_jogSBLA?qj)2G%=s?TpetxwcEtHD8o-saOOUkuB}FhgV-D2p1=YV-7yqx_ zc7-rXN$~xxD5HU0?+2G^uLqfCw@LeZ_KmuC%`Ul%fLb7LH}~d5{1oQ0wGhu(pyOAu zbB?^I9p$Ilq(c(ZYA-6%%I@{#0QtF4A8zG%a9K+OQyz>qk3=cNQQ#^V@ce%6(@5*B zYMD(c^GhEuQnk#G2O&+|;32RL#V>&A5<4#dK}>?}J72OVdH_lJDFch6;C!QwSlJQ) zL1ENd*>!;05m&=vK@w5Ffgs*oGSFIvq+0vcJ0y_R#26TvlJmyRRzey2x+e;uWT4H9 z87@a)EfW8LWGRVl0p;1+)F9)Ei8Fb^mo>P0ntO9TLV%gq>3X>#bTdlMg@<ky{C0v0 z2J-OU?`eLC`t1NDTl~@YJ%VNgjT7mONOCnMmM#qXW4${Q&T$Z@QLrS+GmL_I51j)y z*Rp|y+9+zszS<rdE>vk4NN^wxMTQb#S~|M?m}QK#ZAc#v_+D-<XHB;moQILO79Wo< ziS%C8;waDVj|o+_R8Z#n4QUX*MoLEfmC>F>e3WrOIgozQ(q&P3Wfno)fIAhWkL(@f zCQ;X%Gb7FtprYSj({#IPKVUf&+`>)aS~8G244RF3j#}T(K_r(jO`vUc0MN|kb<_^r z>HF%m{F{->oL>k$AxPCir>Q$ea-LYIk5J?`%lf*FqO)7d&B4EGYihwMY?cWS6Vs`o z_*@*mOt5?pQYC^zqo3fuCAGi_`ehsJN3H`LadJD}9Fv;tFTZHZpKD+_v-Bb&I;Pr& z+)J|4gNVCE=McxSYiT1zT=n`hpo&c^BVQP1Ly#=?XQEKs`2y@nXQ5vn=?gfnEJKTD z6vOF*WdlMvg9>PFW+RJi9AHMGY!M04wxkBokqotgYfi_2#^Yw^Rvy=OBAy3Jn+k9~ zfU`zWK5`tAZSYnO_OKsESLHqSl<wL=s;z+D9ww>dr5A?AVIFyXcN-aW&MKs34r?iX z!^Kp(W~F>Sl$?>P5fO8Gk~kdF-yxzO{1(oh2Vn|`sh&4Ve2=k*{zrPsbk>Qv-34Hr zYqNEMCG(ku)0Gq4VFm3ZwOvCg!GhW55Q>l>632gfLp9Q?`H-5!N`8MTb4Ip6^0ACN zk3&bJyJL{_?!<V_?BRsy3?xlgY)DVSt7$llxsjd5YO<lmKo{$UE+6VvA8WIF7ef@q zV66`p|Eeoxbsf%0jgS<-O?;aZH}<K-N$0>p+E|q})^R<_COcIt8Y%9TsHpO4J&Pv9 zx;FjA2w5B~1)GWp`sKKXx=#RScf)~q=PA~i!%n*&{#!R3ymnkrdvuDei6z%=Qxw4P zLB<c`r_r^Ax$t88`9m{JkWzfzHaMXIq6JQu)aKt_uVXuM)A;CC`gLRj7rte&nVWL1 zsLp@LpGgm*+s~$fr5m*S8IniF`dd98Y5xD{y7Q={^7vu=Y=VY@X-NhOsFRi@Cg#4N znOf7RHEm9&Sh=*Jfw?arDwLU;TAE8}%TQyDqfTyUXjX`bXjWQiXf9-mCgz^~nCE+* z-+9jOJikBgKb&*9+{59%U!M=}CC@VAp;0bO$NtgI5~o#pwCe|}UHeV<z1?v=@EB-k z?^)Nrzws%5wSN2ME_q-3quNcmZjis4F#bXEoQ!zEpH&lb<~$|hW{8IdInMIVfrW!Q zBRp#Muk7oz^e?Yh{d4+1gAR{IFRXWsf4GB_!b<}_W|i3P1zR0?QxKT-s~J|)-^Y8i z_wB-8%-|nRY(4lnYVV-{zw)xbKe99Obn{53c&EAt3<@aLXmr7gGSvbBurd7$CiF_) z_KD|s6H`cIM(&ggGxdjS-gZfk5sT*zTt7Jd!uiks4G$B~RZZ+%%9K}}1ZGbhy`bA@ zugqOC`rmua|C5(A`KxTvUNxotzvKVfAN*`+?Ej}f9?l^h2si>lXo*BmhBiZ0CvH&< zcn7Hiq3VN8b>FoVgFk;ZEk66MrFsbrpp!}Ufgzgz`>Ox5!@cEbx$#ETiOB!ItDd=d z;s3bm=g&!tlxgQfHc%*31F8o7e+Rk$&po_9yunke69D+{_`mL#Q~!_m`fp2|^J4)B z&^iGvi@dSHVyCvYBnF&4o#OD-Z_T-H&*zk5$qXb*sUK*#&12Rn;B(pTxW$cbX2qvE z06@20zgU=BPy^2=UH$&x?d>B$*OWRXw+{!!D|IG+{gcoT*D?Q~_qKP?d8JPA?RPxu zm7DTk&j)Tu(wKi>O-O1PT|PYVYYE{}%l&1YJGaHW{N<ZPw=IJj6FcS}SP_o4cr5ph z{(6(Juf=2h7s2gUJd^PUmIUXP(WS$mf4xrF)pCF7@W`)hf<epKrNhI&rV+GSOqO&C zZ@2J9#~xS^F2|kg{FOlfw#+Zy{Pb%Q;auF+nFr0ct9fZ-56lT)n)4TRhJHO3_;^mI z;I<<0&fLwxU#}4M$6ZxCFeA9dom2eMaJ!7RY4K*>ZCPM^LdT^Cp9&I>Po6s0eSl~F z#s6H)FM|bhm1$uQqy>t~vthqT3n<5{Pd$qM<wHT}@tda}i4Xi^-ul!d?7{nj@ygN0 zn<WK*96x>P+?8M67K~Iv!XES$3{|Ez_I^1)Ic9b0QPeNJ1s^KgLm%uh&w6Uoc=Jwy z`*F~z?Og}%n#VouYtWfEP;7qgX<Fz5qIt;Ev!TC;3M`IS2RsrTC@??t)Vks3=z(1G zTz?JfgWcv={kMw_WSiSR?W@=Md?3?&`_ue-osk19bN#1B>UD+>FwHfdLP8%9%;WqY zbsh*f_M!T)>;T>T$CJ^z!=DcPactz|xrhfl&4c~F2oJ=Yk3C7NyID~1xY8r!K~urQ z%CmKc2M-)RR&jE>@POa3J16&39+;ZHd@_3Wm->RCW7O5w8DwILWR>acD*M4G!%nS} z7GZJ8^a)slYrPWe=*s`mI*q)T;<pO^fEBHi7GPJBwO7IYSjlqhSLFB_|2vGDELj1+ z!&a`7zQZmj114aPTw|5sK5WT4zYBRV#cu`Ni>+M-7Go=yTjfZP6wWxT+!gS{?G83^ zov(zIy2{pC$C1`4^l?~Na?UcC=*nMf9YgL+@f(K~xN_E7N0BBe+RI=oSNd9OA(p-D zR)}?4=YNA`xsumfN06IRa+bjDSj;+q7MAXcTWggeAt``ySWvQT40bA+KL$IQti1&0 zW7mH0XJARLk~we-cIpSN6Irz;6C&r6a~8oo?1^Q!0<7P1>mc&s8eajs;+iuDc0dIM zZ@)Hl_T0Hk<xk{oTX*b;*B*oUC0j0n8?a8xZc=2)8bASyaE<)fI)g~`O&xTbK`czs zV_@-^DFrD878gwqgEg2=e{=p41B*FwJVF)%i^j+lvS`>dNA4hS8W9?UYhpUsa?kSI z?x>2O+6MU@S|g)7BO>DtPw#(LZ#rQAMXa^Kw~cnVEHBFUn)Ckd{XE-np4)Bo&a(VF z`Ay9JyaQ^szJFXy+;k>hM}NO<Ua4tZ*}O*lVfp^^o*J-ao<NL?hCVI0b)!f#^luaU zjlWggJP-!G7)o+ve)yO-8af-(8<4NR^zOGJr$-yg0)ue3^`mlWy@Q(krOk%hENsMN zZRPLOH=UZ~9ubEIEj#?!?@`men&N6s43tKgO{{qs4ZZwV{nNi&o)Fp9;C=(iK<ih; z_!R#Nj2bOj0ev*6Qjo8}9y_vYz;=$_gRR8|oEB0v>`y{{^NUWvwL72m>$_yrY6+u( zbQLnI{@x9vM3Wnty#_f7P8Xtoirj_pjKMX6_c*E#0_BL_DOK;~GDo=-IDxQ<;WU7) z9qnqEB7?#K;5fn}hTOoka^y;ZV~A}rxCW-cAZGwLig-0e5+T~AWS3z#9K)r+&j`I3 zPCc{T0H=^e!ZIAqq`+YWGzM1>wsc&o1`{0R)nE(9{%R)Q0HBaXz>*#19~rj{W~a#! zF#l+J2<&(?r;f=pkWKT2h{scOAwm&tsrqUixgQz%29jx<5W$%uQ(#ob*lK3If!zR5 ziWrKPMKeF4mxeft&;$xU0qkts-^@^G%}D{>TGWWh31~Ehp1}Nw?jPbTKx=Ian;BDD zlTzFQw91x4W4=d=8W>--Mx~tZ&`R4A&5TK{5h*~dbvR;n9Llw|OUj%|JGMo;07{{d z<C(qaoFPt^R=<?orR5ociwEzqRc{8$wR)v8CA7>|&I3+p*+g*Sz}B{QG^Pk$*Z>^Y zvWOtZF|BO5Jm8qtwg_AtQ-IEC0FG+Cl9EJPZBp4csA^0J5BOP2FM<=xY)9i%W7eS= zwq`uwuog4|7YnwuU7~^swsI=i!nU8v<f8#YvKeTyt-OhG3q3nXo`L#P=ws016iy72 zhn5ZUg<6lLbfK1lVmStlw&gZ4^3jq(oKTA+B`cs*+gK{I9&OhElxhu8WYN7d`&&%E zm(@;~4CT$2)F#|i?2q$N{V){!V|=P<I*R>urUPY15_G2b*O+#c9htb_pGWqsp!I&; zPxGNV?-%cXV){>6ZT!v2{g-`GX@@8GNBFoqf0;M2H7zPT60h@R|0SQ(wB9>;p1wNH z`@8m+n=;Ds$M1LNIr&aHT~*%i%Cq)$r}Y-)S@~MgbjJ7JHuWz%GJao}XXbmyY5&}P zL7t!l64zUp*Iu$|{Qm1ajPH4;tKaUw%-iI9z)9oV{TF$fzB`<*&fag$gZP4Iy|?pt zC4FNi7N(c%x6hjJ^VWUl93Rb?>@xjUS{rltR$f&})R;+g-keXHV~246QJ)HG@8JI5 zeX1QFDNMGT_LOcKGchymDm97e&C46{p;C3E`y)&nx@0lX7NWegwM>6jOzPH*4&yj7 zortQW0I9yTh*7F96U(BZ&xqVo;Iw9F7|xODKxCH!r!?gv*%c@)ROSG-Cx({-ziJ)} z<2W$wh;$;ePoGx=oYeFQlZ8Sb63t41Uo=06=v|ucMC2%F1<{Yll<3pNxGqhXFqu75 ztRE>R)1Y^VBqEbY6qGQE^vPlw6?%(kMq~>0{lsJ{^d^yA0xZ%eiOC|(b`egbX&5H6 zW4_Y26q7GQuMxdVfFqiSFtQ!9O<!9~j)bNX0YoN&IBUhUAj+(myNK!~K$+%xsLYnx zq90xa{G_=MniB#&5gHi+jVB6<fkT>=B92g#E0R&5zlV}-nT`71MZiJL3K2l4IUb6$ zWttM%#f&_CewXYLltNfaV$8tfgQ_Ofcm#<ubr2<Q!F9uDdALQjm_YJ`T0NmYi7^d7 zA4FPB9Y6_MIAZweAiyfffe@S2T7s%?A&KFC1o2l=-=erJI5Awt!+lqa2&Av1zCqQ; zF}}bL1gT1xZ3tv#>K?+DB!(Q`$HUF5aR}bZ)NWL43rA^L`_JFH;bzYSKAitTji1L^ z{bzKgS}{SA2)u(TiE|r=i+BK~+HFErqFWK_NDF;VEsv0s=r#%$@BnjaX998KYQcfC zOQ6>%>lXSqwM>FvqT2{uJ1BAq^a8c1g+8msBxolB3sH%2jN7QFIN)v6#W*(^91t|S z2zstcE+%!tmjbI6Q+E>hbE)P8NrKxDd^V6grgk)tG^Q3qD4YW|q57IhLbyCodrZwQ z&~g#ffO3j+lfp}Q0EJovA@XGF%!b6{lBQH=bJ;0I+2*OIq!_ihWAre!2J`wFkfS;O zRO|GHi^u(%Kp!@Xo|2-~t{l^D0`+f}__u!DFkZ>OqDDO?X#~C7T=|rAMeXu2K$zMi z^Vk|t-{z91{H_fLkNY)(dN<el1B*9T`nSqAcpT@1s+F4qPPyILocNSaQ!6!>1+<QD zus%)?RSP?o(*Pox^8;GPHtam^7phiZ&IxE8-C%NDy8&cnP7i1;+|2fOE8Oh#lz&+* z%bXn0I<jHY@tk^4`)15jexzEuIWC}8wgGY+5ULh*OctVc>KH#n?c_1-dJuo}+7o_+ zT9Ub>8q~6R>ItrMLsg|rxMBWSP92E1`Gmh)!Dc`I*1-)ArRn4Q^dIsmYFEs2szHsL zJ^X?Bn?+AZ6gA&t+I1jPbNb2Fj~k>uvk9P2y7Oh^ZjD%9&IF{%RO0MbrYo~|Q)nnk z_+pJnUsZL@N8P?M`U0fJG{@PkRJXkhumFkjnT=1iH;r^=l<3x$sZvJ&GWBzIE79fK zyG?2gl>oj&{xprGf!^rmm(k}Tmwfo+kPAMR@t_`EuDzRFgXEht4!LVO>*O|}Vdq;F z2kO%0*tZtxo-N~xG%S51<3OFd`SxyO8fLzhai9)ek21PQ1Ltcw4ms_kJr2n>jdXJR ztg*$nDi-uo*Q5;a4Z<?DbaETkQ1`Wr1-0tZ?OSi_#@f5x){V4py`>8&1I$8FOlKY4 zK55jJ&}SeCrUEKxr)fPE)T}#N3YdW$^YI&l9Px>aN!@N*<>)r3aleGFfE@Axj6rCo zIgYJ)y6vU>4h@;lY&58D<Jt{=45Zn(p9mV-DA#XQs7HC_gh66Ga~xA0jjM<t=|<@d zel+A?V~#=Vl=@jOzc9!@#&iQ<=|<iSvRFO93l|1?VoWw@om4;Kr5y%|^vrQcwT&si za-~$>rn6%MfDO0;`O`R(2zs;epuXD|b#E_8DCDANRVd`1v9<x@&c?JGfGEg07rJ9= z_r};892%s=xF5q9SC?FuiPWvU$f1xz<9ZBZOkH@LLxtoU7h)Kr>PB9qP)LsP79wc3 zu{tsJ^+vlJoXe2w#wRe05p^9ex?SpvjazPTA|YwUTQCfnI{&(?Q=NC6)2Uv6o!qGo z@&d4BGh^H6VUXnWo&yK@F`&mazjI^VB(|Vkx&jZrEpTw-6kcEjw0vsJ*D-elwzjss zN{-wZpwAdmpYx>Kg3OJ%R-hfm_2}08js0013goaS$u`x@m~NHYuu+gD>rfx@l%0h{ z8pom;A7RO^vQ@?qa%vec1Dj7IFM=Df0wvH1EBL`LMfNYN6ijg?uQEO$CCTIka5a{z zWb`BX$#gL+(A9DR{1ls`WV}OGC6m8{A7j}{pdHrx2frA}NtVrnDK6v{MlUianbQU9 zPb7E2JY8`sz&%*?9{@S5H&Lbpmto~=s)dD(D`y#KjkQxUM99Kr74a=x$;%8YEO!ks z2HWO}TV@E5Im>`i*sDa62-cP;`v$&&4POI%hUvL-mKg0wT(WEyoPjl40}R8UuDB(j zC3a~JNWjYHfEL*PIR+mINS4ijld<wu`Yq&a5_tyf??N8~A9vv_GI&T?5?=^=oJbeK z6fTxy;Akv&m7b53B;kZGP9j+Wreb5~81+cIWq=em<RW{<_@uR@vJN=GhTjBqM)wcW z70|hhfNm9SBE!JZHuNUON3DJeCmLLfE*zv!K_@TbqQO;YP7UL|mS}+f6*_v6a|K+9 zJ~2q2gpOPUh@ppVXG6hUwA~=RSgVl2i2~n8ht~jY&?jmbJz8uEsSE0E3ut6mqnD)g z38;fDzk$)E6;1(kLB$twG;pX5y@AoGb%MeXL3h}a8W<f~-V_`aeAWigz}St}u3=cB zW2N-Z(5<%odd5pFGYal9n2olR(ubiNY)SQuRxNc3Km={M$nS(UUZi(IpIyX7g6Zg` zkMvK_6&p?nI1%0dk#tLoJisr|l3&6_fMd~B)r=izPBo)R>)-%=5ISjtQ&m5p+0{TZ zbm2$36k2u>M*;iT0O}ZZTJ@)7i=Y;iyqRC73O=N6wP*q-f#HPW(-=~?w1Hj<mj%ie zK+jOzX27&sC;^wia6qw}0aI%70NHmC%|aFrv`2+E1HP&qBXHswb|^ZH(Ff->04CLZ z2(od|LzG!F;EURa0D70&y8!Y$r~>6jV@TliKwOua3qcmg5W^z_$x6^26p6+lq69p8 z5u6+-n*-fKnb8<RxL+W74s;X6<^hV}q(HJrtvvuIQZppTVi~XCmVxANplc{^9$-Wb zK_JI6+ThxO<XKQE3P58JP_tBq1xiL`>_VyY05Y|83t0@K1s>i2_@uUAkuwH5VG%h7 zibn~W07Gh(0UV(kH$bKU{cb^yVKl<M8vui96#)RD+PDQShGB|gH_`Lp{8O@N5as7; z%cs?Zvc>Ofim%CKuaylc6VpzLPc75$U8cKRrrt02vyvQsuF!_Qk0pMsS)cqXxrC*B z^mF{s&-p>#m)kC1>f&skk9!5pzfF`glkfaY?4C&!e@g7~Qii!GyPU>@TE=cK3;$f} zxV<JYRpz*kzf3GlNqilV_$nyzrEg-pYvKz+VjDKG6{ZXt>WqoAcs>Tk&i)*lSq<z| z{um02+nF-<-DT$6knniij+DjUhZJ7}g>RDbS#vbPqOXgh)Jgih`6DFRROZMS(wHhz z#ew;Q<QPz+u7C)1(iN2QOEvn70161jl<dg(pds-gM}w+$xkN_42H%G+h6I{ghJl{y z<`5b0G^%{aS3r+-*+ih7u6HTFScBsuivm%$ksTPl8aY0kE=d1Dau>wY6z2fkqpMyD zkVAS8%4nc6U3m#$0%Bvzu?Je~+7THdjlv?pIK;w~Y|pUL<(8;I&^A+?Jwu?8Qv?`= zygEn{LD~+=E`x69hL-?7L-b5Jc8qonoR2ILl%Z=@0vLusO>uTWOWh?aAVF7d1+>uZ zw_@-$06ww^P_nMPn0`xR_BV0_$bTC>1ay2G$Ckm<kp0FNLLMKa3n7YamLZ^MU2ZWw zUqkX6P6*)~BvU|C-B>F|y@p*8KnfY!CR?C?f-R{YP{0I3euCa@6wn?#??he%HX;Q| zfD=-X#4m;Q#{m>z3YNS|{{WM?kQac}NUoCJ597Pg#o$2IqypemWR8;l4p!wt{tkSM zWGnmMYt&jk*{%1-2^C7KpqmEGb~w22FtP-Q!H2Q4<3KLbE{R?YD|F$^1Mefll>i&$ z2_?M;#&#iff!(oy6}mNYDUm(_cEIwN>0PjJ7eE(S?1WPSLk-Wg?l}V(2U}pt%XBLw zHxV!f-iF03(*>}cIKU|Q)kT|(iXD}3t%E!N;>yEC7hYbqs4TCjP<<S0&^|TZ!L(A+ zum2cLK@R0^yjhs*?sb^x&^zLtWt^_BK{a`~$N1=tv-|CCe)cXhet9F`L8mm=&dY(Q zb0^pOHT%Y<Fq5~r$GoTp`^DZ*&U&6F>U{BjU|e*gFXX<hak=4*{4kTjT>f=y$D32$ z6wk-RUYU2Kr-Q+xNRtn_z1Q1A?sw+8c-_Q&xoqO;WUO)HtX=QzT*dX;kozBU$zGF~ z4zYK=@xYBy``&5qO5?E`9`=Wea&?Tou37aKWS@4O{Ndg0Rf!$GF}j$m@T$fRCZC<S z=Y%a-<}TbD%w2b>{=ts9-<)mc>aINW)r;zR(@JO1JIVNE7R0Vs>K$o(RR1bc=>3OF zd{-A*qtly#9n{}HWBehvI<a=*o;@~qS>88q+?RdC)k@hj?v<T|y}HbvHSTzkt?hbX zEqvkL%j_+#)HO4a7Zdv<$$H#akZt6;V@+_yq#@hg74$={H15uJa2;9mR*JleuszFy znR}htJ6#{InTfp~89VD=6?(@Q|EAxexW~_KOq?Gxw!{V}Td(xo$^MY&v2y5(7schU zvZpxP!}X6J>d_{q*thy$rtf{qo=qI>T+EeuC1K;1*_~b|0j`JFyeY<gw%Fihd52dF zb~tHMUG9`;q|G(up<7vpZF>i~G4}?uD-%6JjBU^j$&e6ZXY{w^sE~Wk=ts%ci#_>S zKDKSj+>f4AmuuBMrP*^Xy&vU^#-`}E6zi~i{aF`n;|JMduLN`}Wi<4jG%Ix2rg2cP zcy9;#N%E08RUg@C^f2XY*u8hzLpBwI-ciPT&;<hm+C6cW<YH9lJyDkEVp>D)nCETu zIf`}T#tF}JHlRVXsC&Ix2W?lR-qd>?Sw^-MQgy2FZuBKeU+BHv=qCegk!JyVY=9kA z@$ss}X;W-&N#=NfN0?F9RU6Zh60XwdeWoH{KK{_xeU+%V2JVcJB}yYO$~*SZ@V*S) zxH}E%%8JgbA1<oyvN3CJ+i7t8p|Z@WgSDX*J<`m{fc*HLDUZ9l4~o2_DkL8=FA^G? z)nkl#SNje|jaM{ej@nR7KJ1#L9va*i9fLa5z!mO0W|7+DE!-E6I@O@wxzFF?LlYZ0 zQ*qD<^(C-2A-61Z-D0ju-nlOy^;@7x+{XOOiU5zgLxq`h76*9V-zr{a8WGO(1m7xN zXSM~T#r70t;s{2xjh{XKMB^#>^|>RS8R*@VO}4qkR}Y%*8022Q_c}|@c1MYs*drS~ z@LT@taG__Q&1A89jM3oL@q?odxg}XroA{6F6k}7}W=d2{PsGL<Pv33sgW(ioGu=lk zfqe-*Wmy#$J?e6YJd@D|K9I1AzN<qQO>A?=JVLfT=CS81Y*7C+gm>+`r+dg}v_7{W z(}QrBc1XUjK(}Xin^jy-QD*O!D+BCK&yzMAO4OeTJ&#!IXco*H*`i7VO_~nfx=J!_ zD-no1icsZ&^P$Gu(LXLh?0Uxb(vd%Qxr{F6eBPUN4XNeRXPbMAwE=6DD4#9ov6c)^ zV^)&5bL9e-6ZXTLq?jem_sJi_x3S(iS+DrrX1QQ*CWg;dbZ0tYs}tpO<zki{_CpDK zrhM?~h+*TLb}{RNlgVn%_})Tfd7O8*2i-^Lbbr;a?5aApH+3=RHtQf(nCSf-Kg4Qx z+B9D7)l+`F?y78CW3l(;igwmTY(<iMq5LiD5A4XCpM39`ZH-nNZ?&_$u?|V<SBwtt zL3X(uam+Ow@nGrd`^?+q-gZE~bb+jDmtNg~ZBzQ)VI9WaoRgGXUD#HU!2VuNMCQhs ziTCCsTQ4WcfBoWqPVan;b}@6@$zzOT2m8nHNJ7rETQs7ACi&`q!7w#J(6RS2(mBps zQQlyN?Aa9+THe5%$FzTJ%E?O~MO<_C{?2*Jy1#Q%W6qs)57gGAaN*t<_&1B%u=1f# zELBQlO{4Z#_b2cUf5DY<2Y82tb(8kA``_@R7Dqzyo#_@Rs~W#?_dIyHzc&ppPIo|o zPRW(gcxn37s6~EQ`G@rALA|*|fuh`D7hKE2q+Yu)T^5eK<Ln)cZ%bd&vpOZ{a?ghc zThun>OuNVG_15?exktNkkw=Sk)527ljZ(ylGgs^$L`KC^a};iIh!3aC6u3`J8Kl9` zB&>Wp+|8bQ<?qAnOl^#>Pj7nE3%frIA>$kZEX1BWgR_PGFsL2FS-^fVG})4t{*5EH zNIs63qiPSkrNi`%46W5;b4EbX$Tml_2w)3*$yt60*hqzS89>H3X1<72N5M4C6fW1Q zKFKd*KEy=DXcsUa%MCmh0lPtGVQKdCBAAm4{~It1NlxUCfHz@tmH_QAj0=Ajn2y9H z@?~HM7BCJBGL(%0PZ{#ZfF}*LmjHa&nlnFw^a-#FykuClNZ*O%&(Y11k_6Hac-D|S z20Us=3gHieA3E_Bz$?g{IY1-KBMy)c6FHL<Kwm>`TYd-75*fJ+sE6^K`Iqj0%1!i| zG&tAo%`^U@e{K<QTGizF#6M^OmD9<vgjo^&?l5Ffs+FIV^e?zs%OnKX1V{pR{K#AN zEju+dl#=*!*+6iK(+J*V$dBOIzXPyqL4BH|tFZfFoIaZxFc9Iv4#jmbY+xf8E)Cbs zaDfRi>QNjCqfhgQy#zZ-It#sqkzd9M8CzjD6RjloKa&EWlU6ym87-QSP+Thm3fq9; zM&e$i%xb3D`HhgSfp;O=W9=mQO^j+yj}V+WI9Q)6biK45Z1UvCpWm^+OMdU`OF00n zi&{4FUh?G>rC9v5zt^$s8&;Ci>-Wui4fYLd`?J2K?OjpxkJkvwRPe~%&#q_XlMi_> z2NxzQsx$Ck{=<NiDu_pgnK&8`--vR&Iqm(ganFtag@Y@e*9<hGO&pAyZ&-(!yw4rI z-shn6)%!2wNB<ea&iKKN`B0O-Tpuqg@#cTT$i2}QYGPyjME_irNl$Ly^-T^sa_@7V zE0_-2eX&X?A29oACf3HK`rBzHMC0HaQT8{-yhA)6W3E!~cjTIQUBg@zdFL2s>F*bL z2Ybe2IxgRTmAl3356u3{_uF%|y&Nzdk@wqj)xEZ2_D7mn8Yk&@bb6oi9I@)Xl?(C$ zVZKC|JkMS6?6uPQ<egv~qOan^anD}#_6QSRZsqkOAtpPF2eYh0Oqy~h|A!riJX5Vy z=%A{?{&tFqsc~!8yv@yzUeB@1$$hImW!bT=y~-YiS0i?8S-sn<0Xw)X5PQ}CN1D|` z(_XdMu4I$dL!~P8r2bF^;=i$7%YyI5_Sk=u+gExfy&hv<E~~3hfo)z^|9<aHwlwkV ze}1@+{dbw&<#oYj>ksdF<2~5)WXQ^)JJ~L-b8B3su{hhtwRcUu%PY)9xF!&J6=F{% zpItswl)b~XVl8~mxI@JmBjv_XuQM(>%0q?OhAQlEzZt*E)>C=I?3;0WHp10vjXP`H zmJM~)SyLBz1-Z=49lD)epIAGlA`QTGWmQF<mh9TZ_QjqdFMpS|xt@aTc^BcT`i!wT z_UJNOsKUx`%L2u{#_aov9*c+avpI>@i@8!SUzcmEf)1}pY;)4+Gu3RH7W8*YZJ6;; z*8IiVrd)+*oK0KJA%$m*O?Ayig=ZalfO4d1<FscDx`T4$Kd_K(D{6YadeUsDgWh7# zC+L4DwT-H;;<8O@&7n!p2pji7d6cm&x`=Y5apM=yOE#x#dhTR-+Nylm<yo$35Sbr( zuRF`hc2dfw-RsJ-wso)RDax|4wW`@Tu0o7I<w)o~VV0Tgo&W42$P!$HH1rf^wO`y6 zs*2he+w)TP<$Eu)HrXDKs$ahMB1_YDhm;+8uQdx|3##e4oyEJ@7h-IIzBFJKY0S@B zx0(CMjWFJY{&uOhPUW>K6<Lg%v*v8tJ_>}MM{O#qdj>s!x2gWfrKmz|&!tTv#%AcQ zOD1(ad08Vi)as2=&j@tGsriK5nSITu=?3<qkuz#K@P2~IJF@}#2|cBmQvqoSJ=6Q1 zq2301B=k(}t46(TFk3L{&y=eG)l-rgK)6Z!Uygbbct8G7Nv7;S9u;T$5geKYUHcxQ z3IaXi4;5$j2h@&N^!#rna8M5#<VvINOb5aUFI;KVl_?2O*-4Zs3P_9V8QXUYRnWkl zGZJQQBi!Vf%^6vt+ybq~jdr8b8|2@NUT1D4oaTjpGkTe+LvZKGXN_KDZXg)(!e@<I zGx-7YV@3qjl?Ha_zLORkc<Qqi&okF7CY!uF_a&mHPvwsp?Nl+$d!~YyIcrf(Jyej% z4Nws%KXc0B@qb8*LhWl%7w)5>I!?`xR@7&f1VCbXKJKIF{_q)fJT&8xux+GNMTaKc zFFyHUMozk8K2c#tj=IA>QI5IO9{=k0_*gsUPI+9}cCA!B+Q>n-*~dD}=>65vgMAJg zzk2+o`^aZgn30|C1E2X&qrR&?rc`3?7mo+JxjucNMmD-nio&CedQ_C$<giihac<j6 ziGWrizG`Rsp~RbJWUX6TWJWV0>IVBn+5eBlO4!tjj;ki7*GkwTj~v~sB5#pL@V598 z!R3lqSGSn{QSu*Mu4<b)ln5d#+ODdbZY}YSG_ur9DiU;hoZ2>G)pP4A$P`o}k1%?E zb!A(x)y7XA3A!Og>YX0PxAhjAMHum}RvtVOVzfhd@Hguaqo%8q+iqHI9P~J}E!C<= z>Os|YE;6GSnd-LwrV`J`z0Z-$E`6(#GFB|MS1D2KZA6a6sdw*fKn}(U#Cz+JgDz)R z{igTUs;p$P>Q~A-jZIBri}(JG?1~e7$J-<Sb!lIbOzwS*d>N-M-unpI9H;)h{0&R$ zbaut>%ijB{%u{yP-V26Xlf38gdywfakQJ4yT(EPATqR!2vcdKys(0-TGZZEYL@MQ- zayh%~SH#+Ztx&lT-@!7%8Y#6$_ntA-QTi3K46)Qi?l=4^mLB$SqS-fmI}4$rCU;f^ zN+?z*QC+k*$Z&2><;r@e+Ocvz3xHio5X_Xfuxg#!7bQb`Ra3j?Bn7N_Lt%pY4Bi}h zG>)wz7xK3_6_OfR_nkZz{qk8HC+o!=>0V#MYySZ*64~rL`b;w8-U9!wk{Ny|eST+c zQ;xztPOq)TPvIV;S6!p6aIb?8SRDC}XEiFP9SJM%PbZ@)YE%xS=}}L4i&cvI$D+0| zXVU$$UTTfsq<e&(`zd)8-WFbDaimfEi~A+L(>0Pi>7FQ^Q{G+f<#2{YerS1jx)W+L zfK4m!O1DP2*GP)etyJdI9(TVD_g5LPT$pZ#x)b0{Ef=H<c0w8?h3V}(H-(nJPRF3m z2e2=fzf9kRIuM|Kx%@@CCTd3jJF>hr9fAVYNN%U|cJ_tfE#Q~@%_8yq^mV<tliUdW zF8H@ywRL{C(yMkxh2Wdh=k(f63WV-Q^(v|*gYLiURiETi@Y~@%yEcX3&EQ?TOzI?g z=_7j7YHg`|1iZmuJ|Snutr<3L&tAki!=?@IC-{{yXEFH+l2YarCM`iS?e+}z*3ctC zGUZkcduwmDfa_<<G4t_~5@rDMCe81wTP5s?;r)2O5~d83A1^6p`XN>Qw7c9M!U_yM z;{A%5{g~QuP7l)qd6?!WcjLew*vpl;Zl(ir#3@{f>taeUQR5sDQ-n#2lZ?6Df)&_v z=Ws&iHsnnwvpJj<%+1hx9Jd>mZZH3ad(GU6Jna<z4fm3%gLHS2&*EM%Hz19i!e?=< zOg?6Q3`c-nv1fO>okVPKQlI5KXRaY89lbl<5@FK@`D3`9uv7NlGaMds7Ew+0D`0X} zNR{L>rx1@Fy@hU3uzmLGLN^+$!(e_?l`~O-fy78Yx>4Xur|2`Pt;(t}AP+97p%1Fb zEpXkS*`2r;V2mC)3|J4ZKSiGgokx+H=mTm33yv6c8U<(qIKX31@k`X|El6U}A1Hn! z{jD0;0w)H^cH*u8BlPHv^fzkt{`4=P11M4>-3Cssq3?ljIYpO)`gY=?fE>7Y4ZT|} z)`CL=mcaW1=;I*CPMHW~g(8On3*q$v^f8cdCx;5mhZhFWM?pp?QYbJ7zNH4R8?IhM zf30R`!MO~)4nGk<9|7s0==Jm$YFjKgk-#+gmH@g8#NR3F1o3uqIzjb2$(<k&3Q$jf zuC}y`69N1~k6%Y`Ry*qtxTO~0Pagu!>Cx)|=5TH`U<bVZBtKuRe;0=WJgi5mqnp9$ z)$|56!7f<`XhctT78nVSJxTuvOh(EQ=tJNsd%z5E9z$MKxm-X4IKc$Y{8DhgJwO4Z zAjt{z4`7KQc>z!j<I?E;V7?(;3=BkCP5_?5a%l8-;3`A%cfey9n+C9hc{}rq!5l-` zJb;2A$J2YkIfk4rU_XZ31@uJX;sJYL>dpW;uooj!0?J@=C%^>I2FZy7Si|gSbP>4F zUbVWgK$7F=Rxqv;U<|koiHoBPz&ZASQQ#{KNd#=e$i4w?z`~sXpMiQvPAt70j5CzY z0y1D`PJm$`6p4!kSi+X500K--1z5oPsdPRVU?`gbB*Wy6^jqLr1M&>OA3+}j97k|s z=sd8@fG-3-#?Xa81;TO+5Dnux((}O*1Dp`Z!H^XIDlC>tuLs-N1Ejzqgv>@VLuvtk zN7RPlh8XjjwT?LoQXI66=%-4tf>sl?6{I@w0OE+F_B5#m+<`a}#_4B}VHHHlR}u|M zHSiXbo`C;B)H>u$k}j)K3H&BW5m0vnc@)kTT!c8{p#6n(33{3+xx?^;=@@u-k;=gg zM1Clzo8bhT#IR|cE`~MCohT_{Sg965+T*0#V1LAsP>zsc2D^jtrg8)ffhNRWQpjl6 z+!V@r&A`CUW7wBDFBzL)2QccFIWHKRupJn7B&U@Dfq{sU+YFv&UkJ_ud`aId631t( zL+8-k2;464H?3M*zgvtd&8QGuGh+_gh874(N1+u~l0nk%&}uZ7g4+)6(b^P(GXr;N znb=D57$d4hnYNS^0dDxAT+N<wZT>m6%v!`c|D0OCr&^n(%&z6Fc9*71tua@-r(K`@ z>|5Wv+CAl3{j+b`bOGC+B41OkbeE(A{LEGQes!(<S-F01#kV9ywwAZjU7X_g)BeZn zF4u=Y^Vj#T_!g)1uhop-?Md<Yc}VFicjf%NzdWJDcBeS}99|1kV!Ki#YqasZq7=~@ zbGduW_14e)<v-`J!jx@4bJtAguvR}w>%?*F?w@JP6W_3}Q?~vLUJLt%eVL;3(`{{H z7W*P)!%zHL*etd+g}<g8!xDZ*EwegZPp)gM!DjD1Pgz_4vg+08n)q|-N8T8A=g)v; zubI2Nl-c!v=6nlMxNEe<?);Rg^+&5-Lf5FD9?LMHEA6M?hjR38eM-q1c(MDVD`j;} z@wv%&#wB54c+jicrD;{J$P2?dt&S>aVOYminS$1oJ?--EszgC-%ARt$vT$_}7L9dS z<td0^*!M}JQ*RoPUtRuM<tlW;uy(8W70OU-Uy{$_<(lj-E)Q076mLSYHmj8bVe?Np z6&speDpt7zrcqc)Qty<qA$!85bTv{@(~v#x624$x<2&vWy3i_}pkh0dgj2saAje$t zR=pLv4alM-+@gL>c41Q6lyd34%Z-HTH}%NRE}5%lioAN{hzo0Vi{f{?cP?qGnhN83 zWMNXv)aQ`97ORm1VVy3FRe(ZSm;K2lX*GO+6>+yYsd|bTa@TxSKAl&G9CA6f@TeMD zkfc~B8aY$vJLqzFp*-Q-U9(lvKo|wvkW@CMTbG@eBwL94_<DL9F|Ol)kJZiWi=)p5 z0-FTqb>jr?2&<eI$veSIuU!<ovtuehV?HmvvU$2Y>y2l^E2|s3uLmVQ8?dk_p8Dq{ zqUj|$rR((HO@aShYw+29t?r$}g-YLxBRYAp?M8^*Y}ct_1TFT(R<UIU;o|ULPoCbH zeDvVP*2zChDR;hX_&v5w=Z0n4?PDvg2uftHW?)sS?sXX$UC;NG0gaPtd-mx%*Gk;r zc6`!LY5K$TkkjS|FI-nceb@rGuqNmRn`&=bmaN$6gWQD%HH-F}Oz3WJwJ;YwBDupj z9k*R=+1PDHC+Z`w;N5c#9AdTYRU@B|rESNYw>~d1b2l~zZN%(*mzuWE5|s8DX&8#r z#1%Crxf%3s$TSOp3>!gFcvVGu=2EpfAyZzhZjsqv&F1d|Sd2t$OwN>_OuMyjcGu~M zjsAL!kd4Rn%Iny?eX?C`LZin!Q-wwfz1<-jqcgcD)AIL8b{PqcI6F^MHc~TVtJ(GY z?EE28qanTFX!fVQONJGT+6jo(1a)UtzjK-bKZgNz<EhBY6WY-TMgsfe-hRW11?^f^ zp>x_4eiCD}pk2k{(Ae+yitN+A;zuzR-?b}QC!EtJ@go?J7=IY~ZCsnnvU5%=-dku` zF|U1}6;4yPVV$6{d-k#o-MjGaNMJnMnziJVHi36Qw*Keda6?cRUW`#0HWa~#V|VU7 zVOSx;??Af6u{-v98yd}NpG5%URHHSuX>3bYtW(-&{8nUZEc@kNGee_q+H97cQ`#_o z1JW&)-MUxZ5G2C4VA?wIjhNI<{4<QvtTvss<e2sezk(<q(@tddJ9^yOOSW$>*ef?M zn$eDBRZ-bHSR5+5Y41V%v_bqN0zal5#bQ&{%~*wwX;OR{#z>*<g8;^`>-N@bjl^iT zFy#jAWp1+w_ikJ?tlW|9#N-p%Qa7o7TB(~1F%qr)jL9{COyfdfct^GalWhQ*!pSv9 zu4vPs!w%~9%y0w9SKKjJxdYpdNhh-V+<5wsNt_RCI8^%~)64+!1@}QSwF~!7^K_JU z1=EknmblRoMqM};*swiY>=ubQP1C-^BoWy}rT~*x<VHq}P_=I{&4_HFn;+sdRr@BB zje!)okr1avxOPn=5zY`cY{!1(W{Eg`S^FB(8v_}^Az;CF>^3)T#OX-wR3?DPCNO8M z*cMEg6?+#`9Rrc!)}h0;>=w6heaI(OqG)c2_6g|a5bbzX<|bqaSE;Ho#&I=ADB8b6 zgKgQ3Zr=KkL0p9<NQfJU;%(WcOg1_#&yBA&a!H$#vh;&FgN^@LHG$-%NR+HWSNS@= z8#}v(Uqr^NlP8e%DfK^?)7bMrNvo^@SHXI@7<>9BU=`+&68qytiEI73n;84YPyPz) ztt)pOFUHE&@ZXUU>+}`Y8`t_}<`?XNpQII*O$u4b+LN;72UCviTf@&IIVs*sR<~>H zdbtu=q6#p~ajax*SfmOT<Z)zSO8pvh3@cnKpF`%S6s|Exu|_{h<H($pElSw#6m=!* zwX5BF`8VYCloM;r5v<Nn`V#Af>z4KMS!7zumNljf%U>Jr#PZh4JF)d^!JSypPrwrE zx$Dx8@)_hG>-<Glv+LPq@GaMXW#$leZk@geGf&~p!FHt7ufE84?f+4(KptKvEwao~ z=yR+FSHX|r4(!OfY!n%p61&R$XqdbxYhn#KPYr-)4CkkUV~~vrf*P1pf?)7PsdN7T zSYb$6BsZ}>I7<}4(a7oqZjI_!%vUhPhJlNgVaTTmIW??z&Q*%wE6B$Q>>8L|g7@Hy zVrPzGI0{KwAUCplopTiBU55Qr!Ci))i?~MEo&@zlu-veBYM6#BQ?+^kPZ-)PavEUP z33fFsk#peyc-+upk=($tO5jStV}{!naSbeibIt&G)bQ1mo5-+jYWOnpMnbq0{Mk@% zkyFoVcg87(Bas;iW>WC5A#@Q}53@{Is)i8~<kc{Xg#Kz4-x;77jzA_S$Uib~InPc9 zM<D$d=po4C3!FL@&sjFzDl~jN#Sj`Q7A!-M(Fxp-%zS6bG+t=PnF^*LsR^;wta@j= z0kG6?Xh9au`sBDYRKAEvQ1BCA&N2PXOohRm6xeM*or#=4L@VeCtdEZUL*)yI+L*#- z=9Iyt6u*F|is8^$?;S-A%&!Kc((><!%9s<)%t?b0DNt;1cxHAS!HuzNW)?dZ4wcU% z?#G1FU^X!)XsjMb_K;hbf%^;~o@E`g#A8kvILz?lSY3|cL%=Qru@tXFgevH9tWL)h zL**ia9W$gjR)?ea5PlAERso1(?T*o=u`FX^dCboSTW9#Ote1{vL-=n9c8nd5Ic%_D zh7`+cbyOb$iVRw$t(^vqQbwo2Gbw%+L62E#Vtz7MQE<i(i81|6dv7_C8(tJR$_Mc? zh}f7aDr-j!hstVlJlMb-G?-N2#t>04Y%0twrm%@AH7Jwf6$l>%Acj@vSl=}qgJ_}2 zOJ9`P&x+l;G0_toN0t+fPh?5$rA5qAdzpAR8u5(AEd@_wLML#JEC*E!9PkuIE*idq zppDBMVD_}|Qt(&Iu?da?OND<TtIwWS1fInBOvpkJ4{2tl;4hdDB1RYHohUd;_5Y6_ zktMOGi}76;mkF6YOKcyhDx^l-p^=CzB27@jEV3tyhpC8LG&3SgXzwQurXp_A*d^d1 zdy+U<glQMyMHs^gnH}quy`?z#GU6J|y97LfK}?YCSZ(&&;^0U`Dh)tn5oohkEDM^< zinWWTUILb3*2iVGtQPz5BJd~7!gx*y;>37l2qK;)C<YH<Dn;c&3|BNvLHs^Wwq-Ti zdl!KRF%=@95HmiGvt^mm*u~5|dw$pOB?Kj6DTz6Qj{j6Op~Z`kC^H6a<t_Mb^ehj* zs1-9rp3tg~s83=}qtAaLt!50^3R=p==+mD7t5AoC*rXRFw)HJ;V)P%M_$wK2ZMiLY zF<QpMf7gl_qOWAUv8|6|enB7jL|VzPi6AR8_C#z+V#?8dJp8;CC&F8q(QO;sQm)i0 ziRe#cj-w^KVG-Ku6M0;#Frq$@IffSU%ICE5BMK9lqiCZ~q;ajBh%HL!?g({d#%o)< zmhx{}*CS3OGDpxlpXf^&FKoB8l+S9VMQllA%Fuk?a3`9_EAK?t^MX6kpih9MjOVsX z&E+#%e+=;#Gn#GB#({6y2E;Lk&~rodMW}fMcMiHEqCVk8zN+q^T%mP%h_skt7D1oO zXs{JD4|kwPhGe5!krA<~8icLM?`2IHLwlzD!82RuD}!US8ZQWHpiUPAr(Trq>GuaK zwo=}cn=(G^kvt8K)~de1t;y)$!+**UZw-8J8K(90LQYM_yFFD;gRf{kzQC@5+FkHI z^`dwW=jm{i7UdneF{5`+&eQU)t^Jk3U0Xfh;~JrRE~uXZ%eVGc4%4*CF31DG6I*TG za~h!57wl>>M0*PT!Q)#k-jf?LtS)c^z++pty~j0V2=?UogGaZ%s&o@=ZL1u<taal; zcmVkGR=xL}`i%BHxTnLBS{WD20>Hytq3?0^P|FKT)lk9(c{SAHLVtAze-Gg4aD-O! z1^G$ltv$0(f+Mv2-_b*~j=$s7W$^aMp0o<LKCWa4w<_LQhG<1!;GSgW?~y#g3%7DA zgDG0n3$fK1^?U66!P2d&(ZSIfpNLCk<%^mLVtxYDIjrBAsnDM*0e0(CyCWwwqs8=u zjE}_rvhoGZ+OR@r=9K<q34TGdDvU$Rcuy4BGr#JOmXv?jtPDHh%$(F8DFKT04|mUw zYjVTvoSDVM!m{#t&HG{DG^kD330g)EkzMB2rSIMih|jPNTXJGf=sR@t<1)I4;bp)s zeQ^n1sTnG!$7OU9Pn4C5^mlZV;xamj-eveX&9h=aT*mG&ZCZw9SgaHCv;Nj@er(1| zqFEXKn<hKV&WSm!zoDBHo6$;CF9VA7TS{6x^&3kVo%+v8@Uxoquq8+4C;b&MXG}9O ztlx3(Eh5?eMS-g906(J{8&*Zl*b&B|W;78G+A|0BC&joi&8RRo6>1h%=%}hgC@aA$ zG=0Q?n2b7Neb#V{X3H75!Hcrpvp3wjw?x0@IA%DV;S)2YyQTWf(%rHf!_k`0&TtLD z(_2Dc;~X;_&ae%@Q(NTMhp%YTUdbGw_GiKkz+bl<d(CmkuscI1X7ugm>4PV?_`H^d zYCb$;W&r-O<->JG*OqtJgQGMn&iD~CB)jQ1@LgM6Ud!w=#JeMJ1k*I{oFNf2h-U;C zX3=i)jbW<htutoC4B>9S8^Kh~n`hV<RmWM<jbPE1_Um}j7Q@#vyNp-6EpG&0*1UGc z8v`EMf_P1~%V^uJeIqzhGxZFBm_ax*Yn5SfMrM_<>x?=EEZegFN@knUvO8QK{AtU= ztDF$c6R#peG~>?*(BPphmDkIKTe#PUDVo2(BHLy(?)KIP4{oWr4is(~e}%KnFg?RY zGxK)yvxYBeQi7I#q|Tt?pI1%5c|j6o`XE7`jPFLxCgK<2F)ic?czsa)kJM?@`RAn7 z^Z|k(xm=7o{T#5W<`5M7qpgHcpX??^{qdZ?lKz&!O~#8+vPArMcti_*CH)Pd-k)>` z(h5&W0<WJPpA`On_xMiv_Gaynzxv^{AnwP<-{FV#o@+1W;qrGaCAUt)BZFdzJlWa5 zgz$$!W<Nk*^b{8hw!@y~9*TxPJ{fswZ=;%IS9|%OdujhJ(SW=Bv)<0S$g7@tT_x#` zEoR4Sf(8aaw_DBM*i;7PQrv{W7}@csK`$x6@cbhVC#zOBM8l)1^&W=j7SkHjTmBwu z?`b~2PpjFTx5MY#4%)UJbCEgGj~x`QRI>>>p-k@~u#?@oQ0~tGE9ut6tmI)OybR^= zGC;<wT2|{KaEiA3eD;gVyncn1kV>vUGWbjCQ2tVZ?CtHpp)a@=f9_BgeEfJk@?`V! z_IcAizUAvCD{sQ5j$J9+lCpAo{Lz~yesu?u4t#&~_AWHV$U5=bmA{U?6a4*j>bK+v zFFTLq1zlR+F#A`_U8}p*(re;l#U~HByj|)$Jsfi9@7b~s9?a!8<HzHJzWtUv<a~6c zVDMPnk-OSYq<-l|2Q)_u^4{FlNjY31eSA|myS@7^&u)Ewsc+&~Q}naOGe;Bl)fG%1 z_l>OEI(R;7+?Vb<&`Wt6YTo;3<k^b!+8gEe{y)w3{r%8s;BnMr!INYDk5s)aCmh}e zj2vMHJ{<Wd6demAk3Fn7y?N!W^oW0p)4tHZq>py{77Qm%9#TGPe-fXvgH~+6IiTRv z-=k%7KQuarj$iTp=JO@`pF96nG~c|rTDQ6E@3mJR?lx9`Y?q#oG~es-a=XJ{`|1u7 z%a6q#3VA#gklu7O=;#c}+>Bax=(g8>!v`n#m^<kI`)k9I5bkKvy%9-n#mNJ-N4CE{ zuuwxUHEufpSApzkRn+LkU~v5T4F&Oix5^!|-L0K>D7dCHFZ*7t^gj<2^S2*wwSPSO zxbGg6b$(y^Wb=W7yvP{t@qUMzy*<^4F^BxJdyu~Z|EAIkz8wAYa=@78mBvR!<Bxs2 z{}LWy1n%+FIUqh7D#oP892q(q{`lPyf6r4_L1XYMrrE{!^sqk^H>&@-<0PEk@tROF zKz(b7YW<6sh_8VM8BePbgZRA2WwlOtNQ>Wgug$i|vctdkR8_(Ag9_JDM}42TzFgK2 z`#y5bT|ct&X3|$RP4IMc+qo6pyJ+6sh2-9f#ow`|cc;omqZ@1T3<8F_OGl^LLrvem zFFklD=0H|W-lWf8j{hj;LrrZTIL0aZ9$xv=y7{?dgO*}b=%2)F$3qS_=R3Z>k)ETO z`dI!J72VdvEdB^SS=gjoRB9D5GT8AqV&=Ce#D+MUE)Mgy7!>Ay^hKI!gjKGlQ6cdp zW#!F1<B~6Rl!yHUk7R}jg?nx}4nN(ZJr2(fiY(bLLT!D{UrK*TFiXaNgR{@RN*tCf zrVgjK5ww$oXW^;D@5w;X!GzE@tr`t~|Io;XuX_UaiaQ92%c-{sQOls)Rq&H7+DmGD z!dg=6j43flzM6XLuHke3;*rtc?*{d+y5Ay@m)i;m@+ABWJl6G}b(0DBv6lE%_X2|7 za@*kX<CPy0gN47>x}F<&oIe@4qn*&7RIV^@{(I@!<F(ZMCx1LAEpGbin-RpGQ!@)H zTuqgt$`bJkxK9gUF};pZe<{5&{nMX5DLT^BnPdOB?xGYlqz~<y8UW24`}d@zCVg<1 zoPzJxo4tsSfyX=}PdpOul2L}E;m=NT2SL+%p>?>Xbcd7dLC}<*{NnHxIPICNQO*8j z_#o)3-myAPW4hf*dQE!YF5UoWQqQMO77BlO(ri%mc>8dX(WUq9VsI3^;-p_qx?~rf zg74CEsgpINi+4p*f@$zOCrLHw#FGMPYSAt-Wta-Tb<(URUAW7S5=@2PJjs@ViguAG z!6Lo(i~kQzZ{n8(`hAa2jy8#oqXjOLIW6OYC~lRzm8Q<9xr9oDxC2v}8}3_WsgqV# zu83Qi`!1OxYKfuA;!>`OxFq0$sJN&3{xYA}@AnS?de1%gdG2%1xn~&iDlIduNj=)S zfj%KpsmQ-rq6Y(+7Vp+1ineT^Pq0)X@+V@F4sxw235uL)O43FiV~NekAHJJuN$S-8 zXF_aRe*4{XwA77v!Dy)%<fln7wtP*%E1G;oz-*ut?45T@Qk(W)6Jk^HuLF+I<hk!o zw<M_}|D8<C(Ef0D_O4W**N+6wzS}B1wsY=>-2j~IwV05_gP3`762f^9Q?J>C@O=^U zG<yvtT-eXz!^1mMe*m^2CSDy07kH4d`uVt#@1SLPwO3^VhzBw9DoxnpLWb)d--m8w zEy7E^UL=fgAs4-B_ik@OhU$moKqkXe4v*l)@4ZU*mNp;*^(OD<4sLHinDv8k;TSb) zy?)Bl3AC^#RVXrnE0#{$0dXA~9opbI@NBQFy(%GuR<HK{<$<OUqHpjbL1J$txBg=s zh+ExTul&oo`rpe#H$WnS_tIv&MwaeotXy;Jv^!YbhJ5UVJcg(Eb?P5ftwAVijA}vM z(f7yO#c(GU;9gh1nAtpv;c&pTa=uwOuaZ^Y7DwfPr;0wBjc}{Ay(AJOc3lhW4?BhX zRjUwfr)w6fd!T7}tQUF@K!?LSKgVhcHCG|+^)+#B9EhgZ)HR76*F`5h%siU5RcY*X zjnqWY6qM7;ql%gissfjU;Lqg@vm`Efy8ew>((dpoj36@eP9hn)WkcHPU&l#ss!8?p zoiW19y!tuI_n|@%3myb>OW<5|!&gGfUkhFHDytmOXwCLYVcF+cKv?y3ZCv@w$*P^o ziP~+mtldmCd~H<AXX1zehA#Y_m?dzJPH18Q24Lw(js>-Kq@b4XAc29fif9fa=&BFp zHZ5&aw211jMVc+Ir*3b9M{50x?BW6a?&0rJe>@I>j90!1u*O!k6@75Hz$w2By%ayO z6kcr%J7uA2>Ne*Z31gZ!w`DE6hrYWVpE{BnuBnHtbp#oMIIezWdHH})$Vly6fCR66 zu=aXO3=y>Cl;~7&_y$vT9v1J|+&Z%8`UH02<phoCRC4LDMN(VVqHFr4Mn@30`~os7 z(7Mg-<kxb*kHW7TnJZ5BVP^uVnCc72rxwk-Kmjb(sl&n=3mK{%4gh@z^4*J(r4vhE zXdCJfm{Q=#UIy1a|I%F*i;?dq=A0fQ?*^8VKzX(O2XA*>dG2^59-kVdse|-!EJas! zRv!FRbepvl3Zd810^CR-j(Y|yWWr9UPOUxLa+V01clUdDoamNYtI`t6stSSh)XKM9 zXK98&KGw>#=(DPVA(Yyq=_WW=?)8wu)KD@|a6KaX^ORjMm|e~)jLGozpwVGrwttsh z@w3^iY==0Zqi_F4hdF0g5i|L)VEcFkfN?$1{#U4VtLyCbWcyRlq*m9t>%^Gs)G3ta z4!FIooL`tH97bi8*Jy=fA-c%;2^!rU^=__dB)e9uMU1tyT0yTpc(*iZM|Y1yuH4N+ zL$r{n3A>=`_S&^~O-*iDwG{#3EhCw={C8E8b~N`er1b=V=8i-5-QDu9ZmrD^kZ2j1 zbPt68@Z`k0t-8h5&2`ufxRK$Do>@FiGdRaH99!92&DR>)jm_j-e+HlR43EuPachPT zjk+;CRSBFb6Z=K&3vI(0w31C_YV2@fNld!Xd?^ClQp$ez6;;LHT+g+~8xw(frB{t} zmON+%%<IMWNzl^P%z2k8+gcTBjBE9>3+`DA3LwxJFY!&U!e#PZh_*v2Zn2pwE@9cB zO|C4LT>C!l3oXFR($`wnk*@hA^U7{9nTsxg&rHk#TNQ@3r799wF!;Zt2Db7-wS6OW zgw_I_achKkwLvdnE5}OTYJqlJfH|eZVTh$`gwnrqG{cF*i`OgcwLPt|;PKM);6<5~ zCLA!U+B3y6{E`03-G{siO<M_}N|ur6j{>HjJ#R+qbFPOoNwh6ZV|cSCHD+YV<%#VD z&(avT{4XVxCueZ3^KQX)b{$Jhw~Bvlf0f~As&7?&d38f{TFIPoNwQib(ZV$P2S%#x z>d$smG2H^{ZsJB3++M>gNt&CQgRd;D>+NtOJm<hVq1jSk#Za|LidaYLMisOAe7z-Z z1YfORua5(9-E!cFC7%h?)YU3|xSOYSG?1-4RZ=tTdEs&Fu;1|6%K8<zmvC!OYp&*} z>K+eOZk4X>t;eC6({AZ-V-;0Sg~^8!e%<Z%)b%QAHL%{U9k5>2T`gU&*`B&q)m1HC zFNPb*sc!ce;b=nPcS)LSnjfkU>xAU0RZVU9I;uIPY@_URbyI-lT@rxq22VcQZns+1 zUcH79w*Sp^bFZp0N>-{`Ez`?H2R5~*()>rJ=K0<unfN%o!-fYs(rwD^dfjV6<xZ6b z{L0Yv3x=>ihYM!iLi6F~_`lbyS~b<-U8t>ytV!4Rusz2S(~-i;sOCS}o19=xbJ&Vw zsi|9G<(fm5=}18(-yz9#WX1IzY{b#pbcFBP1RKF?Mre*#E;wwNjO15(l)p4^TXwC1 z)jF2OoM5|#B(!z>y{ZQNC-7_g<Bc-2fy$YKN0Z_vZcDB~n{W5tSavKjuDK+9Kb0C- zHceP6GwJ9{U2zQz4QkrIwNqwl7Ez{OP!*>6x$>(66;pKq_S8|;BrC7dvRq8!=X1!0 zzp^k@1C?gwOZlm|DrV*Ra!Z2|e5HQ5z5$5qngc^Px?!qll^W%F`F5dIpDI5c{E5~4 zRN3R8imB3tnLBcf+`fsJQQNW9NJO&#!cIA&jofBkAHjZgR5b$SR(3jY&{a@a2>v$R z^#SaN<CbC8v}-)<mWb1;Ix3qSlF(HU*y6P;LlE25y{t+flvOE6_$q|WxWdYs2vvca zVA#+#5L#0M#=NF#IFeC0R~D)dVz~yvNakDnnX9l4r<J{1t0MN=G;7|^6u_bjDI2Z= zSY%<-1}wWgxTx)i<QjOg=rBRJU%6WOwfvu=ym-6qN|Q^RA8&R}uwji(T^827%CT~; z8RvUue$nxw+dmf9z@tUd_cRY4ua$R|yBA%L*X(yny!2pik?!=wsViD@tJ3gN<K8VM ztm0B-^wK8S&<Sth_8qtgyIbhHF`QR!Q*`_Xm;t+;a6L|Q6FgY{*=%d?%QvTImo6O6 zbHD@TCiiZ}rEXL*i?oV9#{rlyY#}X<#(?EIp)AmQuK04zqStY^h2XyOv-k8jPH<uV zg^351LQ!$<F;nH1>pLYyFRg=n%S9`n<8H5mspZFuEaR5ez}-dXi!={zZGb7|M~jZf z0T?i^LZQ$#r@YmynN#_p{HLPtv9#67&hocr)SY2A3{glC4ri5b7Sdt?t6+$eTXZT7 z7U^^?nkJ}hD}Q5Vz2ll$UTk(@CzAzpDol-~^}&LjI?OrmhF7n5+HXOdv0!%TrdBgK zQ*b>xn?iIIT#w9dB8qBCi~R)DjSO75PPFfXx?wBFOTBYm6Ni_t<FZ3hcKz3DMQqpH zmbr92Bs-Dlx^z7#+Y+@D1~#<EL*3edi`VaF`x1xqN^NqEqwJX1U)eu^W??D^O3g%M zoQkVtmY&bCBo5<C^>g%zK<@P%dj!-CQ%Nh;$jS4t3$6TA`bkTIG@M)dQ455r>@8K! zx$Th}Qb{cZ=Gb`vLMppUrE@erQiChIO2u=;h{HLh?OG#fFx37oLNgfrq4Y3Yh^z_% zYum4DH@CQEmo{jHqrn}e!fXnXM!W8o{kmy*>N+gD30V~=;_o4C5E`su&(v0J8O|u3 z6LCL~bv?+QbZRR$bJeB8cEvb#N5kB9MWr;>wXkGOIV*O!poFiS6g#})^3HZd#X5GF z@6u#DVyw9>swE4`TQS4=B_8Q7ajwfQHKJlu8Y8M5Gs>dNC!xeS-Nc;6bSbmVQn8M4 zC6tUSH*+h7O0K3~$GI-J6x)^>FKuWHl$fN?ncd#dV3rIjhjS|!B@E@H=wXgawr!Si zl~99LqL%*BOjD?#Z+k`M0#`%NHpzHtU8A?;_jF&g+v^(ClH=)?W=m@t-6eqZvu3x~ zG`dPYC~t8zbZo<oQ|T_qX8<$6TE+X4gJ(;oc666G+m%yU91Sg7l(F4vMSIEGv!+nj ztda`l@W|oJ68^I)Q#+bVn60%jfaZd;?K`zKU(s5UuPhNcJn0f>^+SeZ>bmM2n>=^Q zZorvrwJ4K?)iAT-$b@4xOs!^R!c8+*oSUtNWG<Ly@}0wzQ%?aRG)$~IWG-Me#$L@! zkC*_LovW=XWk6UBBdb!GEsVzSE60>jgUm(eQmYp-BN&Z~R<%mE!!(9o4NHSefD6vI zlD{j{a25Tpj8eWT+u=0MTNNm2ie3n;d}P9nGda%a<SOOV(2BlSf2O1=r!t(MTRl~> zV>tUH$0*Z6DtcZirrcDv3sGafI-X*Apu7~U(ftY#bXz$!xT5Qoc#4?8aL%iC=@GOB z)aov&Z@sMdl~{^crt;EinSPVi-mfEu8LAlWwH#7OV~5o(JXmJYq10;q*JeZ4>{ktp zdDG#nSDVSSlYk(#p$k?B{4HT{g{GBDi0A_ZWmhV|hG->PU_~3@wX`Z)qm97dPEOSa zX1?MkzdX6r=Nw{XtOO9KQC_hFuNU0fQfnc+xlEec-21ZX)Ol&^@U8lWI=g6-H6Q&& z<D_Bg6T`=cZ}&3<PSFXJ4Vb_wGNEbXgy5Q6LYsL>G>qr;?$XHN)%`Dgr>09Id*E&L z@uCGYcklJZt#ac_B++HLe6;Asy_-M&-YPe`)U^lRDmT3J>M-nk2BFB|p5~9oo8=e( zk0$>+G9SL-mJb$PzGt`bh38awDg5xGsL|w<cj?vMBEt!P3BM<~QBE(?x~I7T<2Yqr zx_0>I9&FypKOynp7Q@Lm0kHA^@#n|gFLO?*msAfQ?S7ecO1=a-yt?~k=Kp7B&x9r6 ziJYQ$2f*DEGfwv|?fn?u$;d9+P58PGraRq8XcK0zouV(z{_y9NYhH5MTNIRoFHQV# z-O0!-T1ZG0p2#fXCcNBV>~jjaWV|OSC~qlZMSP#?i!5gsZ1X>oGYShLB0o=o2OOg! zXj6;*ju8=*sl^EO$%5OFucvNBs819u@qy$Me8;een5kR+j&-Je6P4lWqXq7f*Qdb! zj=>RjQ;ST;3ey6?JVyOXft^TrR>^b>h`34ovf%jIv~mI*raoBknZHTO;5inUh6vu0 zGPsWaM3@j^Tt`d<g|!%}PA{PGT}h(x>I~Dr1wfLh*K#`YcVb3v0foQWn(@u?si~ge zZL7#~=dtM-L0D_XtYea?oZx(G#*9dk{Jkk7r=X4h5nZlrDj^VW{W9&CV7j&P2Cd#% zupL2bI+0ya$A8lTV>?EfA|@(nj;;}HlecJ&5u#JepJ?^Ag4cX;bUCSDJ|d<G#&UFw zNSs`}tKLFL2oiQw?v$AuuCw3p%BBcmK{VWnLPBUz8!n@O5FFIj(YIapmGDncUdP|t zWhRDHws`D`0)l5y9xh|~T4><yj>lVNBLvr=RNRSt!u6mS+=-=Y<%T3u-)7lo!e2qR zaVH3bQP%mGjD>5r0|6b9n`P$><Jt2foL<W}yvu$QeIk$0&&rI>z!P+X+S>bsW%`D0 z?5ojV=C8dpv}RxBs(m8#u>Rzh=^C1|=c8cXu01i-X1|Go&0YJ~@D$rW3O0M~k>RiG zKci0M5;|G)oHD3k2<aC6+5^KQ?9IrG>1*+Zw@8my%Q^^6tVf(Oh~eT?X5<O>HTS@( z&=Xk%L112J#?-ZN!@o(71!WqBS4g)6Y9vA-3mBP^L6`}QA@|)ao5sgsmkMqTIJ6rq z;%`N&P2q)%N7ynJUcm6js<H5a*rtNY2(_<x4EAL~WxqqCL0A7(Y}pu|%a}LG$j9Hr z`WD;@R~y04F~qTD!+191k;#`uhd_*eL1mcQXZ%;jM@-oTgQxv}nq=hREwN$+V5UQn zL3IDH@d=&-!QfW^BTSir0lMEGqsG8Pu}%4v42LWOm;Up{8S@S=3|`<RL(B9G9^=6b zhjatu{$ZmN-yG5m!2Ov<C*~Yd43zp^jZVxuJT#E(7sr(87)0-h-^|TUtB1a=)6=OD zv$hUt8~iu*39bFZU{8C)qtt&XxowP(=rV25rc808FVhYQ23yQGXthrKHio8uA{$@F zcw-1-J46{E@Rc+NS4<n>7R@2TV1)T6TCENLnjwxZBjM*UG5Ro;gCi!9uy|Lk1#;lo zwFBsYkK-iRkOdM&01B&r&I3f%J&#Q?g*V_NSP+(H*EXOTUfe<9gZ%5Ad4NXv{SL7L zNV}&`Kggs0G!IY<_wJx9fn4ild4S-$=P^l!@XH;PMG(uQYZFikKiy$TfHaUOd5|Zb zS2h8+>ttgj1|e@q6duT|elS|19}?hsWdra}T`(7bhwI`b7!VK7E+GJ2=Nv6TgE)9z z5dvPoPvay$L7tEjb0LpNly4yA`oSoP9*CCbm36>l_~mxWEJ(WEIZA>8k@mc@2Jo(1 z;{ZJC1~~wax&{v50sN<S$~5SpZZJ|p8$Ls(ut7~8N9dqBk1MNyX!r+mViv@eB$fqX zp?qi}A0y{T2{62vY?%qUOro$r^L1<UfDm|as6;EI9o2Og@E*2SV7Ut1c6bm8h$(9@ zm6!t0<HZWW^NzFqK)%C~NI(m$p}=wl_)od6sl)_$7;ni3dY50u0_tJ81`=c7Pk75^ z;EnPI6NwS<N4!`*_>JQ%6X;wXY$9O@(=D)E1pZY%hyetYHDCZGFxi5{Jn$pOBVmAB zWoyO~1K=>n2RHx$HkdD#2hPV^a)AtoBRD_~tRdeL4|a8Y5DLhEJ<qqC2Yzxm5(@Au zJB<POl&u*_^n%YjJ_rG%!JP9g=YX>1!A26@V4&lJV8BC|Y`$17I1Znf0}jKB<$we5 zmNUSg%GV4f-h(%<U7-Va%Nh)mv|u0flOV7`f+ZWcR_2WcxRqT-10rF$`VwUD@U<&6 z;6j-!8sIEq1_=__3~$K-<~tk-1O&l?V=1dA;)(|MADKJN#3vT2^DVCINJbal=Sh;B zS~tW^oj$}<R!#&IIq!>qRcBaS*_I41l-(B}S09X~ET7<-b!|z877l(FA62ihxUwY~ zQuq^3veGGPLws00-=d3o!lB6dyZC4Ix6xt*^_pnP!ilRz#azi(PCvz4=BdY7bZtl$ zIL*XSxF^mR-RDYT3!m?a8#sN4qi{}W6*+Syvz&_KDDx-&ERx+5*LS)fN6A(HH`+2+ z-OQqEUGk}ucN}Hz1hDA&uJ}iFWs9yg$t0)Kag>=8;zd7kCA|t~IFhKs4;)Ekq3o{s z2lWH<u2so+r$M23r#j#K4E;od*(^<D_w;;6yj}f9v}KmMb2Me@M44HaKr-Cvxlp`K z{c5yWraHr1<p1PUD7z!B?sPwvGI@fPy+#(FR^5d93>=TjDaJ~Y?FUhog{m_MOTlr0 zR#*S=;GAHx_@wGE^g)Cq(f&EgvOx7C^hktcSoT`mBV+quRARpBTj+yu$!dFNlx4o^ z2ZZI)akkcxaLID}V50aJ)lBGvFv+{wA25=4vUS_Uhg2&NmJ7!(=RC(q7TK?PSn`e= z<us7QnX1JI3SQM8+Qm4on-feDr>kZnEIG$Da&$@JeX3WX4;aT!=jdW2eY0gTlIixr z9+uyZE9Nw`ic?kpgdPczOtIJXu$(=9EJwFhyi4^yf|8>eg|N&~4MtG1RmGu4f+g?S zuOTg`kH6J=5F{CE|EWn_%f7WqyhC+f`$&+ad$u!L(ltA{<q_Dv0ckmP{EgOwKuMSE zpU{#x`{zhXhU!P{uD;_lqILA*E$0qYx^|9r*pB1G+2<CFDFV5$^yj=|QO};oJ~Fj! zz=^ZYu~fRYk2TvCn^X95{^`!VV~w`=&BY4NwX68_%Xy@q<{hiG^){z0$+@P>@{R>R zdmi)1(Dt%9Wl@f$+_ibE)b_NwCE;9yF(vQZ6O}8Q$8JB9jS(L__r{pQL;d50^g#aO z=Xt~0`e$J~<!#T|D3w<m$MS8*%@VnCgUYiEIsJ5R?y+3kR<lI5dS`2DYF!WQ;~-QS z6h7k{{Yc-o!c2@Kr<Q&?`q5tyw5PYy+2_V$^Kw1PR~T{><=ORP&usrO6Z<A7pI#jG z=;OK5Dzj_Hl5I82#AfA=rk{=y*UgE3@Sln4am~-EYn)@A&jvZiJf1ahjy<sb$&4~B z^3fWM6xX($F{QBOnv{>w<?58LtR9QD{a~7yb<WjTEbAQWln+heo#T_}|32od=JAJ@ zqs7VRhEH9g$t^sS<s5T9%Q$sKaLg&$Ir>rSIU{3{^%nct%*99jXAWe}1RcwdvieIo zaaA@Zr2%`a-KteNvGB}aG7mzIk*x+#Sr(p|QL+@s3Z%RGWrI_KO~ohA9Q@kl#E?}c zOLx&^x09cvkL6lblqMl5rzn%MEJ1tb{_(;bA;0qtPnDgsz1z8IDz0hu99gyTl5bCJ z)|u!8?tfmfe|^W(cjNQ2eYH+w=kU_^&-oX1Dx>>;y}f83<f$JIzR+)9rIXa@&sFWp zIeWqDYuw|FQqzH)&+TvjGbYyedL8?B>ZDz#&csMZ7+19~E5_@!*2YVYeU6Tg=8w9! zywbj$(_UvcKJ)BDbZ#ka9M9^^IqG$MLzN-2{|yoO0Kepk41sUeWnxqfA$@h{$;Vgh znjsT)VdUdnJ3OQSA<4AExQl#)!&EOo9@m|3`}{jA%N2eTwHT&qTmzXxfFo7SARNTs zk)=~2J7UZKT#94dn~29(e+%6>DBk{s+<s}{2dZynrAtMXOxA=T_PS0PMI=0L6FCXv zAfUs`W4d}TpRgZZ_$|<9nNinyczP43YNGDs{&N4wf?d@8fWNLe!7cN<Q(KMH^u9=! zy-|BKapsfEtA1JEl%Gt+O{}6$QS#5U%3SG}y_xdC<k85P3YjzgvNO_W!jA<d-#2;m z<xHzm;-aiw%4L&B7p=Sv9$m0fJe9a0J1l)B>{zkvXX#nou|lf_Wig)Y#gt-W@$*(j z29FqLa+Somvd|Q7<45{d70O~9S+$hY#*aRod9EZjFWV!1g&|9ko((zn%<3Oyv2U{S zDaA&QKAt%(GaGy?*-ArMY*zMY%4s8UU8`MvaUH7#eQ~JOxc;LLXMU2I4LX)!wQw>q z`^?&}K0(J2$@kI6;K{{?kJ``7{3-%cmGlFetRPtzeJsMN;$&jxnZaMr&}56HeFBe# zT1DwUYCR(w7iY<`lV`R+uiAI&Y<0f<4_}+@Z}(pc?4vy?8`lN)k)BN(#|8E+B9OW6 zA78O2>hyKG@=C{ZyuDuk2R58%XgoOj*#n*;skap;ZQA?6yk|u(Al)Ta`l}y9#cEqI z{Fz*=^tj)kanG_ISGjvjG4$C`jP$5qjf&NlV#u>2JjKf1D4g`LU%pB=Q_msYB}V$Q z-&^CO1iu>No&~+D>94qouX=wnx5@L1Q|aDNEa;sv>*4C1Pk+Ew#6EiwEp5>I!K{a) zr<Lx)Rm|!wHtU(!`!iiGT3WyNzFAMM-@nE-xqfCU-Rp`^d%ewi=JbH+FQTMB`YEe) zuPG+=o;K^5(GyQU!d3KoHp@{&J?r8qBA>}cNq_J=IMuzX7~eY>Dw^8ipE^g^Yf%11 z)7yOZB2v2D?}o8WmY=h6&y-%7a<@P+y!UyiberE*<D;2=j8j$uMW<(Sk<#kD_f2~y z^;pU4rqa_sn=*a_x=|^wu!`jF!BaMcJ~K)-0$qW0cfW3MN{FfSq|dO-!w5xU_w!RW z1wJ2TevVKKOI|m5V%!~kDmmZht<1x4#p-V7Q#ScNACzpCblK8BhbxwM2OCI#@yV2V z7^ZkPxeKFsCt1%#ddR0j$!0<Ka>@&gVo~>+vJFqyD5cR@n(0%l)Pwi&m+5Bc>ZXJk zOVfQam25b=8Yz0l(tSQxWgaqgPp9Z%6n&HBFpBBj!OAw@bQMz?jijkQf6DwEqL|XH zt86o?dn`rINV?1CzEV$)Pn42Pj!&>sPqvS^%+JA!_qx|k+Dz-dm3|nc7~B0xUs|iX zRbRTpXa3ipgB0D9UC@fI$svYMz}*ceZKibJNIwizbV)vfR>XBbKiQMv^YPd2KHZt* z^^4LiUI&Mi9fgkf;~P?JuZ2CTKqu_p3!Xw$!i)Vh)AtP<QY<glA!S>k`F-&ZDqqL{ zo(oT*@%{ZD5(QrEhrazf9{0}h6l&jl|DZ1ExZacFDFi3H_?~9?{_+p%q7LhTvZ+w| z{`3!Pf>*;HHP7qGq2;E+?F6~+QiEP^_NY7^vwK5(QvF^5hn5=(|0INP74YwMH>4O| z9)}d60y@EEPm1Q{aA+x1c=7)9hSVpoCwob`UXS*u-*lAk4ed(xcxfG4t}8r#fBAqq zt0R5SWmk&gC4FeQrr@2h&Qb787~&{+Bs6jq9=!kQfI6*nkTA3(rTu<ppUT#0IuN7l z)E!u^Dn!5ku%DFW<+>-4<;D8pOVi;exa>%Q-xu#&XL?=Uqq2176V~SyLek?4E`OP$ zh@I3F8TXD@_nf`#GKg5kz7LKO+>d|ycSo>LG$+;mgR(-L9*DcN{3Boh+g`M|7qDY6 zH6V;j<`G!~0_p7wYI8M=W-MdDV-#=_sh&ocT4wZPTZ^U=F#CuV?Asz{g4#Z!V8Hj% z!yixjF^z8t6M@Oq<cUJ&W`H|S3#!vZ92?-ql`Ve9=MOks!u`BAv4qVkDm}A-SjMIo zB~9?R3`Pg^FQpwg2o2oyif-*`tr4}0Le_;!xdYOdOj)d&NCU6W17G8^ckwe=#Eb>( zKzfr1cD1M$;<E<UEWYgX>DU_<Qa>D+*w;l*6W(zRE*51aL~sl&C<A~?$b%<CD+c5N zwgpaz$Y)`3MI-UtRwX{BkucKOA)VciArV#r%Xm$r%mhO+5pkP`Ei8&pc-JyPFe(ZO zeAlM5jHx1YO$4O=q1a6tF$})E?(u0N0B6wO&(uX;Gkn;T_N5KAur%=vLma$FXxuX; zPGmFX4eg2eJk(5}TH8bg2jhQHs$KcuYH3s2B$HLs5SWZnsH<-v{5@e^Fu^<@`67>i zjWlRAB228DjUZ}-+#|O(Nihu~aH0Q195Km5pO9gA&qAe_-*{}t;#X&(YLPEmrFyM3 z$d`dXD^0YfwWFNfZwlu0*FqGK(8;GP{<i)Uea%BHHl-ia-W(CCe#MYZR4Sx<m~TA! zJ==wC*<;+Tx|z;UXmx#l_Z3J(^Q9T`hr<@psHkgCYl~=D^eQ3ZJDwm47v#s2P2$C( z#=QV0wxsBBLK&AhIB@xr{l>(9|EBPSp1lb!Hm~T_9-o257vcA$H;D8BtxJ#%1P7a0 zbS*(`4>6DRw|ICEz`*)iNNr4f!#*pzkkGR`F^5epQcXzSotVWY7l9INb|+@AiA4|g zM8Wj5SQaYf47`hz-&LBy-YeRRAKk%c5A0e@?rZ%QYO)Y7veYY@jmL0^nnf;qd;t+$ zG!gH<gU=jTut*asWe#vfQZjxYHl)aSPg+218DL%9pJGH3+05;L9x}d=8DaQkN^1ZU zb&)>B@5e-3?4IIB7)&y68<tE3L>NpkmjdL;B8-GxjGYST$J7z}CThYBMw#x0uqmy6 zOz=hfDLxZZK`031Vhp}8?F`RN)i5yu7m>t?1&rt|Z$c}~V37GaV4H;JVG0N$7?JQ? z%s&@Ri3lzRbFrJn4>h1OX#wseB@QNo@OPj*NeRz9ZFrK1&t*~qwp;PvFi#13f$v)J zbC}13Gl3DU_*qO6K`!uOD}Dy!eR01DpTlem=s^>;2@-)vTPLP5352b?WoUy==JrK; zlTtRbE}*Oh!Nx=p5EC^tjO#`6WB?5lK^VEKhBjzpz79BwCX$%*7h{_cER5sDhm-uf z1}&%q0VPtQgFH@@Vxtx&r~+tM<O{4qRKyE%nkl(~D8)jt1e6GcW^(Zql@Ilgbipb# zl11w00#v)ew;$>ec?PRcOZJ|kE<s%*<**9D5ii=(49S<LsEbe*pAx1}N<KYhO+YnF zQ1ehv1eRe6w<F}*qy|xMCa659S>zB&svi{~u*50+6A^+@z>{@}QVf)bfD)>Jj&LDK z(NGQo%TR?E<kLi{PpBsoNx7&;6Vz`|<;bB{sUDP;z%oSPG5Io!DoU3~msTkXN?KqU ztl%B7j#luD7(y#}L^Prm9*}=xQKz8?5koCf+T@u@DjV9w7o$V#_?AHm(c}-4Nm(e@ z35hHeYsHra<wv-*NP)@4lh&E2%M(-<bUtF;Um=7X+$7R?x35rEnx^S-I?Eja1L*dg zMN+_yDEWjQ$-E{OUEqP?>9gp8I>e5eZT+uwj7~;JO+UIZr_0lZ*EB}wdT?X#`ShDQ zejNc@`Xlr?kE6V%VLIC*Ic8!J9SAk(sM*y2O#kZ9!)>~d^VCx<2A@Z_)cLtXi-|7E ziS`_gR^p)vIk!BMxlIN+Xip4RpFxM}5Zh}Q=&T$U&x_IcdGw2%7bIz6lU~kak`@D< zo@4Ae8m06Not6Xk%#Ko;L#N~@dAdg_&7vRXNO~USHtFO<lWOQ_59nklVg`Lb=f{r= zJ=8fmy`tjNHMq;rP+s4-$3;V>Ta_o9F1BT?9GR+t*(m%X+}hdvRk`<*jakYgj|0F; zLLc|@nxop-_uTwm{&(mfkn_@4;~eZYiwZSxLB8)h{X#<1m{5%Ec3AT>>>2-g|58;9 zCfCvIQoYFNP|Vuu9XdQ`0;Lu?k<s_bLk+Ee@h%icX7r&$a+oL^!-+iejK##yFFH0% zzsD%C{5x~5G#V(~T3kGNKBM54f4+lD=(?;PBiB+lBYZ-CLIDa_>u&mm8rY<f(Rb}) z<UZZLdRNi^AHH7$R$t`rxtF`_7i6;h93Oum%w6)UPH(ip?BptUxp((|cpJ@^eId2z zSCKAf(Zg*tLaKbZF0tC!Zeo68Tjfjalc0e~zp%7DfzUz?9oT4?PMQj78ejEul3$M3 z3e<y}mcI=RRd|S!zR<tqTi;wzRQ#V~vD#m>TcxR39}V^&?zy?6;}ehd-X5{GYW4p| zZ8=|>DBKYJ&^^cPlZJ>@|GbO`p4%5E-c0#7WQ^bgBARZRWW2yX3DY;sc;$G}1R?N` zR!bwAAeQ~>$Qjm-7$f{Qe_u8G{3q*8oqZICcM`$+l)g3xE=ONG!-w=_wW)*N<dDtL z*UCYW99Em!>1$A8C?bnq;Smuzk=bkc2W%sE!LKB}Hk!ZPXr8`hVY8pR;)he|j+frl zGfkh0*4k<O+UxL#X}om5UsF2MB4S4o-@9hkv#>iZTDqC;W3jz=<9EIEr_s_vJ!|ds zGd3!Y7B+h~IDTjqVjRDT?)S1yq%tn!HJYWDTF7G}_1IL`KVpTsnZ4ZCW;O~t1gzfI zQR?kKVv&ru`cKr0rae99in+cp`RbDrQc%6~(jHzOC~NB@J(&|I_egvueF2&ls+39R zLNmzx{}5tKk`^?z&{=Bx1&qihcHg!`k14*eFGBPvqBY<jrA9B{_xnevbr<j>^e6jn ztCti6MCecSEjh@WD)Ifp)M5()`u*!N`tUX3`lEgB>aYT>e*a)K`vN}Gzapc+k&Ds) z(r2fBuAqkLAE1Ubm{{<Col%L`3ez9#`|Pl7jOY0mWP~`rGsbg80W~#1a78Wg?tFfz zKE03T;BKtM@z2Qk+fm+F3Ey{G{iFdtR}`o1|Kj#EL(lP@5q{49amE?P2qXNge^Q2= z<3%I<jK8<qzCJ!j6v!TQlXixL<58oDY5#<bt!rgy{m#B^HM+i1c3+)CnIS^--jIR7 z*U<c3)yRYZntw#b$Td+0we`JrIEro}_06lr>LXbGj%p7H{JZ)sy$OG8#MkVILcRW9 zP^Nmr{-AFt7WRhzLEgwM=nejZ9M9MmMf{&X2=NZvjV9?-i=+FZJD2Algbh*NgsR+$ zf3np$((C$1+J<6&@AW@oHx!rr%F{{FjLpW+y?_02XG4+DJ8E|Ey9iddRis2PYCNAF zZ^7NW!Sl;cziUypr<m8<Z<f86i|^I_gB-^YHtMIli6ApR@Aop@+QNpb_o=tXOpV*9 zn{IBw-M#V6?@7A0McM9+Ilq6?Pg!7gZ_N5VO8?bDZC5e3x6_QvX@sVSL<i9Q9;6?! z*xt#V_KQ!y75!wjv7@)iESb{?NnbS2-ce-xxvNwQMHfVY3PG4V<rkj*ck~lMqel9b z=m3EpskhKfekV7hcSa>PmT|Xnni{9Dj0za=ZBJW71VrjhQH5H`*hUsrpoPKev8aIx zL{v?L-d8F{Ap=#@@7tKx1+~F8j#0T<+_v0&>P-bdR6w}i2z5^DD7JB!%GOG58(;Jd zls7=tgz0^ze%0#1G+s!13RP>%&7)c>{EX6K`WB@{Lq|!9JYPcEEod^P(I5>C#bERp zRHy>cqlV#|mF5DyNXnh}eUbJ8AsyPNm-ZN;#qdo}Glq_~Dt_}#O9Ml*TNUSgQ__^6 z?yZWmz7NwRp+_-|I%&~}8oIBC{AAOO8Q=S9KeV|mH>Q1W%6~%!1T|`<`5^c--<ULx zc5+K@7PU&t2Hi-emT6&HZcHh*cDA;O1LY=?ICdf5N;{~h{x2&_ULW_pE?<JwqWL<@ z6Oaypjp}JsZLYswE0ryeGv)8-PIt%rHi=VQ^@)+0G<Vo(FiV*=*4k+>O_?y3-f5WZ z4*0Fw{K<C1M7RHM)wmmcpN5nnrJs{eh?4z^wYBC@XR9>u$7XY}Ie)9cIEAF5#*=SM zX;$fpks3q3WhZVmjC8vOy@<Jy-#w$89Wzcq=CkLR+Rok1@6Fg}8QMpKu2e)x>7W9; zT5}_%Iy#X5kR-gakc_{(s>x*0xO4^UER?^gYnXypagR}4^tmhJha1o9w)yRZxdYSZ zRmuaEY;MCqx7lyYW=}R6nBC`pv%-zzyY+ve8{`VSTOM|(U@#4a4+~`EvAV8fUEB{! z4&l16(XN}noILe}>3wHdS}jJA>ywj$P;uur(7H8#BS>eMX$f`pQ?967<m&3BBpLHV z8qPmVQsEli;QBm$ct)kiD3{}tmI7AEj#8ZSE*8lg`D?n}-GJZDDQku5(z=iSc0yTn zf%lf#KhEVeKvP1L7(os1yWc6xM=8#DN8Z~yEH%vq>S~6O+dHH0ab&hJ=)eZesE5W% z{624&!|$c>KR^BspZ5+=`EiOHd1Kn=rp!0ffYk=A6dz+g%_k;>b1FG9H><l!*@n|V z?k+o~f{DB_<#S!8#8ivs<19lkbr3YDr%+FE=XG1V*)ljXbyYhqXec(#yk({%sSwHU zw`@rgXbV|l1KOcMAw2nrzz4BulgM%Q>+J^lw=KCHNqp@k*4b_OhL&Pn+8B~SN?O*Q zXT9E%uW7l_k+h^e#}ez;z8<vBm9J<q>PR9WbJ?#q<=?dYgOj@0as!t(g#4Fn$<($C zIuet1zGb&PiKlH6)D@k^ME=7TV`}%a&ThzK0v|-D(UCXUuNm5!L06*F`jCII&kE)J z1CK<f>9xq>(sWy*+LOL%{~pv8l}1Hsu+Of`|J!2Jo;0fs2)YuL)`dLA7Gr34vM6-z zHdZ2C`yK1-n#lfUmz*@M{XOs%M?S7)oNS$q^d?cWkPB01SLLHx8bZ@FTZ%)|$Vdm0 z2wzKqXE^d<E&ql}X|&{$lUUk?ERhd_W8jg<v=(IRuiK`%tKJ<CSCpPa>Y6`Xkts91 zQP{O6oozZ^(8ZTdHXUE_e)n)h#>P~%5~}Iph>})>?s(UN^tQ=(ewW8D83s3&y=xxU z%9NSh$nTnw&Ndk*bUFWGdWymHE_;|IV`FlI&^0do4%0Byb@dmR!HoqGno5=UINgCR zlV83m2jFy>U4zmQm<C1{Lps@boa3GSFiWXM1hOu*Uo!qfoBqQqG8ZwrdJmJ7_#wKz zUBCb0ryLNXOYJ)Ti<L4zShu?i@XI;nfMDIOt`E}NXkDF$;Yv^F-pHd;%F@9N@4F6; zE}wLud&fOo`86A@tMw42<Pg-*-nDj=sDC4?t3o=$a6Ge%f3*6f1I;_^p|z4U%^UZy z@7HbrhSsip>7$0@liq>%ejIZ4;j3P;hjTyd2fWDl77w%j>&kEr!+AQU_ht{n_j6Xf zn(qxAUf9p!dxamS{*c<%F}c@qc!8%g_J00g<h#PMSM|NhLl95L=w9jJ7FTEZz2pBv zH*yxeO7Fcm9O3F*yjQz-XH#eB{qO<kyTXFkt;6pJ^o{!d_eTHwdSJhybN*hz9%Mtu z;GWN6_+Adj3w>C1kS46}d;jPEQV-G?UeE76-Lq$S`5eX^(AVpG-Yfp^=7Ifs{m1vR z|1&wDuhmoDAN$|$2li_^y7%Ju=yWgC;hb<R`@Puz#158Mb>53M9e)-oOnb%NL+?q^ zz2JwR_aUn~?eA+2+&DU#_ojYa;OLOwFCD%VDolB~93~3KSYDy`%=aJy9rb%%Keo1W zCbbf<v!-cm1RpQ4s<p}Rjg)VTl+^hB<qy#_qCyv<M&|qF?`9L~bs~Ec$qfG&SotW- z3)3`HVuOJc3&HBAM94Q2iwmfHEq|;FR=$yVzd)h@(cbUdujPR~gRQ5^>@Um1TE)^v z5e?6BKdNFHzdydfe>;jSF`{}@M^RD4@sC=rSUIeGFy@6xnj!IW0d-M}#h`@Aml97G zSQ8KpcxoQvNxx;7{B4Y!iPRwC4W7!=GW&yI&C@c%Hr_h_Xhs~eyHNB%rs4iW`8KJi zmxl(}NqX`gKc|ig_2u5Vy*talil0yfoPTpx%+u$lpAFvs&ofkAs72FdSLrYL5_yPx zyfs&g!4SjA=MWq6t?>xg{=`uE4C3>A>v^qD46#soKg=17ybosGNU9fczCSTUK8@&{ zZ#}0aiw!Z7>P7(j6NBX+5@quxauIR(q@3;>yGK;U%T?3s0fhz1z&70`J^lY%TBPv9 zdk8J>foVj`KOp{;Po35}zzi8mX%lA%q8i`C5Tk3=F+^5z(Zmmgq%4FhULp&@V*1jw z_!t*MDKN2^V4aD$jHj}+<}vI3@*%`veW_MNJCm{^^3XZ!`95|}FhU+H?1<X=OdJz< zw#9NLqy{`mu~QTDe$Up}DFK=cUlAEvGX<(-ctMBnPU2Krzh`|cbAleOV;tKoptg-I zc~-?zC#3p4D`Pt*q{8dJbg=m7Hqli3o7m=wG^XdB?cb;D!*njhCJ7|k;CUSuJ3>}9 zPN%=);?8H56w?#CO=Hm+p1H9o0h$EIcWCasX59(Z>FYSVbC#$u@9DRFoCweDP}vD( zRfp*Gbja^qXF)=AK6c3L=(DPWbtoN2caF297@l6+!X|i5M=QS>UH_rur=9PU^q~6A zj<<Yj%NW}eu}x_j%j($NrcFu(=|E!Llztvl7)xJ#tONbo`WU0fSrK#w)o|ZNKHFEz z-u_P7WtRsH=jt_Lhs;|Pfa<}KTU-*|ZAa$R4aDe&4)X5z;U`U!*2KpMuD-N?iT5i7 z&}UIUXXOG1YE%_FF_q-*x@py09jF5qS#5&QIvTOe71frpjE=eO&?W_zXHYC@YAZHp z71a^BLQ30#nn$j%OJm`M<TX}S>{tPr&q|6NTS2{x9AR6>j`2}Vks~C?Hguf4z}ku# z%O`uRzQn<oQ8kgZ?9v!`K6!?f6*ER4JFl8_(3z;R$Sk&X44gn7XEk%{hR9b}ujAkg zsN%>{(((p$fNZil*M4UM$|Mi6!nt(}GJ};AJ;p(0M`n?#g-{w<ZS`e4L<rT7yu!Y~ zh3Z8nk(Sq?z2x6lecSJ>L#gEBtCsD{YtU{oVD)VKoi%6|`2%Z<1J#KPC#BI*NCBW- zYOU@)`9QElwx^@wB3I10TL!$V3Zu?0F7Rw}11p>Z?H~&U6f&LWsYdy~y<B!zT!Cl0 zB%AaaMSWcfpQEX=VQ!=}8Y(jK8i_8bYa_p5Sx3S%$;GS-kvS}slOUB$??VMgc1&@$ zQ>Wp(ov(L5v7TM~0Q3DP(NyR>B+Tmt4;1D3Vn5ZizF`Bvg0ng)+o0z9;&>__;_v0c z12xv)kC!Nbw|DyXLp;3B@IbZo-tp8Wh^v<z4;1YA;(MxL{pEP-B81gW*#wo=pN_XC zz#F2edGIHlmYbm4o^sy-gYY-e)c-VHLwkUJctEG+2IwEp5H1K`ue$+o=<0lb8H!R? zHP%z_iqaDapCDRZE?iJneQ_Lh9`dJ`+#W!`{(c-a7yfUwbuQejld=waTJIf4or3_q zUhD!s!j(HIYoMh1({a=ph`84gF38JsmIFe0c5y&RPq|&d2lzn;Wfc@(KPUur!ucI% z>5zu@IT~cs^TiIJ9eyL)It%U`O`U?2wTtGB!t0+40d4TB(Gr<(Mu(*U<m4&015mHO zA4{Etu-w<lfN8hQI^O}!DEKR00}4d09z<Cey3HW01)72yO21~XLM&fCg-N;f>q%~K ziur+$Y|8G(v+f~ez@*!7U19`?Sp6JjUEubyPAmcx=DyyRYFr(RO3HV8TbCFPs;+iM zS?9ZbKv*wnvTMY`LFLuKM8Frf%(}!d&|UW~4Cs!#UK?P@tpZ`apm`bo0s|_lUh}Z# zX&S*BNdTr>F@lPB^RJ^YG<A6~qW-io!Z@cy6{D8NB<&hWoP>w`r;^A-SaUQr;CduL zpWD^CM26;RxE==N>n?`@rB?@gSbx)0gg3SVsBVAOiG_ets&zfAXEl$(^;!X4Zub$? z9JeThb&gvwf|~6nUMCg|x>vo1w4T;{Tay?Bimm?C1kkE(Z31+-&DV+rf!y6)&>&a$ zkd{<%bpz6RO7l%kVj#%H{RkR_tA388X1IN<rSxgexUathw2%^b!h-6^I&<85e<=_8 z_NPjVhx@XEFD(O^-SM&@rBgd{KO2wQC&vB&^#uK)yZ_T6v)MF9;1SKG7{CP{k)lSN zg21B%H_`8As<7fg#P#*NVe7_8-n`caW6K^mZfJphzeg>uvA@|wG;$uoO*DWnc?5AS z3zoy6hB$n`n~B1r$6c<k!B`&2hIhQcp6T%l_n<!uQ#U{|<1OW<;p&*A^E^v~F+541 zr*ELZ^~k{?`rR;fG?E4{FW)}2?i1+~L&A70m-LYV!qoMWlzF%F(?aT~Bp}Z&Un-=o zn<UNC%ufrh>mrHs#0<uANbQUfG!%-vi-!b5KadW&LPB*AR2#R>Y&L{vlNuP|XlMsX z$fXeIG!HlKYyGh)4;Z(JP#p*b<A#_ZG*kn}WU3mDWsv5$q529ek02bWU~UK4S-xOS zErhKVS`L6CoL<GInw5XRrLtfw<D>%EOyS%%@LhR@xx@+><8&rA)ujC2g1&2klf8Ww zA^T=v{mDD~tt%Vveq-4j4Sk+u=6|*tRjhGYlMh~~`J4E!!?<-Z>AXR7szF}<vDAO- z{(03FT^+2g+gKj#Z^f<cgFU$w=drv7^cmInkUGAu)lGco&m5|HofVln24ffx&zn)F z!QUN^V6^ONoS@*gcFwN*)Xk0{^Fmdg)&wz>=;1VLI9f;)8xWpM+o{ni9}ES2AQtCS zwt%6ILot9+SWThj7BIx|2oG3U9)$x8!}1F$Ot6FPt7<GTHqLfERy6h2o>iC#l;DDe zj#nZ9khFB))8}l@M5k($6q`w~!Bxe%ap!tR<Rw-#6#uq`Yo9V0a*aqx6b3H69<(|m zF%|&~37UE=%r0z$kV_gYdEyOgk5^CntQOi{es=mx-fQOBfEJ9KQx{hbKG#nDK1#67 zov@3S3X{XBtk2hu+J5S2HsJy9IO@d!hF}%Zcf#b_(#GQ-alw{OM`BXXm+yv^p3~gR z=ATuAOn;Aq{K_BAv-TIsT!6@e@)<KK7ku970T+mMd=U*WDF0w4QiW<cxp0A5BIyQo z{=wO^=Gunk&pnpIw1=CoJIRsc39#qJ67x43?<66l7&q^XUdN^C1%V1J8DL8O+&b`C z`9Ee7-@x)tuXac05uT1Oq5vOZ$~JXbE%NM}?)@)OX|$V&(XYs~AZ_h(-QlXxQ30Y2 z%P~&t2LlEuX4M;7{ltp{UqeOTBU?Da)%r}d8?ZBzTCeFQN)LQiP7~I)weZ<7LisG1 zblpfSpsP8-=?E9-<v7dH?rBk;j`0uyq`O(hX@~>#7`0TEV&2FqAJ=#1g2e{%OeJOz z2+=9gT>w7p`L3L{157AiFr}{oZ}tO}?+k!<9UCK4waPz)rb5aGO|9ABHAf!~(9Q7* z2N+qN8ww!9h6^ld-~~rH4$#?AFA_k4nHgKN!1?)N0$@;i@JZ^bMx5=CX{ve2%&DY8 z7ydKLNMN+h11ykK(rN%OE%|VYx}p(a>tYJ{>cV(t837EpkuwF1y9_E(mo>OgDB-|R zn;{dxs7uW=%Wz<b%@HiHvLwm?Fzk~5jKb7#uyrv3e0F)OBtdYgQKBwrT(y0L0lq5v z>6CSzOWZRG4p<=4dQiC<=WQQgfLNOs#sCA6_=5VMyut+o%ql5Xrp{~pX)9+8&@Z{K zOwD!qSIIip#q1d+1o*VXTbVki0knN#1o-Hp{EQL|Oe#68Or6mXw>^RZdfCjPfhe0U zG!SVcX9W1*a`2QA1dJ~k)CY9B@SmQgYcxEWqiJl~yf6f`yWCK+&T?^9qE2a)J)r~w z!%Lp)1KM1!DoJFzFrHcl0-bE+3<2sT_fJwMHCXKL@${WqcFT4<bw9hXC1Uz>JY)bF z#iqqC_ah_Nl=$Us?a7wg)34+2Y->-nESXsb%C!YiEi6OjqS=Y@Y5mChAZGN(tQL>y zmmBWO$Qlg$=F>R)ty&{dmjDtj*BaD;_$WYDq&37ZZ)zK+-w%lU_@*@9mVx-Vh%5`r z>a_muPG}i#Z|2qxwOpOPzTv)bZjLQBWw$ZPJFBVQVg{aGic7=EdwF_#SzBABC|<lU z6i|S8q?0U#$Gp?E{cslreZ7;Iq)o5YM`j^2)5bTBiH`lw!AaU0p+6bDD;-J7rgD<X zia&KtkIo}s23dDnbG1LU^t7vTYjuOnJ4LMY4f!NUyR&pxwCw!fpi`abUH4h!qo7|q zRd?lcTRPi0oLXp5Nc0^#@_}dx$JS2vG%`NuR&?5GZAVK}dlIJ>613QnwIk0)y0fc9 zxzQqE=Lxf?kl{gpN2dvDHAEeeI|6M|OJO@`Cp)8Mh8+`2zgs)q95=Rvx-)RI{mvrd zPNeo!v#=%!Tgz$|)S$82tmeS6CRBBV_Sa_2*h^G(zbNOs>a4M~W6j(ePFr?<^UX0| z)SYnck><G?32g0fGrK0KZFKQw;HW;TI!ya>^Vb?GruM>}r**1r*?G;DV`3->^JdYV z=(-V-Jntsq&aJv6Os&BkbR8O_&1i;>HF;DsZf4zask=bRp1=9x&I^Q8XszCz#|Q}H zX8IlDx{+4-Z#UEKfa|ha<>zju+)=7?Yn7k9`S6Zpodl*<=T0=Dntsz`^lOv*%+33E ze$;YW+^28e9Gyen398k)<AYeH-Hf@zsZDCh&T6izu}0UDo6Bm@EuxZeee^XFLc8fa znuoLxtX025t>yS@w>Gm!Lrs@=w5EwM17C6StB9EXujckUHD=gZW5`a8DR#nGYNuwB z7%)&}p0-^xLG&M}!nyMi4cH;$<*gcHEJ;*nYDS4S25y?$Z`BxKyNn@QHHO$%h2b&T z1fs)$rg_?C%|&dZ@f{|j1pBzKlv^`MygXos8|5JivEhZ(=us{r5Bth^nSsD#@y1dc zHFToZfF{nJ^Z$4{@2DgcKkU1%;4SyPm3x4rGzThzqB+QstGf4^mRjaOQ&GX0BQ;Y@ zSz2z*RavQ}mJ>~loPe6;#0ievN;w-ZzxTZ7<?tt*!{HBjzTfZjJRjFbD2HN|XlJ&o zHzNrVKy$s#_!B$IawVV)ia$n;F1S8KsT4nm8l87dLH%8PF=}+qH3^jnUmI}sV60-e zBXdLH-%+RLT<@U{i@ro=XV)JvCXF=)TwNF~nCuzXXw-a>&tla%)D`&JX4P5LMA3hd z*^la17!NV09@Q@~GL6^zTtiWC_=(M`#(HM=k=#IJ)lBVP#z*7q;@XIwPjVWAE>Ye6 za%&8ii0&@AwFu3r+B-dEasd&V6Sb=ue;c1#cM0phD;L0UsqyNQdKIoYTI<|%RZfH9 z64HH1ZjJ6z=~YBn^wk`xz0{*D_loWk*nM4Zbj792>xGm?nC4LJr;P9L>}8iCuTa7} zc=nQuU$>E-^OB2icbDuMMsuLHKjT06sYRD8FI&Rj@KbrUiapYL*}1h{8Q)>qEEl|& zF5w+4d%-2mONkH;%bs_6;3ZBlfMw6Q-0D7pWarejWPJ3gI`8!d;Sbp8tV_Jtcl=Wy z&Gy>8?taLr?An@)r-sfmE>T{tQm^`5{_Sp&3Fvo;@cM#R@zHFlEz9`Br>eP@-F+A0 z%ye<=PLf#*(rk445#O~h+4f|dBQ)c*a!B1&3%fvGmW(nbAEy~VdBYK6Ix*wB_9Wju zDfvlVSM$DbWm&TJNy1NoA}31xZHAiL1*K)l>L<5;Qdiafy&%3U8DdI4N;7<7_LKTm zjTzhZP4ejz#h=Imr#FYxe5Z_fi*J&5OvR6chMX!6smp4J3&a1ba|w*M;7IzJhAv6w zJ<;I^(VX1kyLKggOs^aY^*h<eTkJ}bpD1#KdYv*3lXIPt52-9Q*$cx5LfuZ9@fJIh zX-~|4Q0LW7Ubu1~)a7(C-eOzwmg&x-q=)J7qNJN??V@Dj6P_Q`S+yUg!}~(#pUfRo zXVmIq`3BT#VlB2Lqn~sfCqH)j_fX)m6Ep61Ki3m~Wnbv*lagcPBPX*%DpQSZy2F+X zeG;-O)Z|2o>k5*5U$8xm+)~{OOp27e3$1Mxns#7M2oyW8(F+XK^+3Kz$;N^=)5r}~ zKSSMCp$Ui26UcSdTZZN+$=3zB^+IC~y%WeaRTsnB7NIW=)Cqw?hYIupUG=hIXp4|x zfzCAYtE!FRu&-nwwANR$yg+O^Io}}}%@-!=58Y`N8gvLlC;cxyG{h9hcPO0TCV^;y z+*Xa8f;UWLp2NTBB#dNM0f~uZtM&$R(S=@6C0|KT=uVSRkAprsDO57O;4%}rpekk< z+9dSB;V*Pjh~)hOF{VJSL+nIyjzidlK#oJ;1aeN5$8e`n=)J?YAd3Oj188kynr1;q zU7AM0&=hh;bsOsGBl#cH+($C9Ah%Aa)!}oHMZf9_RNP1MGE}!wsM!HAfn=%{2J)dL zg9}1hsaq<s+QTtvCOLC$$;Ec-9v1r&(OQYi63sbHSRvz_jyCFsN}%?Y7@<i!nuo=n zM7WlCjL^8<P&0K+Wy!7Uy97pS_^!~XU6qH$cZpCf-erjwIZ;@l&vu0#U33+D?JIYM zKH0r(7AUZ*YNoEJAhk=EBuaC5+K~Bnv0N9aL{ZKhg}S7oubsFgfzl#J3+d%_P^gP4 zn%Y;EBp&CKP^fGbu(o)#5F{soLd~_qH6wHF5FTAS68M~36zYP?U)tm-A*!9MN7uH* zgB(Q)bxuV<n|Dd#rq=wTgu7PfqQng?@hG7VyC3ddTM}_OLl~iUyLETv0hKpy3;o>u z069{KV&~F~d~A2QnL4fV%&luvB0PtL5o)nRHVZtmqq%bf1x{MxkwR)Y39ZyA6{hNr zvCypTH^<w9;3&0HltgRRP!Y1&b}kRO3Es5tVt_-`LXCx{Y(G0DMM%_Vk&2K-wp2&H z2#GM&9iudOR!C8Dq3v78q;QE>S(l5Dg|;1e$W`!+Jzu!Q^Q;g(p%L3hj!9t>L8_g; z5&^2ZMnc23m3hb&u$da!SK>+5b|G>Z3{$Ix3(;*$@~C;X-i}=~u#Q?NTxh`dQ66#; ztgfaD7wWS`IwsM;ifX#P61P>weI<xlA%#d5_>5XDOz5L6*pV+(A~j2=5IGM9s_DXn zI&Bm3s5!P#dB_~wkUVO(t$-t6h{V0D?E>U1_^o|XutZE&FGNT)s|h01X3KWq3zl$J zz2YPBuWG1a+S#l(1;}Y|g?$oQ;)*J-j|4W0R6xzLr8;!=f#+0rphAt-KTNy!#oLHn z*)wCk5>4F%g(;Ai#iQiO$2eo+8xD|Z%{1-W6Msi6iKDKAycMo2i`Nnp;slDUDW<m> zAU6f2W$|j_tvKo`=wAi#W$_Ss@)6FEXckBP3SuI<zKK62D#jrTtlvaa^Q|*XExw80 zkrzJ#4p~=3Q<p&qh2cXkXerRtf+OxHAG#!-N7UhP$wzLcUAy8w@>dRl{nqxT7Q5nP zq9O;_Yn>6DoNJvNO=W>(6^0Lh-PW3>7CYi;M6+1xJm{ptl>=ax^+{8UZSh<3JB#8T z^23YbZt}H@;)z6_Sn4b{W-+`EJWrg9q0WHn5PSom8id7`cr>viCi$`TztIAZt(hjb z`$6mSSN4Hti6t?}N7iQ1R3?bc9oNJ|i6OhdCTogGSCIJo^lf+KmhzrvQl$9ZQ?;$Y z>5FVvf#Qp7tp$eix+Y(wcw_n-cjSh$-)Y@e;KaqxuE=%eTc^!Y;;++l>w#kzdtH%h z$}XpCTYz6KQe6cKFIH$R(3LNr4s8J%rt7#Pzbf0D9`+RvJXPx}UY;)Io}7O%S&J`B z-2c>0GjQ-?m{t;2ynqV`63D+;=!#rYrfKqF#dFf%xFPc{{;QRQ5zk5|{rI!mF8z-a zl4suxb#z}={2M+bDSkG|{)}|4OLjsTFiBbQw63rC?Nj2u;>7e2HzZ5>%<0-D;Kz$# zExu6k)O4MbHqBn~_ju17**=x~lke6F;A~`u_v+=#mxqUP^G;1Zd?V>&dGVq~m*b;9 z)c=&0Id={sW0?poS<z82v@m`D{(MxT%iDt{pPkTp$+hX8pv^uPS$D}<)%WQiPtAZM z8nPJ<AzO394^%EAsn*UY?wb@E%@qu}PaW5TSmuwt$#s@srJ>bij^RGFM_9{oUAQ=o z$t)W(I1DOVj?i^vzTv%yj59?io1)zXUL7?3LAbcdidbG|Ju_5oCQcO-WeRg&K8j;j zj2rB<^%Z}-^U*%k=X>(sfB$jtEfmD;2^#8g*slE?$Lv6)v=FCq;+T|m)~Jtyp_VE7 z#$M<NW{+@)LgV{5?nen-o9b~GFh$35R~{RzZ0>6`kDJ^ldK`ik8Yywi?Y+?YI^vDT zam>nfRzxFl>MsSu);Q+sUTD^CF4GhpM*O(JI>mjL`f(F|gQeOyzGaGbie)bBg<|W7 zGTc`Lo1)PU@xcpI75l0&`P(x{r>yCMl^O7$!!{GVuNsNIy{y_~*xZ)3;czF|A|`91 zpm(N%;cz>cFGkYHlkXv}dnM&7G`g*?@D2AaVJ%>o)Fj?l&@_W&I9w0zY9Xr`x=!O} zt&X5&i>faT(>UTEiQpLlhQrn1-6hpZLwH+SK|$_J#W&Ro8BdCBLBX5mzI=Qo+WWg| zxuJMlTK?2F?Nnse(8M`Zd0`L++E<XkaE{8-uNP*hMindv6*Y#=G(^j=gPQ8aXV@Io zVuk2ka?`|BR17wYF~Oi^8y4ghgfIk+Wr`*|PzBg5`a})wnc<Bk2mRorB~=tUGg?y5 zu#?ia=%5+g+Gd+q@b66Wu4<McnZo^5>tzb;s(PW7msCB`yHS!o1^P3|JF4l1S17g% z4r0NWD9H~6f6XLstKK&hrwHX1#5Skp6ofSk<rD-q+s;|@7-CSM*D{H1LUYzy7m^Oe z^%aJH^e#F4LNDx-JMq++iY?Xa=&s1DwgT^Fq3i;;=Cm1yT{Ks+Xc)f0NS-rnZtZ(i zFg{&@h7K3lG;=jf8B?I&VF|4qnWbi!)|!@8&@s*4P(|w<7Nu?3-$m7-R4IDHdRfzX zD-7_U{Wc98sT%2f8>QN$*IbmgVSfi@VVpIQ*UPA2*xyF+8A}@JMHlrI<~1>p4EyV- zE+ej!tdap{*w4|x;i|#DNk&;Cc};m~U+piUER3?CdY*b&26|FOX)E@hY2Yx`5<OeJ ztiik}Mg>;2P;a7;3p5>~v1#@Y)NNnY+{U2h^V`slsb9Vrxa2_IqdeP1dvz29F4>od zWF*t<6;Tjh)!V)jzN*B=i5ce@nT?<jv|ZZ<J*cv=Xr_CS>rGwtox;jY2i-=Wz)rD( z2#p3aP#;x-o`0bb%l;Iq43<UBQ)IA1R8#cM77ESV3;qx0rK5KUk<`}v3X#;(n}B3> z<nb`r!K(3kUklQ*^S0^U!K$vlNj|FAe9H{8D0y>qYQOzUT5`YrrgJMK>#SaFf$g+? z1uYq^dc~K|M-{6_F6hh3qtdB;_H(|wP|3zGeAlMxq;tNsRAu|T8~wtrS~|*{%{geV z*jI`1=sK1#(VmD)+qB!I2n^a`Zeo^IBAh?$N-%Sn?bJP$cdvwMpiR>AcOJZws!?s0 zX5Df2usD`38oPBfJ+7J&P<Ohvt#{*Mi1zJe<w&irXmZn+CAW%i%FkYVMxP%Dh<Ux! z_H0o(M(b0I_-y)*_N55%PhUK)6}aKC?-*YY*N$TyIPd6Vu$ybK)wF=r*Lm&P2l)#D z>92>|osaW30vcb>GXxC_vc_C)YQzbLHZUeW(FBcdd<}f2ofnt3ZnsJS@2R}eF6Cs7 z<<O$j*6i4nitj2_+OBbFt9A<%0fybxo4ZRYl`^ieeP8XE6zVsXr`k$!wgowFqWki5 zGTM>fRPK0497zo2R7CeJ+aYd#I+S4GDM8dAP)ehJbl*}?oc3_6Q2tHtKDz;I{-zy+ z0_LdrdL$iY4%}Rq<3AlLm1?IMGeN1K*`2#-ahTbcW77`aRq^)VJIvJ87UyK@Xh#=X zvFv1>ri!c{jm#B3ThbpMv2yY<ss64Hf8JaSMM-C9`^O5g>`vV*JIJKwD7Le=RZ_Ii z#tO~b3EosbkkHXS+?CMQ{<<rnr9H8m*^$H3&fZdq*ZvxlmYuWR=Dnrj>XEdla?Q$1 zwt7b@^1ouwMX9L4mEDutg9mjP(>|YF1-Eq9HOnKV+6NBeQkL~hpOBZNN<xcEk1})y z#bUeXAbS}p@X5upJiYRFXMf6^7w^}VCU#aHob2`K(7Mlnc$|G=ZnZ0w^?b27WqF5d zlYC>6!qW94>YlLNKZEV5j7yub+x1A=m(b9Du`6*-yE&%sQO<Z<#iq(tt|re^*G}Bc zY|iOzWB1uDcr370LbRh}(xxuOUt4I+oO!(NDztU!TQ326!#ID#KkNCGV&~}Vc_W%m zBgPy3mm-`7Fq1R>$<O~T_KljH_s4xWqxtPd+NQsYb0$h9$~m(&(^#XnUV{0USwoFb zd8bk8-nV|q``T5MO07nsyHL?%N{u(;lG`<9luEURr+eS(rGKwUpj1MfGg~qZHO$@n zzFuNhQNvW8zCVylkzwi-vV|>!RVu1$UT10e*GoV(T<bH3ALB$={g*yhfx}cvG;HfL z2Ome(RA5yKH74BBmM#rdv1yke)|T#Rd5>?^urVsx8fWV>`yXGf@y4iRYNWXd<vlj5 z@upp(R)J}k<gYC>Wp+Q-sqqd~d8lFQCbV!#<l60~%&x~`HQpgANgBNMnc5mVb(vZk z^L3f$HH6%R<}UqmUTDm0f9&TfG<#{!InzhQ#TnzHa#y48hS1EVs;Y|qOO;h@w8}ru zw;MBC{C_y`he#)mj6;OAxJ49u5z<V5N5^!TjqhR7|2QlANE0+lZ`eM1Z06eMmg~P> zjYO+BIZHH3sA(h?q&)Kf?C=4t608ww+_z;L>+~rm(?o6FB&|4Wy%@O<jut$Ywi6rU zCUKG~dwbPL1E%hKI}7EwcSO*fY1}`1i3p+MEOs%QVY^-^un%rjt2MFRu=R6-#7InJ zeTLhv+um}rSO&jV%fm{HW%a^s*KA#!>h4N>$)duA3bQJT*>u~>PMEtAhHAPdwqI>+ zoIWjq10Cy@z~yS<CTaOu$;ATS!2XWA(Gr7MVa3TDaDmz|LMT705N^9<ODh!MfOFJp z5w>|*{}w0jg0s}f2wS#oZ=t|0*vnCQ3GC^(8zs?`rC*%915Q`Fg0Nk%6?4KwNqorq zt2lWZd|yo*A(WdH3s1|*3WE#fWCg-)=WKbLb|WR;XMHO|4%i+z)<tG&s&!&AHPnWU zZD(w^9d9p!|8ulh1V^gnVYma;=OSdk?TVwsBKWc+BvPU|3jw!f+7=cHY=VQ;LS_24 zY+|iH8E2Y+=H=3g$?F7UBskhC2?cHjHS0+jgF5B<Hf#c|uNq5Cl4%5F1UTGE!dPOQ zJS5e(X5(0wnWL!wXUVzSuir0qt-eWdf|)=w;h<2tv_kS*LUK6x73hkbZ6Ucs%68Re zCPN?`{2UajCow{PL`V(;2U&Ibf&;7|MiRs1N-5hF8#C)nU+@#qj;!sn4a~X@E<q=k zNcH8By$MvBjgB=2E-^rUBxSp3qizj>OZ1VEgk+kHqBX=9eA`OG7fb|&%G$DQ&REyM zBtDYC1c6X+Do9t>cHRbP4S`8?k`tu*a>!9qwmIYwslIHo06`!Gd=IoEV>@f}HX}J0 z90TfuNN9qZArft5HeMhY>}++_2mG%U#xV0Ns8+^y+NL5S84bQ-#peUYg2*y`S!61n z+GjIowF{MKB>sq}?t|JCCOG0V#1$^l)jI4#<}xVCH1n8jtWe7lXA+t5)IHEUh0>qB z>(<^Et}cUW6%u~}i-?qXZ-%wo1?6Q>wSwo*-c{>=FGwtdLQFG{$c75$KYPDgGh?aW zKu<+;J8s_MR~TRULk}k8kbdICN%JcS{h$g3e~vg*!IeWECgS3ebZd(Xd`Dz`g~K1X zWox4gU58{k(Jx+rZq0}Vb3nePNr&VCqDy=Q&HCI0i$iiB(Iy_e3-UJQJ0$BWh;zs~ z3ei7sENkftT?gckMD=*^4hW|J|ACvg{_}#x0lAY1j2EC;x5rWktXpD}2do=n!P}q& zg~Maqto4y;*&--bVdB^(n|SN6_c3uL4!i}5QmEY}pHnE^CASjo4{_7htES3}+_5!n zS6p2o?-<9lE{+9nf*eix_Q{RJrXY4Cs9omkbZ;?!8;u;aiZCo|B_m`y>&Z+!a{^a{ zpF^`FKn*f4rhyw)zJ|)JWFwihY2domN+3HNgoQ4&h{I+4r-5r$&W2?zWSC51J^3@9 zG67t*ax`4<1wE5FI}QA5H5r&pw;B!f4g;0RT&X7y;S<ncx|ONnLNl3x4?%llLHRP~ z^<+JnJ|->?e+$jVfU;%I)|313m(kuBP^L^86PSlLLwnP#sDWUbmAv6X6S*6&gZ2&u zJ(RI!0vD`A3~x7)yYOOY?+{Ru3~xPITV|(@tR*vFM?Nnj#01V+{emtulH2iq6Tn%k zJ!qy6$OVe=0o|49n*z>QRRvb`TU7?K(V%~zw;RbVc$*2}v{hN4K)=-zRJoC?CX+UW z%ffdAv4cS9#-B4wF*mk?V$iHMhryuM#;-Hk%TPq);!MOc)VOheCL*R_Bj{b@@C-DD zYf}$Lr?(03L5&*QW}wT^u_-ol^e%U8`l|88%&BE4jN7icw*>t><rs{`a(i5!Hj-yX zm!Qzb>gJ$t(BY}i%u{z|R)YM|#}qoJfiVROo}xH#p!$tP&F48#y++TOi0FdFARqKA z3VyetZwefoPQlZHNR9Yr2U?IPI)*~uY3QCh6MTc>u+u=D5(_q_(6<}9rhvgR6o+l7 zPGej%eIUpk&B9D&Pw@rwQ#Q7s@28H?BN&<4pqNIVX5oRLYv_^I^IK5LR29>C5qhq1 zdK&tFl`lFA!&Sal(DyM@%pgpoN%Q$ls9Iy^^j<{4RN(viL&m7_RPjU<YD8~pGq9z8 zF;93fu(^IZkIo2es-I@~8sFFmd|OXvs2blWnz)U+Uxa4_zOJX|(Ziwe`ge?Oqp8)v zm-Qd>gc*S^>f7>!!y85>m^9!2iNT8ccX@bvV1TbokwX~Np#A}as}b`jOi`S|YAlp7 z0Y!}z3ey8oz5|7HT3~LyJHr=#Bk%u@XcNjPke&=X(92g+??&!~JPKP_9SZH9IEDJB z@O&tgIw6A6E36KIc1;MPBnyRUfj50QkQ+G@O|%A|hK>my)NuhlxS@UGEv?&dY9`Rt z_XA|=@x(XZfdb)Rs7C#NdHDXo$a;r7IyZ(nQ9-jZyzywFga$P%U<Nw*rWerr0z>NC z=u3N(TLJB_zqdCm8_bM-qcj}nZw5qreBfN)42blo=SXjI)$jyY7E5mg)W7a)cV2!s z&Q;;%oXNEStOqvEfe}#sy0*RHD1S8|)Z;$q`f5P1hh^NxHv>bitad(<{u&VE;l-KE zAG5kC8RtL`D1DvSp1t&La16m!-}wK@aQ&N>oXNZ~$W1+t^in|1Yu9$?rFZ>f>Ng8w z9d_UKj`dOm4<~cSsFYJn?|Q~$ZwAETciw#*`|GA%tnkje4`U~9YQ*BV-*t`&+~ns> z=8RD&ql*SwuY;n`ZyR)s{qo?%RBsua=Z*vo``5F_-cTYI4cf+bJwC+H`vd;-DBqo& z4*18TKBjup;Oy(+wo{7+>aXc-s{4~!V+$VGU1?@O@atxG_P%oa>91|Q#p&A~$ia&d z+GR0h#OY2fnVHUP#ucT{d9e4C8&1Dy18!XO)mDxn8=X#T1Fm0Oabtg1#%e9x6^Ebp zZv(Dfbk;7rONO0J#F9U!Q<{OR7ag@1mXx2JKHCQTdU4V%nSOE9&HI~j+371-@=$t$ z2bg}*RC^(s%t#OM@a8DzpEk#m^-lLuaCzysJlMO+*{9E9$^Ge<J-m07Gf$^cfO+X= z9^SNzR5vi~qP+G(6uCQH$HRL^`Qd3>3UJ|~i1zI$a#y;ThxfK}(rI2SS^M-3hOBjZ z9z#BVT8ILiyZB3MA(Gsl?$->Qy||~9xv1=-g;`X-d%CX`ICHVet)l;8r5k%w`5&#@ zk=%TuO*419R^}$qe{o4mIg+f#O%UR;(mUMQ8_MWcKWmm+uW#Lnab~$Y4Blyd^|dA& zWq^3KSQCLVFn%>(6VaN#ap&Eu;TmXb{`#G8=X7`B2m_;6Z8cDo!5DXD9Bq+ayYuSR zi<(m?1K6vlHG94WpT9U>!`A12z4P=Hxn|VY0Q#!hH7Lwr_{-<2Q!Uafcl@1?-RS=t z^I+Ge+#Ik5`mc&y&tnbrUU}9;H0Lkg@o|3ThR3|?`vSg}?uMt`A-%%8I?(QTI>)%t zL*I3OIdkoXn?vY3>KCzV#%}bGcU@nA*JRurLJV|X#ktZ4?zlU%>L#<f(=ET-MzF#A zFGtQJb<(qUVqW>U3J=`5<~(xae6RuKOI4M#kHNWD)74NPgVryr&RKO__`((E`*oAd zJD67{uIJGPYOgx0_Zsr2knw5@#^jl_^*rDf@|(SPq;iX7pPo2EvahJOC~Z6sxQRro z-8LpqrVTlIM<~}xzR)9&r*$|cGmsu?5R`I_B)1-HH0_O}cewIP$uvE2n51n{Z$Vm# zBRfnPt$N#t{3(s(SV2dQ+k4ZIR%*&d;s%mJMZgv0Cwn$lxmfaRA#fQ9RV#yw>r2A* z$h5TFJm3;iOYJtC43T_M2wX&}swu<Cy=kO8ARF0jkE9{H?Af8p1WErwAPad)tqew{ zrYSnILzGh_&lUpbk%DT<FmWBpLx{Mx<X4EemgEG4+>yrP$PQMHm;73Q%TC*N@D5gX zRZa3yzNT8nT_dH<IZ*qNFYS~2k(;UzALR(i7X`RSX+sX+eq@QgH(D7Z84V#frNQ!m zOzzEQVUIMMdSF_yuM{KSbu4BgIuVE4wA@7q3|e9oFw062@=3>}snl`Pian*bTqGuL z-EtKH-cx!bTFN1frP88tYnE(8#doDDQP()!s^tPgfMI!6VRuQXQWPGCD@e^Xt@x%? zA?nW&gNnLxNW-Z(QzYHeLV@pyq%V3Hi(9rdQs_D)(Nq0Q1?ZLx1el}bE1z^o8c209 zt)N++Q?NKB^`+XFf_Ig?<@pXtx}xG7l8$I}ERJO<t<ZHq`k1P23f@t|iNa%X^Ok=q zSR9Z#Q-P)eG|P4bb-=O(kvw48fB<hRC5RrzaAA%|@@0!kv7!?(Hrc7SqI(~wu9$$g zl%hmycS+|&OLs}FsrJ#hY0Fi4<wd11(X?GLb<w;S9MiHG0p3({l;_(gHKsP5XGbcv zpZMzDTl`>K3pr>Jak{LPggDVzPhvh`y5fo+%xSSBlp0RFa0hN!_?}j7B^jMaa|f<l ztZ1^sm9VE4TEyTd{M~_T7S5;3T1c=HiS?w<4=Ap{RSU<{3%*LvPMmcIezlm?Or~3m zYI=t$m7Ta!Pa1lVparH|n4Vr}CNUm_XnA9m@=uu8lk`sXx#992+|puWl(M;IZc_h) z%Ua$TrOXp)Zos?;W?J4f3#ulVW+8uip^4P}Ku60vRO#UfTQ}f>g~;jKO{A^|Vp`rI zN=YYp>q*)tcIrr4C+6!&=T8W^0p~1!omyxlwLkE41<qRRoyzo4ayf<ZQM!Agj~hp^ zsM4(Hx2V))qm}+Sb-R(&^1#LwIBij;DbR1RbV|9Aq;?|h1}^JC$9Z;;5*l!5g4;5` zD_Xa#NC6CENz?aN<iUgH+p^$&#YmCc%UtMPvk7j){Enza3~AziuY3i={I)1xjF=H1 z+JwtGYm!GY%&&`f-6egwUnvh}n9s?AzbgidB;6&A+;4*8zM5YWwYW=y0z9!K1AvqX zZpHkwEclyZ3BVRh8oVDRU%^o<1WX`sOXfqeY??Vl^!AcsE}$8KTQu*Nt=Lt31js|+ z*yhTj7E6jGfD~3t7a)Ws_1uTaSL`Te09+Bc1#=0}q$tvd`)A}UwiS~BQV86fd50`} zz?>pmF<{;#>pfu3C(0K^dVl{wu3}5^x=2?fsqMZu9GHFI4UU^J-xW!U6w?5_z=)j# zG#mFmx<4*gv8i}f#3GWU4oJk1n(z0@vHQ#yL>AbJA%JLO+>}{7V8NI)lejJg+%o%i z(mPVIg}+Zv48h+g*ISf0E(P2)Lj!IblO_{~M7$#u>-b;jk;W4{M3Nb19smeRv4)?l zM;c9hBjO#d_>w<OPYlLyE7x0)SR%p>Q$!2iHX?mWB#Bhe&Bjl9)6J{^%0^-a{6ccT z6|+w#*;vJ5E|3nmYz76C!Nv6X;d&%mVy+Z$$xI7y8%~1ozmNqknyCVm;iTR~k`$0_ z)_oF5GwV9Z4pk)Z`^y4ZW~TsUFcLLUQG^|$n8JTn7C3Jv2vCNJ>F^&y#I*UpLd3NA zCm^JbL>>`#uwp#_R~cM(;<m7Nu%fGAl8@pw!7@V<C2>xe+HdyqWOBdRrXa*eF@pbv z4DM0lkTAI4tmLFOS`ot^4Iwop!lZyqvl+pK2%h(Vxfq@{z?)^BC{eRP-gWteO<p#f z2LT|(@I;DqFnH(WJ2rU7<;^yDhvYlfd3)u}iuiFRJmdT&Sf1AaF6i4$)QrLVR-R{# zw@N-?m6r<VlD<iId4ffFzVkSWcF=iq<;}kGlH|<__-|o(0z__o<3Wm=(Rq{QxvcLB zc)}q6HX?z+KZi)5^A91ou<wv19*AfMjrW#($0DzbyjdQ9C4#4)KNrE%$B#qsKma_^ zJYFJZG~Q%*LJxlkBB7iA79!yz|78T1_ictq_`t7&Na*5ML?m?biy^qc@9jk%iY%9f zJ%{1BA)>g=!z0Qie*X|D+2VOC%QMTnE@zg_-+|#Gzs<IIc13u$c&cR09`l!Acp?E@ z=J&ct$tF*QEYCDA63(Lz(23+izqi>u3nCqTyd82KQ@l+-S^Em@zgK?ta3R*eEC(+{ z{4PBvB7S#ph|GJ;!_=aCbH7-73Jt$2e*!ix`2JQpCK~<5{RFIESoy*Fu7LeDe<TY3 z?e`O~cER~~=@Ak3JAp&|e2;PnSiRu*dwxmb*>ClqfUg%Of83{E82#b(O`+`fWe#!Z zUcxUB{etQ5`9mV(UdS&mjza!#GY(PjckhqXynDBPv33=*f2(td{r4{a^4e9%{Ehno z$h&9u%Zqk_`U6C}Apd**fY^Oc=a<)x!o%M-KL86CM1Ff75WDV){qovYNczpgA!`5L z-X&`Np4%m!{|)>Bn7i=n*Ze-Q{hr?;VD`e^FY=;-%dgNyE{wJJ7%+38>PLD1g~}hS zO@)7cdF~Ti?%5myrZ1HJ;P1b%^h;@<sP-FooSJp7<0orF0eyThow{XucfS^;Ksg?& zCr-z$Y=Q<&x7R_D3XyxBD21lurs>oT(>wd-t;C7A-pz7`>Fs^qR#BtlsOg@<xTZ}@ zhUxYF&KBaAxXMis!*p&P6s{1wm)JraiEElj{c3t?-@JtgJ-$^>G&nvpow{QBd7Zl% zC^@#NCl1C%ZI)vd3XjK`sY|9q>nxfnWZ%<QA@{h6nYw7&zg~_}cyyf0Ol6xY@0<H7 zkdDvPi|QT&>xn&au+8#Ng^XhtX6k~e#C~EE@k891&GHb1<l{5U)H&0Rb=H6>WxagB zv}xUIfV+3#Z6dypJJ=`>R=B>`*+^`Q^PT`?$GJ_U&Y14*B{qs`99Pzfo;z-u>Uk75 zzEO@=xVmTFNK`*gs3SJV^=`2GOc(a%y%j=^qoz`)OyalZV~8^`>&<{IlW$C~efgIC zUaTl$zqhTYC}zAFuxWzc@{A!)#thAP?a9~eS7M3dFa873ZEy36u4`y?!JH0I5W z*LV4s`#7v9Y~QA>ry!<ehV@Mzz3F+E_$h`oQ%*M-XL`|1thSWyiW+ds2mvc5pO`F; zeDVGY1+Z)a-71Y1)!&C<iL{v9X26n()|O{95wc%N0W6xRZYf0*dt*q=0Jcdt(~@S= z#boWs6ZZWm0G7$At<oqWHAZoUwJo2ruTBBXn+X0-SLo~?U_`a|S1_Vl`{Nky4W4I) zwZ&!DuC%6R$81k~ZOOZCCN9cf+boSFQex(&KlGctWZv&L+1%7!l#kf2Y)yR>Gc*nA zHz{FyZOUWzqcFs#7+5oaX)?1p4<*h<A27-z<ztpQjYUm3<3*{Ph+P{0AOf=-ijt35 zN;D=;MUOMeBjn$5WAv%(h*cUWLjDb>M2|QYP0LGNL$GP(;qp}+m!i~F!~%_<fw;Q7 z<11guffc0|MCUTf!?^#NetM!%j*A{~I2y;Wq$4brd5wtroP)yDWrWdkC!9!+_G9qV z5eym#EAP9M2qz9iyD-XWh;z&4aAIGy4FiOc_g><K6LmRadR*&6RADL$A-&uQBYuol zXMjTGaU57->OA7lWpfy@GaAU?ry<&D9|jODwEF{y1{x?tK7n&kkUEPvS}OICkL8RP zSZ7Dy%IkR?y+Q{C%SUnEK#1o!B@kk3w0&OcG-7p0$wxkngM)~wb8-t(nTTQ<2rcip z#A`@wjBaXU?aQ@qt;F>dM{c)U4jM<ymL3xjTOAw%Gm;sdS`<0g&f1e}*s6>JY#95_ zDjgGyws3KPb>kHZ>$@CwX8uS7zU3DOSTlB>Ej=Q@wh}mm&ykd9z^bw1?EI44vn};F zz*pl*%6+==D8=iWT-nxT4!7Gep&djwHl3Y6BrqaF+Pye(`CDcjg5FkdY-(QQt#;O~ zT=teahtMB+x!r45E^`YP3&@K!YxklVQz;;tvHa}(0iiomr`>Bu?jd&x&6Ncrvz`Zp zE-q`^Yg;a9i-$wd-rC+JXl>2y63%Y{V*zu<zh>t53GI=7(STXwy&3YNoXbq;qTJoB z-Wb4)aTTS!-?);(+LZfe#&e(05@{0+m^Ln>@b?=p%_!{?)V6RjsacU7ZLAGB^xA=Y z>Xy;nv09WIWo@XQFde>90~$2it^!5MMUHx+<eJu++*3D<?u?nY5+=fXYswi$x5s!} zMU2*>+<OYcn`$f>M%TwWTL@pmD{DXuqq!<jxLojPVhdp;yva57tI?$~^A-Yh?N&X( zVC{^1>Wb0lDo~hQ$(l_)VK6+ZrW`9*xHj&Vx@0s|#iAKO#yoxHa@U&NQWuT-tI9EQ zkJfVCQrSkzW9Ghcq_s2kBD!n9dO}Y)tfo9vE@REbEp@?2Vl1(V@FDz6O?il1^4b}< z)H$P$D%OAzrK)_usHw_pz=&^*w~6pR{Ghr#Snm30XCt94+}jn99q#6uI%Bjun%F3! zu~u0pa&E2ZM$e=0@#=E4+|^O@MuPfULLH$wytkUwXS6Un?=2Uy7Ih<a3LZZ=A48bI zuEPOa@Nb1)`?4*|y;u>%a<55G5q2C7*o318J!1%y*r8&tJ=wbDN-SX<+fjU<0rwcx zU6!p`CSeJq*f+&q-(_De<FF#IWgC;80&Gb!>zgc^;dz(v2}>$2r^Ck!z36bOL8ZGQ z2FpMbzzY0RA&Vnhyu5+{EW@FLrO_h#%P=f~hRuZomf%{0p3wxzawP(=2v;3ciYD}8 zNpJug-d)IL)^`=Mc4P_5eh2^yerm8Zia_PcK-RWw%Cb6w%T^a0REiSOSw6ssXfLl| zM6{O2F@z2*PcdstHhy`<I5iu)UF5YT>&i%6l)c6%jU-U8b44He;V%pC_ro_Cx{F+H za;0(VBkWKSs2^TZ=(Q<}S&qUGny@f9fC-;r%tHyYp$CNWNZFX~PGb=h*0@~iCTur@ ze-MW02}Q|9bSD}Urb5RF<q@)PS-Enl>#)@fP=xFoR*4>AER-gdx&~usl!wb!v0UU* zS78eo{0!LDo*iG=N)}8mwIDQ?P#z{*!Sd4+fwElm2*aT`f+Zbh(Zg#*&}SXUrY^&b zdOG0*dZ-_PpAKVWfUvT@-HC9*K&T6$oCZ7BV-6?uh1w867+LRbUN}LQC8kHvVMWQN zvS89ZoiM`3P;~<Lw1Q*7WK-v1fA*Ne2%X$2O@11zJ>$awtR>_A0IVSc6e63zI*>`7 zg&lR5`pCwz#$~LtLvKm-JPuvKgMwwFSZ^SNbF2~wp*7TADs>vR+O6ax8^*#xMATWi zGO0{haRvx2>)6d}NN5agiev4|w9l^m>@E)8j<*~%jCfyqj7Q9NaPZ7v=3z=v@LW7= zPo`nE@~7a2q3?U8W4zHU?x*0o;Yuv)y9~B{{zw=;>-SS|&CvOM=@A|_o4~<;4yGIm zt{OVNpI?%BHmm+q@T=iu?0vf7Xsp*anX=i-9Q;slLOh6WX!?Hs5YGq>iTC2j<j<OM z@OrboKT`67Z^g5AWwK}0Ir#qI%kf^jGMTfuAA)(oX7OG$LuxFDW+?xD{s7+{tP}6G zBlB?9=7->dp~!pB18#OhEZ%EdCTW(3gV&zj-o<Op&h6sQ&jNo4&Kdq{pWnx~2m2ig z&KmBulNV)N+Cvw)jOpHE!5PD<*z$hE%2?K>%s=g(`}me%n?u2A!?IZZe#519rG32G zEbcfZE4U+$wIPF^I+#w`g5GVcMafX6hU)RtK`Us`Aapwr6e$zg;E9rHnrfO(*?`_@ zG;hUE1ofiJ8Qi-9Z>zA;RMd2LVNes=k^#Nm*x7>r5>$x>F`#pSpm3SshQt>9NKn%R z*R6D^(Yyr@ow`+zH<&szow5S`90&@NDVegV#}5WYq06x{g;V3qlqKj;Ad3ctG<y2V z<W4m)Qx>89f#n#PM^m}X6gKyCVeTtKnmSW2tUCp)$M*!m(B+{r8B;FIlm+f7EwKsz zA?OUcJVYjW>I^ew4%!jO8h}y)%LkxMfnEbpzDC|A{QIDTpz>gu>kXZa__iSL3Bl|j zw~3S)=x#$|qp-$QWu5T3sivv!M?vF3<!G6!4d#t_^{Iq9d~;B55UUTm&@k^U6EYPw zl`>@z|9U<KKNGOtEVyOx&CP3Hx@D{vD~uTHZR;)y7;hHbG(f-hjKNO^3~_VW(sg5% zSp0ZEhsS+}fyZmzW$BtR5*9xi@W#XIyY$O3999@MX4BSP5K!X5`X-I8@w|)w6hQJQ zryGpBdC?85UMt-dHW&l839cA?a$|9%i^o<dg3AWb*QL?I`eQIGo)(bXEV#toR(nR{ zA!C&k!9@er*Gkd&-T+dwAlsnZ&5~x&<;L2PCXD$}1X%{BUYADUsR4=}tZnI(F?EXI zyn*0rr6^&Yu>*{-_SgzWSZiz?gYO97@nCInQQRx7DcJ$r?p|Bct~H5^(${KABk`1g zIrk6!1~1+2_Zw{1=q^e}j8(R#JPH_c2lX42xOr_#W5%K|_@)3@vmn!8re+?BpY=a* zERU3qdDdwxY%(%ll(MP6YtKKZk9i)7l8$(mXpEooA9pN|kbXOoTa>b{ziJPPkbW~# zqK6;zr{$%r>9g(2!=<Z6T#8aw^%v~<8TwbB@Ayhrj=+ji3jA{&%fqBAM*Q@Ip(8GO z_+fvXqa|J6;yJGoUVr4EFlAZa=y@j`Pxtq8<frR1>_J#*-)D(%{D8lUV>wO#+;ej{ zzR%yr5rmQUe#Q&O>yC)&;dMr$3R776($70#_>cbTj-XI!+z6~NWnTZ!=jJecr$5k< zpQhh#|6xGC#s2<)euF(IL^@&Qpde*d|L9q%k96$Fc!5>6|E;|4$Nno0pkV2!kv9<h zxsehGzSZA8FJ)SP^_h~7bl3<EBCI}=Tad!kFSZAvr5&H~8sZ!Mn~L^g^0)lko^QbM z`}!u&H;SLdTrVEkF3gUZEE-uaOo^G?@NanjrPwNFa^1h~`4{;4J^k^KmBPKdlZ7L0 zPFdLNYyMTwtBarAy<W&Q@MPbeEEu`$WNbpG`#*dBxY+9M^@5S{!iJ@{!y`zif3VkA z{7arcg>P{52S<#YSco8wK7C}UFk<N~ZG={s5<R)-pZ)wXyn0u^e?-+O3vqr|AM)J1 z7`mjd`}_fXV@JPdMB2#<5wxTKaYVw&60xzZ|6$}$ClDfNTfcLpqi}CgU;BCZ|GxAa zjwcYp+i%~G{BT?|b{O!FeZEnYy{NDG+#T+)^_DWS?O2bw{&=LaFd}mD(a1U%RO`_1 zANKqrT)5vK`@FAckNvi3q_9vha&pQaUH+4>WPE+gFUE=`=P>BkTK<)gjnYSyFA^e9 z`o`t+gb1!X$M0SFFac_uzwQ@ql`bb7p>I^)Mu4L9$A;M%qejweey_@35Kf`=VdYN= zd%pUghaIi4dih`do|cmdqrUpk@@lD|F#X}-&l#tTq*wg>t&U~s*td*fnDvyb16E(Z zyh!RiR$s5&lMn&VU-a{_dL@g;yzLtXTc^w7X?~<~yp#jY&(kVKmLB@Hd-#m?4OxfK zx71-VYhzh@$lI=AptX#wLx{dkd7Kn|z|Y-^1)0nq=CkIP-3ZoyKYV000+F8eiz)Y! z5+3loW;G&nK3JbJT$SPMqkpb^8V~i+ZyjE>%7REw`(3fR51C~8VaiRU&ZG6!$~*CU z(EKUA_$Twn88fK$Xu&PLZwRk_sg}WB&Pl{zZ(Mf~YCKwSQxE;b^EhJ?HDv0wCsj9C z$;lW;b(r2~=y^QRU6!gDByloEQEyDWzDvCv#Bol-25sWH3s5DdtZ!22V$Y+DPbiXU zIbClY;YHW8dZKi6(qIr6C%B^b3Blq>6%Ve&3NCY-VM`BB>JP#=88lRGwBVAS))UXe z49H+*tl*-a>Jz2Ij9wHeT9B>Rjj*KYbs<<gQiMUjSV5NFsVAie8B~;_DQjCQWl%j< za9&UFiPFJIoxy|u`Ng=VF|EPz-HZ+tk11<QDt>S!CM6rSZQ`{h<yxG$D0Qv4bU%ZF znlt&(ulEvhzh7^&Sa(q>Vz4qM<q>Mg1k|rrg7Dgu!VE_3W;CH-(Sl69nd13|j9H%p zEw2A8CcCrsq{+azd&(wcSCfAbg2@R*NkwEQwq{KEjBAxgNWC4%bx&D`tZITHq}~ja z)Mt$O&|Fj2AZ*R@aH*;R7x$D^$bu$619CNI$5*Oy0Op=j;FGIW9wt>W;8%YVI^a^D zG3<lWvZO;Sa(G)Z^al>O9hMNIoX+M9x{se0KOMr*1YxCovlE*$27Fw!%4v{uIp)n7 zeLgl?AdHlEHg9u=?toZ*hR#5gTM7#zozvOG4N0kMfkLHl12DIgdB~qR=1m!$K0qyg z8l+wG!vLg3^Zo#&K@$`rl`wE{BV`tHlwIm06+19~!z$b7mTUK8pOy2VV5z8qH+323 z21@EOT7B$YQ>G!S*-AcAVFS3jlj;MxH&U38VoeZQ$}yX_F{9C^NshIDroCsyq`TN_ zTi$X|H-cCilaY$AEWf&^u7!-k*;xFg^ia$@#WyfB%u>QeN9>GXO6q^A%NT&9nfZ+h zU0bn_>SFgF>Gq$o%urJPe~+|Ge$JVH9byXLr_T?@Zxx0AH~x)JV!vZ(9Ev)ved@`Z z^TW4T<C7$H$4|FzsjH5T!r9ZclQovlgg(CgrF8Pr{Bw7=Pz}7<B&3<xaPPg=-a@!m zO0rj?iurc^gHlA>JL=mh?~IH`^`&j?M=FOq51R_BA7qIxxH32DHa{^z(SM@f-GDU= zOk_*<$7JHFGp~RO9ZJ#{eUCwK8_j%Z!JGi2RH|WA)z?xxsu}|H92jlpSZ4=`FDfiL zH~i)|MzscF?wxI~y&-<J?b><ymd_=h@Pr_%?KY26oePQfsSkyVaGUj~5n7R2t*(PV zJg7Bqw9@EsQ5pZnld7tadw^FD4E}pN_rdvBBh6Ft_xj&wPBrx3Mn%LU|2z7#?1V39 z{LHz}Mo2)Q5a7r9j!*%}tFL`ed;)2(0!@~)7rUp9yGI@y%eH$d5G#xqQP6n(SXqlz zoyOb^{b`v#da3fF>lu6n?j;=cE*(}h8s?on_r~8rCHYHNY01052aC7E@MEu<gkh`? z)f!J<`J@RF#Y2Y2=AQBZgkLpM_P^uCly|*!^l<;h$-5ozYUdvDdb00E^h`b9ePDQS z#~kQxdyDMJjSAMdHs4h`Y1g42Ky7s+b~+5c*{@CzYCK=$N9weA+b=OqH@p9>{^-`` zF~nZaCjZOdoz8G|yTpfqeowvqu9VjZEgiQv8N3-k@;DDQA*4_1wtn1v@a<oox&N5) z_PcMR7%XvK#L&IoTK}2;@T~7hli7D-4u_8=e5MKi^kWvw4)gnv_dZ<;f5nvL5&j!E zAGYlvcHl)<tyTfv44d!Xzh>p_aM^BAMYQ>b!@I{<BumIXS}(;6y}bXZD|@FCs4pCH zV#(_AgMgOIvm;u$U6}~SxJ73nkX!qeH&V<$Sppl98h7-sKfIcjTyb```9@~cq?oha zAMQ0b?NLN8x0IEu)JGMiQ5hZA&5NJDQ_X)nFP(HecjGMM<z&C^U-#H)pOha5^&U!G ztpjS<Kqvn^P6;Xj$M9XcB<q7qQXDh4jBTo(yIEbL``=EK18i0C<?);xgs_-DdRgek z4-w66&(><ArjlzmE|<^Mj7e2p8p6a*^-r`}zv!<!xDjde^~K|Bb`94{AB_SuB;fyg zJb)hm(dk^nOXY`8yxs1eHu8PWr|{DG;%86W4}q9u>kR7ec&{}@X5vJ6)ahrvbqe1w zpXoeviOdg2NlpZi;DxBS6TRd~k|jLfq}wacs4n5P#uM-0l@}l<&7Q-kij=uJc8TDk z^uyF-mI(a%nmRZl86XXR_Mp)SXE3>bAo!jz`m;;Mtr7&U{7cPLwX*DO_}m|S-^sq? zJdux@m3nm6#JWFNKZu4m1yx(l&OQE+Dg5k?s=x6lBexoZ>K;w;vCkeW1yE2)o)U=5 zZ7k=H3og<Ymt5>T|4}r+{HO9))NR=i=IOU}XZ3S4J(qX+QeN@+XHGtu)#N#mz_W6V zv-*^6{&9$9(Ya4@&km~Cdf}p_=};T^AiPFNJzI9dda!+8W8byTDCCQ%=gwA9^?dI3 ziT0;L8YT5IGuM9z{Ek=FOO;a5yI}F(BQK%<<o<TTy!d$M6|V+f!@U5Dp;#TCe{u9f z^X0gs;d=JdWQ!onm&JFr84LW=f69ED>X;aN{rO78ZSmIjQ?$qLck4fSlbct(bkO%e zt<H9|4(0Se$0n?Wy%yi{xGwte$A9+lnXvEzi}O(=pNb9_oi9Bwo4l`fBtB*K*ZQPo zV@6@D=1)0mVxn!0S=^RXXX|(O@Uy>`Fp`;Ap{HAX)Rcs7q)q9UCqli-83K38PCQJU z`>eS9*V#HqEHY5^_uH=TL0$^aKRkxDFO+<<$E8&M&{Pk5=9kj@asJ-@A!h2jYBph* zDn1JOQ<_4>B^X1~Uub8pw_5rnT$NPOFND@+#Mi>M&!&W>BL3MH9~Y4KZv*w0)Xlv} zwtL&kk2^FN^)kvHF|OO-0sdsBh5hoSmYRK5=S#{pI`Z=}si!|_kIc4Z{!DquqcU{C zmTk-%8(sR~Z&3%{tB2w@@uqkGT3+K>ij@Nx-L!V{F*$!^W^^q2N9!8u_pbeY+C$u| z>iXKrwT<rednxsi|Ne%%O-I!!B82~{S>Jb4J+Zakt;d|Qm_dnP(d2g5E~P!fs<vow z<ie2JAFR@Q=W5_uug4Le)x{@URSB9PMdQ->O5)M)h51@7tKp(Ry^X5yAhXVdNw@LQ z2qo}kNi|cQpwZ}|e;ii3T+782O?Vvty<F<WIFd?!@XsB?D*<akm!tn&S7qckop@dq zbZ5+iQGzbWyjJj{dZRyja_jTBqy5U~$K&~*TGe*4de1o-8eCBtB2WHJJQwhd7@}Jz zLRD=}X$pm*>I&@suqeDkdk|Y@pJp4Y71+Pwao}}5?Gfa6wz(|jkCShzOLg8-OGHXl ztPO?J>}pt#p?`*ct*hL1IxYJ!BSWQMAWHEf388Yb<N5cH+RHHAKVcX}5z1FWWck_1 zHFU!b!}ahrUrO{EF0_$=k68Q6_fKp1$ltea0DS1xWZs0@@W1c8h+D>9e)~^?0K!m7 z`Vwxk?EJ;Y3u&=b!9*TO1-+QOJIbrbf=icgZv!soSDuCYfp$~Dr)c0`-axUP=WC^W z(sBQ)D*fvtUIE#E_x5qelaPG2i^Ja+`(>OmtpNL4fz?ZT^O}6{-w)*s1LcFgPJ;fs zB~c4V=d~L;DJ@J@`E-TviL|`3voYU}=VQS4x$%>lfGW@2HM?DGI%LtU%|=mF<PG2| zAohcIcD{LOUYWhwMZEbx5>y?}Ypj{)7X72ZDjv&(^SfdmFshSm*?7dp*^px0h-p-E z)+tM}pR?n)qYo$Mkiw8FZ@*Yv`8J(i#*<c7bzk!04Zmsim;LH@uf12lWYeV%|D)gT zQ-g+-GgjTkgV0dqy8;Edzlgf)Jf$8Kj{LCzT*#^Tud#M~(3bRGw%X`Y`P9iU_np-= zN9l#JIrqiOy0#kbi4i0$;4kdkFDf(d@@oTZb@$22=Ua^%r))%(H2uH$OuiH}zP@&@ z;LEySPt7W<F8%tSE?s<2YwikD*L9vRH?0Dnn%b4f3tn;m`;Vulx39bod2zpM#!y}V zoyy48BjU)w#k!uqYVIyyCCV5#_qBUX!`3f)sa;%4f1CB9M&p{iV~$>9&6dE|nx_B( zt<~zQzvD+vJRCFpI+0EIjyk&iwL|*V=d?9TnA6{Ji&oYJVNZ6(XLju37I<5?Niz;c z3dlI)`>e;Wo~E8Lt~&bhbu~%x%<n}6V6{^IrCnTI1IonYb4{a^$|&V>o7JnznNR<R zuCI=Y;|cx@8VJ5PL4vz4?(XjH?y>|Y8{93pyStM>aDux_kl;asC%_HgyL<2cxF7Eg z!?K^QuBxuC?yl<Td2d%yL<k=7?+E!i;A-=3`>9>Bh9m6wdKEb8YEpd)xm288f)Cm< zL!Fp1ru2<V46-O&!LwAE>^aL-=nKA=x8$#Mz(Y#q$6yet;X<+^`_u`~_i^TvD7xw~ zw4N2=b9V9<6Q#;YuFoF~-_3I%@EqyF1zhha{o3ZB|G;yL{e(61v>QAXK5&QXH?ItP zud<`~>A8jx!+RMqjwkpW{y_7zTHd_wYu%<;*|~CrIjc)b^1HY>N|7?g8Rd9>X~*n` z(Up@f-+-UC_c(Bp(D7&m@7V*l`+01|O33f*H*@INrTYPM-;Bo6XobuJvxvKg?3$9! z)8Sr<4!rh%jADj#Iv-6m8%yPQROm<v@xBStFH^~*$>Ba*lo5kCi&wr=<9WYuq|SQo zHp21Y*zn%@^3dC3z!A0bB{EHB{>a2i{N`P4)|b0EE<LsQ0CGoHE*Ll8wW&4+iqxY8 z5g{m1QWH_x+dm$iNggL|?>PhZTjW@IjSjE_9j6}V%7k=q<9SKZyAKKvk!SnA+sR(Y zySn2Tp)0A=%$BXvYt3+e$^j-EEdqN<ttCx=KloVG8p)ZHA<KsT73mi$ydw6<H2s6T z-(GIu;utRU*L!jI;po}p#(kpydeJ7Ov(cKU(!_efA}|_TB!F)@djI;DB3WCW^UgI< zIr{aYOmM9_YmZ799R#a#SgR~qxTIVlF-M(s$cK0Gnw^Om;{DIFru^TRBOUgVT=E>x z1-?p7b~O@X>JIS@w1iY&a?M1ob04@$N)V*rH2EvU%uJ!Hs>?aazK)m$vf1w`WUtU& zJ9Tv0#*$G!{Dt32GQfOFIlOu7NX9Mx;^yn@;ceF2g~_*?KT{E^Hsfz170!4B37H|! zgnnJ@2g$~2$Ab`|`<1NgtUpXQ%*U`zL6xMKx-eWY(2o_tRBAtK=AlBG$F@Di;uR?5 z$47SswgVcV^XV7uPzR4KuxZ5|&9Q&VBkIl@m<a48{*veTgFQKcs2h63gq3etKTfVi z0(y?*Hg1nNCXcYE%aAv<60~iv*Ykw`@fkJegv)o)UHNpR!12a-XE`T`MwR4t<ow`J zS)L?M!p!`IWlPfHG?&1(pjxW?sa}uI%v%aSVqk&6=f1&dUWYP@hg<)bblZVPof783 zh?#JqMb3;w4FYcb3~HKCg#A(y$ld;lS$1_GR9v*DB-ZKc=OTB_-PAC_pSv9d#Eo8C zs1N5JF%<&3T~hw&Ux}l$TbLuij9sq&dl!VTqMWlccz*2IjeV7_P?uk32KS{YQvab) z^hZ<zI|^M^3arL39fK6NaFFZz{<V!Y>5zrrnBzgUcuAiU`7LckwBz1@0L85Vuc3BK zeN_CPQHO;}%p?2dPpuRu4jIr2k~@(DK`vfwri6y`&J~-Rzl+VB43F@1l%!v{iw$#` zU=+>6FVSrL`1XXem0CmW4Kzm$Ik_BST#Os?B_lC?Nc*z~`^UDBdSJvEyY_zb$uaoN zW3!$fFnsMJR%y&jXcT(+q`5LRCDOW}KC0Fs6K__WKH}X%MZ8@z8eq4W;7>MYe8Bw$ zbuG-y?PG5^kHy*DbVQcfG<cCea9396%|53hVXj-l(ZKoafI1Vg(CwOjx^mT`{On*d z<Z4cn@2fXgjvx`yzz0ps(@}FuC^?$tc<8ETw_D#S)4Z8qP!@=p%Qh8`_A~#KO`4=m zq87i~W2Ak5zG+(e5TVO7nQ1R!kL$tb8C_u8G$~7n=sw#yYa@a!t2FEn1)XA~!pPq_ zs3?`KJ?w_0qgQJw@hQJJv4ZP$W3~Gk5L8#qZ5co6<{WAkF*nH%S_iTHT)4q;$enbR zTh}(l8c&@Z^ps$IF3Cu#Cp`T1%+AYBUy#%A(~MvK@6eYC)auy42mI2j{1Y`gm8x9Q z6ARJDZV`N@mC%JGooDBmc~NqMpx@{?id*)UH@ZjF19jNNmA-mp$rJI5{<tLXc-{rc zsfgnVGf8FY4oUi%=nfhF8tm58Eo+7fj*QmC@)P;ZdFE8x3G&M*VW{a!DGyu}_pKxQ z;5Hpm602Rc*b@rUb9-7TQy0_VMAByIsl>(7*24QnaI<|~a)HXXtYaFEDeutHZ;vhs zbCJ~m$J$)G>?C!w6<29mpxPW%CR>6~Bn0Vr)<3magN_LB6@N;#q>QXiG?Y8rX@j4@ zvOb(xd96S3HThelJjtq;0`N<AduZa0nycxs<sPt{=J6cNGb`vgQU~X1z0~H^3+M{$ zGlcA@21-?MFSmn3M7W!@v$ecST**rb_M>4m|Ar;}U^5q=Zg!^nf+b9pjzUw;$lYfT z!^g}VMO0H==e=e&b?9pT>w||@lrTgr_X)c>LU_)VX*L9u_9gT1Z;))p+eAlj`yu~0 z@Lu$#_(BimXW9%Hi`sDAorW!e5lc{I;oWTNACSSUNG2GBN?Wuh%iAoi*MmW>p~i*l zT4(_kFK{wrW6`%G$D#uNs>-#a=3&`0peWO}rCho5;h5h3y%!S|yPO`=3&&3I)hXcU zL&k9P8)*#6sJ;yZkZMef^XQvjE0X9kAK!_SpkzpLy${5gJEJZb;9gJ7_{*c~Zo1ps z@5ng|La{B5QNa-_VtempU`(M#IFK7QUT+#1CY;wA{pS6>rGosA`tTRi=6_&>*mFy5 zeJj~3ImP1;OzUor#zGn1Kq7PMB%v_MjwP%Mvm6e*0ybyWM2X%pcz9WF`ej&#WUW4@ zS**eQ*;7H7K~^g<nd-UX`BVpr2m`%7{<t&I5>-@6b9gJs8hxzkohbT*0UQLnSj_Hx zG~Evt1=RX5knW>IW(983m~!Ee1f>(5e^e&+GF%9d3y+DgIB?r<jaFM0YKl-D5|R?5 za_i1aR5ogMJ2pv^#r44>^<xzR4~{z43)&%91-Fzp-qrI3x=G%_$nU>$+*_BwK^~5f zwZ>UNHNiR)LQ;!SQTIyE2;RiWJP_2N(_;5sj?DLjEKm#<s`ZRhRkG1~CRPjU!>cO5 z!Q;Gn{ecbf*PCDzco2kt^XB!!dxQMO(#pfm#@U43<fFTptE-hei?g$%vxk-@!W+1o z7+D*-7S<<Il(#A5ZxH!<WiBd}`G56rUL*-}B|9@UMmkbByrscg`D%$-ynUA$Bkr}M zEr8vM(*TJ{4XyI=GE5E(&W*T!bjWjBGjKK(7<BsUff5i6)ApwMP05=~KMxV2NP8Am zTaTo?+BBY}qQ7z8hU9e{yuJz~Emp)ecElwWag*9IDvN3!;^}xE!;z0ZYMa)?+=La@ znYLVl0t&RJjf&$rf0G|NG8P<N%KF~;Fh9UkB6w3G1@0k8t%tNbE-}hoTA3)P?J!=h zBgYgqA_!isj<cspKFpNY4u7F@<0HsE(q)TPRg67?aTNTt#1(EKYL21up|Yy-O;*|O zfnh}P7TMkst0kBn*Lzd5;6Vhj6#vqbV|C-{Gj4Orij-+Z>#pQaKH^t%CBIBNpQF5G zT#AZX7iU&a=$O4c5e0lZ<1!0OJMGUF5|%zY?|VXg5|m|mmMrQv4R(YLq1k^gjecA; z7NYBz9&GM<`P=E45!Q;%N`+?cSy4B+c6X3s#wv93#IrKrdI>vQ>(%DV|FEWcr)5Dj zPNO!_kDKnsJ{r!*wj=+K)|dT7G7#_kn-?E%99HYxH^zSuv)^vrrRLznEs1iSwl-Oa z`0RRA-)t$D85Y_9A#V~s=e!x#A+Gs5vbw9XRO@qdOxYONRW|cA&3wZ*vP$dc_8AG+ z@fs~}fcqPQ34zwufm|A+&xI(&mdpskEyH^G+&(KawOP7ry@k>bHBVcbQ*oT{y<iv3 z*-F`mb=Q3N#lumFs$+TW<RT<=IsPCinCCW7t=wg!k@A|>>+CdUzthsjH6<GFYt}VR zU=}CyxBn@m|FMJgu{k7KbYcs)qD|At_mk@GCX)-K^Dg=^LS~zQwd|t#GN)0CRQ{#! zaLWS2xe;CCiR)j7yH3T@5(|;{vcdh#F?(_lCNEN%Sa<JIqOj>a%lA(gaj8?Xr(0l+ zynoW)#yxS=!q-1*0S`osvTW4yL$I`qSn?Oj4#ac*mK93mirFWYab*6Y(rr?9pP@cj zK1|G_S^XF<_4ZzxhviduIh2hegANn{pGYNFFb(sDvT$1v%az)P!p`bID=9BkLqx^` z2j)+<x071qorJXiEeS}X&1c>pGg;zP7(O6kcG>cWCZ$~O_*ObWqtp-BvKw5j`?Nbg zBh{=yL~h&PU&(KoP$NBTGcHt5ElZmk=lW2w+k-Qh@~6C!cLx$|bS(1uY-C;8`w~Cl z^ijtIQ&nGiCUGdHj70xBE9=v^)7Y-~ThTv*9U4+~W%rO(@i*Bi$AV{P<4FGNEZST7 zr|N}8snraus2&tP>`(glpTyj8-?Z?J%OECvpDC(qJI%2h>-HutVE5WWX&j}K4M7&8 zXbBoIo-s4A?b(e`?qZ(7{r-4?^e^);$|S%WK2XVXuufR;o$fs}S-%{bhw6ro;pD~@ z_#pCs9S_ofj;93cI3Gq0=1oW#;{V_ASXz1gKaMA+>uox*j8_SxZ=;+my6CirHSd)% zE=^M<RZX#1PxG=UT<j30;nLSxcbz|8mR?{Lmz!~xZ+Dx1=V;p`+g)u`z~6uI6Bo2n z5+Rh0-(A8|+@6yYc_=t3TrBY$M~T3WyeHm8npt@-I|nZpA|kARA58+E+f0ItAM;*r z&%2-drxac;^PX?gL|$Bi?y9DIK95XA2VF)_1@DLiJ&)DBJe-(3k1f6I#RuJA)jh4& zbs1etKd^Q`|E+u3)+zUII$SWXlf(X2A=x!k4SE0X%s{FR*Ds~U;Z4`xNe!8;#gPtj zJx`P|y|#eArslP;+fd%(U+tFKnKk+@nG@|jt9#}anaJ8lw9uQdq3=bma;i69eHqI; zq1?xn*p<himj3X{<D?joio2Ss)urx5rOX}H>)KxSKn=N)M*<+cxjEhaQ>PuwJ0#%C z$UC%FIXb&@d|XFG^qhZqI&SUM(E7rr>8zm@8~<kyG!cZu;P5QhZCLpbFJUzyGLbe0 zei77M{KrYs<b0L~rt__8Hf)nvd;XyFsAorStY)NExq1VQ695hoSkicqlKP{)mx4PH z6c$vJ$nt_3RBT|fq;Qo}$aCX0EfQ2N@L~B8&FD!7cY@JAlbf|)p(9Cnxr(8XV<Wn* z!uL9?_TX;5)=G>O@2Dmp|L3eU$s<|S#ppcxc@@DZM<BHD<0wNWxT3tqmXx@mqPCia zuC@wk-bBX_EQd()lXn^Q@m^>!tN2>G=q@TywP4a+PpWDsY4l2;#7R$5gXGh9?TKpJ z+JV)p{rj=IXd-QJ9etfM-e%1=(FK9P0(32`kXcD5Ed&Ex1`uvVC0bC4{$gP}D)l)Y zZpD<NjCLiugBoz+t3&iyV3^24$?=sU#tv<zj(&4(wPZ!=_iC2EjUB@DLA4Au&RRu0 zFN#p@g-!~RrLm4A=L;7eCi{Gg>Y7JA9|%NBk1tBgFr(=C`mK}{gtBFD>IG)a*th1e z1b$QN#me^HcV=u5weAEXj^`%4$KX+-P&UZh+W<qWjyg~QKj2%Dh$hB~TIu{x)xjk0 zdrcF&%6sg94NI0ecwyC=I!1U2DwBDgi}?B6l09#^bG;ej=|R?uMi%WrD8|j8?t@e^ zC^XPOr?O2;Waqmzt8UoO5oh;*&O;!>#e1QJEysdZcn6cw`I1}Zt?zrSgwHH(6PJJ1 zTYFv-*HZ7#JDf1SPw(bmp=j;N@Go=UO9uIePHF0-A_UhcLVDwG77PuwwR3vTZFmTu zKYSgz3|~=#ii|qT|8w)2>(8^~384DiQ1~dJl5TZOvG>?S0fXhwA?s9biu^BY9I@ZT zlAHILqlx@NCj%{VXFM!C$<zg%^&aOUSS8Z(;)opA|55gBp4>mXi;TWJggm={KEZ`N zP5n6Uuj!ep<hRa)Rl<U%!z<L;pG-2)!d@~~w(gh4!+KtFRub-mINp;!|1@=p3qln} zc&5Mqa!=M<7H)!=C;ZHJuehVrYxC~K<|1S0`(ae&Sa;t`St#q6TIHm&-h2OQ;Y_N_ zlSj&ugGZg~i<PU{6Dd|L)2gT^tA(5sWAT}_f)$wexIubcXI;`#XffOIN~{%dTHrcn z>D_T@IX0-4c1mCY;@XS)g0@-LrorI4Xwbd4gj8{z5#%pQY3KxN1qp`*u~j7_XI5n) zm#<^%fWv4VqR?n`l(9zMJO3(1N3B?$g*{!3+Jj*D%wMg9nUyEsoduwH53mwr{?H<R z6HMC_R@wPqD5UxaD8hlMtW=j)-3j7Z{^YTB?lZ3cz;C@_U${+7?>|1k4u7A*hkusn z{%}e52LYqy;dA`U7XY94Clu$I#zc14#bX9Z^VcWYt?$23P)!aDK|widw--{*Ycf{O z0+H4or@%zBb*{X!3Y>2C{4v-NT5zgxj@OmKOg+tPXn9D=;(m>UkKh{?4eIKX!szOk zA`9pnq<RdCroTqPpF4a}7nY9mA}^m@`sA8uX4?LPoz4)4fS5%d6&YU$6%9WC6$L+; zfRv?_jlxjT!jeuJdJBMe$^oz^0Hy)J?^)zyQ1FFfFz^Euk?@n#2>>uX0CuO7jzlM? z!%hdWa44eT19_Wh*tveGNW$&H@Qm%kc)e|NP*eXP&VER=-8kCdVSxpbFY-7FKH+|N zG%-q_6x5|pDl!xRO99|P0IbkAh?5!?ZO4Q%cvz%?^oY!aflsI%5>3q5F9p>q$V@sU zW+<7a)|4+gQ8+J$slEbf_1SZkeOK2oDoCQ_x;g&+%Y&=f;OU=^$<n_QkpPn7*51r* z+?-pjl=A3fEy@%>y@`Vw21a?Us{$9Q6_Tu=C&ud_J*A_;3&`rgMdtHXhQGoV+7mga zU0QgXroC~ScjbZ@msZNLyVIDdG{&NO%W>&d`081eq@EprL9NzDf?5=YGIXzM)ktk? zHC&uAt!uH$?0JD!Nrk5wM3<^|`2_V)5S}H3TCm+D1?gLr2vuOdh@j}8R@>FP<G&SA z3Taw~kAWbj0Z+rA>QiANd*=5#;`ohjPc2c5O%y0mz-vGthIU;R3eB@*3{9GDDLX1! z1z)y>o_c3=gOI+}iBJholaUI~D`cn|29?b9DjGgI<1uXl)QV4qg=h7-Sqkvr3ib@@ zU%u<`dD<fD7=*qgd^{3`Tlzls^;%rH<Jn@fB+VUq@^jx~Lp_Bs0FR~7mBz4=BbN+l zOp!@4F;;{HEc-t)(<_0lA$3<QT^}@SUy9Q#2&G7_K1xuB{X|LO4HVG?-NS9LuXtRC zo>ja1S36AAGQeHf@Q3{#ZM-cTT(Cl|JMQ^In|~dv$nX;RtjTv$ma)$FWBaCtKdt{o zNjUsv%^w{&2)cv#`DEzW^#Nk9`n@~H67*N`ValV!2lj=lZ9<$+7-R3A;>+%sYTWtu z_bL>2-H;Pv{W{-E{7!uR!j@-M2bYcO-<3SJzObx&&Hf+1fvVx67L+S5u%CK^o0}Bj z$BH>3f6kMU1}YeJKp21JlPh`l<#o72T5*}uZ&Lo!uAaR;$um8wt}{$EG5$yK{oDQD ze^)zXS7XsZ<E*UD^uRyJ9`*)VA(PcA3nC{HmUU419MP(lp*qcvHj8`EY&|d)2XdRf zFFbOau`j$`_y70{farDV`~Iuj(%1?5ULhis!jAC1TVCOFY;ot^+xPU4U^MR8V-`H) zpyr^5CyEd<BKR4vvC5X-PTjo=-`JnqRwUuVOB0?(_Sv5A7_=mc|0uXDFdKBvJF!EO ze>l3ObXc{9$ggr3sP8#sFsqc<i%}a=+x3R29_iJSYnkIYdNWX?aQmmkAiS4L2}5{K zpW=@2-Y&)Qh;tKUavXJ|Z>=giZ*J`>y1Mmoo^M3(0ZIP|VQ~Ey)j|(??kD(?{a#Sn z-?0qp$v=mnvfZ&foGvmSdG(KwHou3W!aE8Kh0hFr-`497bADqdiymFM_r9x`8_UBD z(7m84sXcD(RV%{K{9NgKRM}0(>Y`?xBV>|e5-8BtOjMZWsO4R7e&36oW?GiZTD1_U zb+JrM_i@3`GPfiDZftb5kjX&L1lK=!9XqY(DQ$I{-{BDdca~mSthXFOvL8BjdiYUt z!IBlFiTd!kU<z{2MdyOvdoKE4xzqD4I%J%8*)*;?71s0R-$W0{_Bug1Q~U4uvuegP zJ`b*^t43BBa+~Zhq)eoRj9UJot^lq0k7)QfcK@mZbDPNTH!Yj0@c`bZs+tQweNxXu zwg$U2Twc+t`T?Ya?9ff6*`3KMmr}d0kUOgVhgu}B8gcBaPl38Tb=iZu{$6QEkEeB} zguPomo2EGf>jYuRsJsV)%3g8D=Q@sAL-LBN8AI}tt0_bBn#QTl&Z=uA8>{jG&9e*@ zsf9=b5*Ch@<EJ`bXhWunvHeg#do@2Jo9`fZu!%I9cw{Xgf#r!5<CO@C$tFL@XRB zK&F&<4RD+!+l2#@t~33$t~0}DuI!OQ+ve~5`Uk<cq2@TtWS@^cNs;gg(ZiyN!vUAv z4}d=cq|bqIL<Sf~;(&347#K%*Nu$(uB#|mxHb-0&w>8^;xbYj}@M5#bXQSZ@WuxE+ zWMkkbw_~!Dy0RN8?yA#CW28x_*4)&FM5F5TOT{^C7xvt^s_jU(A8uQ>AMR?mrw^RE zvWE(6n-hJMV1I1K|7>6LQN+;F8<WLd5EUPRG}K;x$F2NJU$QEFY}t0pW>bFZ`co0` zgxNQvhd<xxpC0kQBjNeESmXQERmIX+EdX;bk%PJTo1d!hQ+&%$m<rWRs(h_<E8bs4 zt(MQ`^2CO2JIL2Q0853TI$YeY$Gxeb2d>iAfTR9GY3hZ+33~{7=^55z5l6ve`fSFr z5rmax9=mdo%Cz#5YU}u0qG-7=IaE^)4i`t~AbmEJf8`6yf?k=YN=}gc-9o77ksL%y z)mPq#=u58l5>i2%ayixK*^E()$T-^?{;$|*>7#|RJz9klumx9IAlVd%npj0~(tN0V z0G-iZN%2{QV!~`d3WJ8PdWrg%Ty=V~k}|DEyhVlX>P52S(Lj4oFKwG+7R<AV>|E79 zVC3}72DzSvbtTvWYd4TASDuEL8-%scGeD=%vtr*@{%+nWdNu&^Qg~h5nW*L~zcE86 zRO9RvQd|2QyLfV*IqDE8z{;c$M&k|x<O-oBhpOJ;fMg*b3qJ2KFz0&~oTUT8)g;df zK`=@@O*Rzig(}V}0k+z7<W;KvR_hBO_>Sl>OEM3C`6&LmE(GEHU-4m+C>^u2R;9MA z7MB>w1HFx0Ot9H6f$zrG5^0ps^BpGCzdK9}c2P}8{$#+x<f@9nypLd4fq4$TA@Ui< zp#r?0?C4|`<`hci{xOuHk?e6`8a?8Nh7Rtb-ujW*(}GKW#>qZ5fyq90+DQsFo=FOJ zky0^xG^}0Bbo|`}U4q>UHm;#<3;h_%$RqYR9P0tz*>pm;dCe9!mL&M@NH?~aC{I5q zCA7f}FU-viFAmua4?5z87rDWXwxf%)i>XTtP$AmAsAmGG=mJ!*04ia_yt4#OZoh1x zLhR@%1bUr9V3h2rCbsOjCRfLZCZy_!CfVvJJIvu{g76+FLHIx~L3nsSAjns9VmabS zw_;bOp~Rt)kAa5Qa+3vk8AH@bJ;_Uk+1uL=dH4bL2guj{8+NANziOeo4Qn4R=A9C} z_Z>s@o4=jy98aGA0tIBROPJ8!%}k9X6CD1cM|HgdKPC%`jOHajC6nzsjd&0cZEvh2 zwp@9>=UweUgJu1ZOiZ+LniKoWd&{v{^zFejC<h>61CSUTS$MZNqH(zW%g!@MC~H4W zJ20_a$<&7B90NX#r1V4m1P)be7((gsviUpIEG041B6?Y-pfa-=0m1_5nsP1b6Uuk} zoe>oEG=;MM4s`tK3s|9MR+3n}q-s=g`>>Ac>)921Qx}Njy&B?oh$2jnbd;Ll3iFDK zLpjr91FuonmkBc50LLO^#lSHrVQD+tuq{3w!G46I(YecNMUTbX6z`pU(p>)XcpLOg zNk5r!`|X{4`x$XB86~L)6~@eGFm#(`ob7WTbw^j3DlJF!0!OI5*2b2CX>BmaIAv9B z5rc%6S=c4Zc*Afg!Yx0=Zu)sXEG3Did0enB!S8(GFkV}b1PM4)4ZTu*l}Ci}aXLR` zqEdh13y*`^A6(z=2fLZKq4kl^41V!EBJ{o@<MW=SwSrHf!NKtAZ|7}?vsS{{Am!DC zx<Th#Y!#fuKAeB&N2ak4ukerwI%hxa3L2&KAP~tK7DK}oESrhfWQ|N2E2>g3D*SZi zj)ilReL*BY3$Jnb6McztP;NlLL<@h>q;RTlqAzaR7nT!6zyL0c54@GG00;E}ClJWO zVQh?OyRK6hkh^BhQ=cVthJP(Svw-zkrz%bKeK#j36iet5U^u@#Z%{rrM*;1|>zj`M zK;0|+#2jKK+Iv|Qb<<|?_+9Min7~C+KyVscR}n5u3cRH&3kP+v{m}3Uk#s+K#{HTa z0u?T1naP?Lx~71TNyrIBS{3K^sjI74$Q2j^><Oydr0XtY>8ot5oKTs1E5pU%gE4It z$Ru`1yTZd+ESv4eyOfV$dE|_gYKqSaDD}R_qZj86NHpf+oF)4bVHn59B{4h&P;doy zc8g($Im6Q<-yS)WqY7=_=a-wMTRNAQ6+P*18g6ioWm!D0A&73U<KFL|-bG^~_D<X> zHWMBX87y@AD8bq=hiosOBz|>IrE<MNLosg$Ihm8}v^(-8_28l;w%e1F*6KyX<EJ7e zE!&aPUk4=9*cnFW5-dC|EVTcVrb4S^anaW|CjN&n6h(or@gXhWMvW?E-ZudOp+mW@ z@CV<-7U-H~xlSvV0oO)&y3F*uD65!>{r9WV-oQPjnfTSZZ+6I!_)@h6tYgs&g9_!S zaL6eZm<8`p<8H^&_NYx#Y1u;N3B!{3huPHe36zgPr?MOUMTfC0(*c4sH&nTjE*T6m zHSeWn7^~vgvLn$`nB=x9(AWhV=7-%@#o?9pA_nA8E?xV?{fXNWs3r|$-%T-7#oElM z74I`}MUj5T>J|+pW8ykaEqeS4I~2w7nJ~92CBRf-8=fD(gI5Bd_TcdN7wks1q4}p| zzjQ0qDWn7MGyAR{6#P`%lgjmeiKR{9)vve8g~2Fg(=(xShrfDiu+vZb>`S%|wVuj7 zBa=CqAntKi$}IKkBh=dMeL5$E_}W!O_^IjVeWZBp_3<u-ypb6!*?koF$`<UQC#3Jo z8w6)U38mG$GW(Gg-QB}RlqcB-M-WQ+I|jhCcWfIAf^ljrOl+&6)I;s{;SDUmEwWK) z**8Nq(zp8TVq8tRQf=DV`jzYvr?%l21^D`vX%Ck7e!+gtF*L{EQn2P5M#RTkKI{W! z87}u*<KH$6h9)l`_t86gY=c|B<4!trp$q+5`y+i%Zi0?@60@OJ`tn8HP}_Ls8-d@? z#s<*uXwv#Pt(IE)p<3Hi%+D>TOC}9x2A@f80~F@Fd5j~6z68+eMLr>1TI)G5(dx=w z3^amJs;jxW*>jcXwYAmNiZ}}bhp;)(u9JDVTv(WvDc_(*$5?_fK)O!ks6X|QhKW$H zbREb+CrktV==`>EgJ4Wuak$75@I`$LqVWFQhe%wotQj@htgaL+SDjHYOh0v_%C(`_ znmECkF4C|O3Rb%fIp~ggpdXIkwuPe%s-He5S~F&s4?OH};g*9ax1KNd4&OFms772B z6qB+GHs#e_riGE+3JGP)ZpMt$z@u<o6{niijR<e@oF)#p{otC82>LnG(|-q)4?&b8 zE)jb-43rn&wG+oHZ*Y%WmxxXIW0`l@FuUuN$%fj98P|(P!8RaH6{a5%ZZ`C%g?Rf= z2@aYIPB@f;!w9h5Dq=-TI+O{<d7S$Y3*!==#}5DDe(VzwbH7uBSqMT!(@Jj`K`st% zVbXX*?rMVmph3d(Y783tH@f!KYYh)b@fiZo>>D;uPT)9pF<2*{3qvnODOGs63FFEB zNLmHpQ8e_53s&=x{@F*8(dp!ZHM0FMlYvOeKhq;4LsYIMjHYg@5)58V6wMidSi&v% z7%JRG=E9}wBlUw&)Q&<!k(e4WBSZ0aw%_yHrZCNjZW$2CR+mD<wZN)+sA!sa62UmB z0P9wv`BVgqD7HQIjrA(92|X|<X3H+*#97Rergfp^DQThJ%Svx2+`b^f%GiEjpS<_b zMlb(M-4z~xZksS*MpWz?foMle1tntqYMZb9^;Ll!tKtM<21vtfC|Csc<e*@{1mFP^ zAOT}8E5k)P0Va@wXwR$|Om#c+A@mX~YY}rPQ}+fwXhEaMsuGP|vFQVZyKD`!9~Cym zGLCC5jKJC;fq#+sy?OdyUrRN8WBt{__RhlnD)@ap!hRga-E>DQ*yL(O^THG#0&^>2 zX<a=Kr3uChRIF)BZ?OCj!Qk$0PQE#|G!RnEwThbrdMaCxZ*EIi^mhE$rI5H~_hWg9 z5`&B(^9AB#r{p#9^U?{_R@t9ZC^Pmn;bqvY)$x60GRhd{;rmJ)_<0paoMEGhJZ^gi zmG16fy#uh3UU8}+gNX3nRGJ3@hZWjLcD$rMTNF8qFPGuvpANf8Z>8@AT=XYj_}V}@ zKU?P(4?z=MoI$5Gk~&1q(rs;&@g%X|;dIRNliP-Vd}gHl6TTxqUZ1d-{@`_+=WHo= z@A4z%QHiGM9s2v$=Aqx8M>&IRY6m<~>|#4Kb2>!Cbj)*slCYKgSEa}49!$ktrBZ)| z1PXnn^OP5xD`%uO?ki?;a2OYYo!+4l(8$1*!y(5sVuqdJ^|?`X=8s^;$z5L}(9DXO z!=eesltJU&z_R8{XzBzK!C+~brSNy)3MOa$8RDPsgTkghJoRNU7EfM~RCo~6K%f%E zEN%(YRhi&kn8LBD>ng)A$v=IlrUZ&`abjRhV4#kK8N!$45S>t;2>x-4k84b`V5L<9 zTDBoa^(%_rW0EsXMq_tuCXYi)m2msrfC=3ItbR;f@OLvN86z`Q<SC#@JV28kYG(04 zdPYmTCy^V#v|p%uQha_j<?!n#Dp$ZfoR=|&A!7yeQFG$+Nx+o}T!nMu{=e%JsLp`F zq74`<45SjXPSf@V89KV8M^JU>^_WlS^tL$2t8nf?)SQOJmT@Rwm|R)-+2Mb_s3qo= z!1NOmxyD2aV~xClT3nNYhV8bt;nDoFi^V`?viZJ8U^Ifsxlg<3Xz*vVqC2E$f`a+b z_;9f7j@tFRv4C@XW$?`F%?n&M5p-^!gvQ<s*v!`%RIa;}YQ5AC@Yebf1E?sMY#ZYK zicJYrrTVh(G?=JjM`jdx|D;q4)Y-fQ=twm!I$eKf@yq>-Z$cPqrU9q1y-c76&n<}) zd4ty2wjDFuSqg!8XuVA6(B*97Rd}oeO(Fm^$z2K%`gP}@Q|kPuENQO0^nt={-B*C3 zLhU_7Zhg{99HQQ8bZ1Ns(;q<O3h><{0nE)f*GgZoeo8etJ(^q!u@x=8NT0{8a6hsB zJ(Dr2JQ;6oFkB<eg0X_>#|FxjKzlfeWCeNFh8E0N)17vYKi!R&cks_|lo!{=mKX2S zHaQ6{wc=Zg>h(<DYJpsvi=d)@M6#aV3lTEq{kdFgH1jYnS!yRACw_BIWvRaHzy%oN zS)*}xI<ZCkf`D2!E^Pxd3`2xOTnrr`|MTsL?4{klRjCqg6I_(~cm*IA#GECKKo}FP zBZh0}0AEmjndwiven5!==zv<2Q!c+FEvKYP5G6H(n;^&9enqfgoIFTnyw#_pm4p*+ z6c%_qaf??B4w?*3SaxZhSW1gt!8FO^;k1A1EV5CP+tT-x;mV$9eduV-;RfI-nlqnv znb0(Mq~Rj}Sg$2LW`ZRYE8&bI<%wCxTd5bsSY(VqPCwb>vc_9ZKNG6rm4|#mBu=x< zM^}K0WVBvWKdwKWz|=VYlvbFO**dP4q5if56C&5lvz9vAa#{%#U=u8a8MgJf9f5_i z1Cv~b$z(goa;tTO9i-=vT4Yi1N^5gBZy`%$ob}plM{DeVGF$?aVo^9~Bsd}c+MZWp zw(ZPMy0qkcJ%{3*%s~XjG<BhDHWx?DKO?`ZsxOmlq4RvDNu51(>S^iD+03<^H8w*& zTl{nU7TIrs4|9Ojnwshp9M+h*Q_a={M%i$voOb!@UPMUarO*Llz%)FCxYtUjmgG3? z1Nyo-tubq-mLtm*cKn*09z3|0Q0anZ_h&v?2&2K{O^fclZ*t<F^R;bNve6%&D2J3n z1{|{{o4sU=j!W%Yne*&!01<5TbL@I%LJ?t&>^{s)yncU{<usSEsK{xbV@CqmBxlX8 zn%qvvN~?eA!UifGP%0lrMuiCJx)3Vq@?Qm(uNBb#R{;Y9@;IBHsExi#%u3<`XN|D6 z=!0SvD;h8VjA*_H8Wm#tD{Z@1+Dhz2iy4a<<qD?i^VFApjt0VWM1bi{H6sE7u%W?+ zO^ceBuW$oQaK8fKU(4h~cft<=&3sBkFg#(S-#`B8Dr3<Va1%fmk|mN={Eyu~*7N*x z`sUI#oHZzFX+87h3e4H#t$Um`q|&pAaHy>AF3VhRhCPVNap}>ha*uyf{ea7{GXlR> zV*gr6O^iJ3SW%Ls4WLuSlSo2U;W=MLxR!OGj@l*J{8TOLHP2GW$g8TXfgYrI;yzDZ z&wHH2YZKSzUwYFM1J1MC0H#ZubE5glKFGf~YbNVLIH>~s1YCY8KAw5)IcaRS6RFKt z(iD~plqK5jojV%E*r+|cH_%Z(IFvqy6_PUoP67Ud0&vAM89be_=)Wt7lw-FM(FwmV zWdur#X`;T$&^9QF_V`pliKa=R3<tdhtInmpDhrM4%wF6^-(&o>xv7sqx&#n8CJyP0 zA2#}H6R+CRCvvSWfcCV36Lg(gl}w^+#>;P7c-a`-P$T4FtHxW8#x65`Hm{a;894L* zA#@}m5;NN7)36Z9j{m3J+W(Ym|Ek<>K)KcB3e@iVK4Uie3ja|D5xFvzLVGBPWSPLP zrjz>$&vbr;lLGMOa)rXWS4+ByeO0TzC|t_ZoTw=w8r5wEU>Mp!=g+Z=<t#!sKEZ=j zpoknN9ooDa>M7T&q59eOG-M$j*8&b8=d}&E24eJW^ci18ZDC@l*wfHVB5?c<&h`pF z0pPKSum*M?8U|k*%lALKHhirDEv^%O5%69T|JlXFtC2cjqw#XjikiZqQ7yg#wS!)P zO0Tx3T?ZJc`Fsh8&m(u-MqlGUNo@hED};_F*nME{d!>$;0hoeAHDSwSGpVEzEPfFS zL@)gS;2n+Um6?9q9`-MY_Er*bk;Z_7aDiEhRaE#1^C*cE7l<XbRgq|SbQDwt7=z7= zm~_~eynk<#Iy)1SI`t!xIy1Y076)XUIP|RGtdWw|fBitkfLt9T58{boRNDvILK^?z z)3Gp->ouT#Q=qZ9UbUY!#6<&`LC>q2{uU+mY?f2GjlFiXOpDi!W<B@G0uK$|8kl&5 zz1q$O;959qgkGC$l_X{Ul$t?qoqa8Js<|`^2IxL^;4K0PI4C{f>Kt2@Fq*zvuU+#R zSV`BsU0p1vst3@X?ADkWWDdzdw+wcoK;xyE7Bv+_qZ)c`+eJ2yZ%2v_!LJHxl`(=n zDPrMz$aDfM=PpLe3cWnEpuv0+CawdlioyW!A2bmmW20a6>Qq`LU-@?^O6s(bp^jv? zUIVNcmNOqPA~ZB!yjfAJAAl_b9NFkoPP775w7gc)9V-tjP$(cD!|^IWYWk}HC1BMG z5;XXIz_En>@4g~2pRqVuKG47jC^J?b<oBOBr2J<NxM;lEbE4Gy0PR2e_sUh_Yae#A z1bmyFz-L3g^-fWAuvwH*1ERZeIb?PYCPki*jb#2|Uv-@k$_fQXuzvB|l{ZCFzA;Wb zg$ojnGLl%!glbfe@0myA>yV|X9=5qc=CzEaj$!*{jm+C;D1@4CiI`_8Px7&9NOi+0 zqIy~*3Ks*VqpynWL$KrmRb#0jDG14(J+|sP8Yuug|More?5<q~09SrXK?)7VlG;g_ zDg38P<RF<uCci72V<A{kWf?V3O#{$HNhOtb^zCX~w@oyzWs0SP4X5<0SUEVo!208m zA_uTjGHR)QkS=}<t08A(o;gF&rFdjNP^v>OL-}sl91tV6k#8qa*S4ANyLg7PWRgk# z_y<T_MllOp^gOlo_tYoE_Ql|joAX`YD><NhUO!x~T7G|j!w`aO=&l+zhCb@nCx%eV zUp~O~_QrK%^Fy457oF=WI`!moL3oqb;chkwjoT)=M!RXaondp|BJz5@L|E41^W00h zS9CCkBSbaM37w&SLyX#MSz^E4Djc@$RJBI;_jJFJz_r^HdUCr<IQ{RYelRzFUnh@h z#Z3DgI^j^a`g9Oqde3&>=7d_<Iq{cWzqh!&JNY0PlKFmizhGdBijHu*TrglPa9uxw z9`L)Zp9+21?A_Z_wpa=6a_L<+0n0<@QxPE|j4t~!)Nqn7y8>^ud~$>3iG3D`8b{Ah zRZZJSDzks03;k}9Ap859E%M1Ly$?n}ad)d~B`AWh=lG2ctsdkl&O2>uWv<pF_0sv4 zj7U?PtA=htq-?#iRZ@gS;E6+tKv@rISPlh?*NhxAY%=-07R!d*eI7I(rio}FK`dj^ z@_`F``8Mf3SNd^#mAzB^DdDsot)gm4yWkjg%@{;$SDY7on$I{t)sMb{IV|=b#A_vx zIsoI#`t-y8I~x0V3whk!b;EU0bwF#^JXnshYkk8)0`;qtY^?u#^*@nl73-1GzOlX= zuao!$`L~X;TXAS|>!pdtx|_}9VGi5_Zehaoz7swRt!V?eL`Mr-R`1Q*EhUKYon*H@ zqse8LB^vkTl&qC3xgR)2>}J=9Lt9$As3vFqjvz~Bz=F|Ef;jrn;)r_16X5pb$Ai|C zu>ueTj0|-hZ^MMH;8C!Lqq5v{U(x|s8wq<kc`wNe=3NAUMt4EtS!5{pK>d#}O#*JJ z`j9x)yCHR=BOiZ4qk`Pwv^C7(ckk6ttluZ;N>K{M*R_&|xj02w#G+M*nM;7ij7w^X zHV98fPUP+e5mC06ZOLJ?m?X_P<vc|k8!%h6n=xCIflIm>Gctv0rmayJua9k3oL5>m zqQ?~_kw6+0fdAf}994oT#G*bF35Z5SLaAnW>S2IT=1+0nN4*G96O_b5B~ZXQ5fE^( z0ASUjNHI;Ak(U6q8f1Xlj5sfiUPO;2N@BV=C}21fpf(4<`1o3HPVK|2$RbZzt>mJu zMNovRMi~N2Wu6k(J+|1?j(mcDXJxu~^51?$E4*oDsAFQSG1E<q9;G5?1VRESp|aJJ zHCziXHvuBeqw-s#XG?@A^!+>Q7+4@MG_ga+7dyQm9>wF@%M5B9_d~NZ@$X=dI79uk z*n-odm=KezDp@iKI8qKhv(Ndhk_FBRNypE|-$#9@LUh?L)Z;*mnl0}5q%*|})`CQH zg3WRPqNy~+GCn;K%BQS`Z;FRfUFO@2?(xl473vkIE!PZD#keEp`n!CVFGmcS#zEw_ zCBpXj9+FW~koN0t@(EN56TH-SGy1e{MKac<OUj6(3Hl~LI_&<PMK}EOk{PXQ*gWCn z{-&V$;W4@#T$mX!$^a7@EFj3E0*8V9!*(Gva(>||aeiSy<(M?=S70Z7_1|>)$K-VB zV|qo}8?ZIbG4&%8F$E+H5=v5V1HU*ex;GAll9k{fUxAefGLbj0vh-L(%sfJBa_0b^ z`dxj-e1Idx4Hb8i@4NT1La{9!JlqG@ohE&T=H#efk<>xIn}Fr3rlG6<ll%re>3*OQ zTQ#TQRM+X)H1@JQTo^NWYgrKv8Vf92MBy;TMr`@c0MBfsp4JW=KkS^`8E*iDn3dF3 zw%=5gHPooG&e)idRB%=^|3<2zy&yp&K%f0&A%F&dJ11&Rh9>x%x@hk{bsj-Gxl=zf zxidTkh@%TtR2h&}l(~k?&)o~((G+AG-zlUAyc<%vO=F8c*v$As3xv|km?UEh*0T&x zWcR~-Des0hs|^9vn!8KvC^Ts+jje5eb435`=dAP7L#T&~R+lL`>H%<%O@Jo_B0H1{ z0ms%h<gm2Nk`sGCgeocY{t!pVszax&wJiZBSKnS?SMGYi(l}?8?~bCsn)s1={JaR; zb|1I=o+VSB$c1+hdKg=E##NfGRc}&t!D=?iw9GoKz{Z=oLR3dMn7^)~Nze}8^fSs( zq8Ip*LNn~W3tlDh5|UQVf$ewrI(~&Ma0RC!IVA3>n58~`o*FT+GaP-UnH~s8Q$5KE zMxj5fzh6O@s;hpz9c<R%ks})d4hbdokyjh&qC_Z(%a-J%&bkrtet3nxz2fS;Hsp4O zqg`IiGS_tcsef}SkN=t2oTL_|AT#+d0ZE<xXI_PEcp5&weoNYe^qpU@lt3gmlhOZw zjsn|99`-zLTmT)Sf2Qh@5vxBZyPzo9!_(azI;qSuGl(lZtcZ`7zSjq8GFt8@#=m7> z3QbNw=%XM1xDCcrZ9i-HL{w#DlwR<Dp^7hCRD{;%@cb7sT(+TUesYyT2vGGI3)6Ie zD79~AeYi2p@1iyo+L_)^jl<nOyK9Ikm-gGQZ2g!rb;k{l)zD~8!H@27_{uEPi$4C5 zUbPo|VV(6oY(V@R2!NAvyPA^40}=3HAOen!ue@s){G0OIc-p6y?3_I8d+s~l?gWl% zA1(tfv4zadP{P)ZdVM{hI68jn{bs1pH`nH9i-O>H)gG#mH|WP^!NHHqhxu}j?$bEj z0=7g3zctY|T02<r@YKV+>h!*<W2_(C<}U8GhC8^#<pLs%0U`*%9@qjoEfQkQ!lGc` z!(aKr`~tQhBVur9EqbOj&u44eL-<EtIti0PMh`GlVZd(OENqNr+-)!vp|-PrfD8ZD zHK*OXDZMDKXaV*K$c%jgGGmAN!iapfpf(crGS^<Qxpv#KN0h(lqpowCDZcf>?q*@b zEaR60p$NGE1x}eQ;x=N<t#85d>u#zTA4xQsjR8OR7w~g%Z<ThptAM1YzWURkibK|7 zbA^+!meHTN<13ny6cZ|Y#;R_nx}nOCHDQa)`gHviS<r=r`c>y2^Y!-|TXl{Q#6K5f zvZ*UBe7%itjl>$q0~upK>1(ybwfaPEBvfo0WRd&bmv~8(hH;%EVtn~hQ0SxN^haJ> zeG?4rKSxwiRcvWZsl?8TBq8Xg^fJvLX~h(9^;h}b!ztj%^|5?1r1|GMd6Bi(vefS$ z*O=u3mg1ZNbYhuEl4J2z<=-D%LzcxXy_T(iPgf?T@USYF7BxuZWUg#uHo)~Gnum9C z6H|F@>B{_8T?&I6K!-g6%B|K<trzfP&(e5=$7HUUVKl%E>jTJyTaZAxWy)&}8|U3x z*Y-f79HgrUy!s<uzip7c&RN0KXCrlBwv!p7>bTXvx^_+8TnPf~s$9@^a<fu`O|H74 z4%;pO4M(WTnOc@pu`_61vGHqOvD0YEn>*r0Z7!B1RW42_jA83;^kEWcr^61bYhb3^ zy#t*n_V%M|Tr~9JB<cPvbeqAr5_j@=I_;HUMv;U*g%-vug_?sH4Z4l4JMpyKTiT_( zvdq+-vn<4&4NT3Q4b0u0*ZRM#x5ig=oKlsx-1is$q<5NaZf*YNqvX@V@1<13qOG}r zmzJ8^X0P?PsZ>d!Dzim3nb^7OuYpva%y^A~mQ9Y;AlKkA;!8d$OIY}gtD9hDxWbmP zkReFt=hH+X*;gZCo?Rtao*gC7(ykJz^Kh2`dL$3E8_(vKz7}r{qPv^q&-E2rc5WWp z2KwvbRs?&zE2<lQC~kU?BmBe7!=^$E6g`Mf)fLgTt>mJ?hJK3vLcR?pml*C2un+oB zN@wl33|Gm1I%~5|I&^OM^C-jgQdp(y#Ao0I_TQx$))*vf49asEWr8Z9f=g~2L|7!l zoFqI6_T+UZ?P8u?D{=4T2gicr{ALrXx#!$Sj-EV+A&nmv+>D&c-vw+IB_6l4XbxXG z4ns<b=-f$`pvSz4NA0(o!;zhF4l+wwr|qqp8j{m)cja-|5R$uZ<Q;iu?KXJD{AU$t zT4wMIZWM>@!)TFl*eh{<^9i>3<B)X;`|?r6VWE6|x)c60VI!B;U1L?HM6u!6;>qyp zlXfi4@Ui^eFoT5Sb~A3x&;rfj5#Xb$PWHIh`qmF>ttE>`?2nGsS15u`*C$dUs7*B6 z238fVjt<vrQX&lonc#&cM_7Xs3k%(i!;evcLW`+AZ8ul!#5HO0yI*}D;BOblXx-Y4 zg)zd9_x`xm8+TKo&yS_`J^Mb)F01Fw4K;KKFI>V~FOChf6&VZ19o<|ZD^{hcnA>;x zGjvs_O%0d(J|v}UvYNcZKG{>S5D!q!>M4EHqy-U}u#)vRJ`!u2TLd_ga?cO%TLc6+ z%2ijzGlwRkotP<DC{c1Yft_A=rpq(bgEuupYtK6rC!!1%4sCd21B(u2yfm~j^bscG zZrGTjZd{o>ef67J7@2!{lvN-rX$#c4(}%QDd3r*RUW<EOKbarVswkT=vwj{17lxS? z1zvyYxg84my*=m`^1Fz6Pq~nUx@<Z(Y3^|Pepo6m<X7Wt)Q8aWnreop!f&W>ly=f_ zCqF%MAB^F-S!<otw2ZLV?R9usdm5wm?^_#uK2Aw&2q`!<cYbR}bs)+bQHrxp`qC0R zp`o`~45_*@O~G-jh=tc4@+Hs)bJtn)CHMUDPS!se&f*;!$bugl%A%4U&Jv7?=XprS zbdfhV{6-&2cv%Xz9?Hdy+iSqB_<B_hyutK0@M6<!@8q6!@8p4R-pSz)mo#K3J4$l? z*e>#BX5Z*zr5bFcO!XY4j!VDtZVuE_-P(ex%!Np4hJ5`M<8P?gnHQ7$=5<wwG>EcL z;%R^_O`{HD3JMMiRSIKORaKSjUkfG*pYs~V?QJ(r-!a!PWb)K74UhkTWpi;<uipPo z$TD7^U*My`<_3F`lWmrh>`@JIhjJ;iT-GG}>7M=)*5>m8G3E${UMlAfsp{OhJAOQ^ zEv+M0o@84d;X~x>=y^k%1`NNXj~$t`^%J4gt-zSe3?zM<P-q)QLN$O)u3fdQt+lt$ z`f=4hH7*yjC$KH&I?~PcI?fI0I?7G<+R;{-FRZA#vod2e&u_q&ppyrDU<s&m08mc| zpq~2W1m4a;U--@;U#iC;UqQv_oBMR46FGDFYTD3|hFmZW7kH^8G&c`dNBfIe_KkLd zzlpY18Z{d?uy=?C*gM1z>>Xm5q_|W99uU6ZjgH4c6JfC@Zq)pO*Cq?E5UZCs904iT z3e{ikx>K9+Lnx<!DuYcA?@1v9Pg8PaT!qN}5-8i=rsS}9%#u5~q2BEd<ifdnvLFej zQ#rHx&|>z8GvYY(YnJ0uu4!=sL|r7AAQY@a8*+PHAa^#2w^P&>N;NYku6}4oZg-t8 zAd@fD6)hj|)c{F?r;{Vn3w$x1iYROhrVs;7*(LO06BS>k!)qb0BOntvL+uNm-xidO zm{q+<9TK8!^E!|-d?c^)c#0RF(!0HM?G^VoY)+tJ(UX0b2IPV?&_*V=+f_cs5pn4p zjn0>MSd$YkF-vZRh03lsV`79e4GgoO$eFi=7S|7p&n_!`jO!8V^q4PSk<lrYo`3<k znFL?Bh5~8q=`>%E2f&RWQE>z3Rd^Zd4?)3frSUgR?LHIa&c;k0e=-$NA*C+He{6f$ z@&seLebAaP!?yAI*k^%l4OsoE*pX_f0fI5Dm}C}ARFMrpx{DV`cfoG(5u+R$&9i0O zlA|UAi?+s4B*g~I$O^nd4mFfZ_6_l*cG*05E>u=sS)zl;=(MA(CDcbYdxG2|MveXp zCZmi2@kbnQT}e2yXuE^~5K(dc7eu=lT4<+F&WHUs06)W#k<!8Nw+2Z4$|&1FOTm6* zlH6$p8qJDa7}#F{iXPzvP<}v0YU5XjCQqHkwHYA4)T{jHz-rc+9M%D7<@8W*UT1RQ zI9*xLPax0s7l7+|9v~5y2*|`{x_MgJ&&QN7Ci9A$<dJp*iN*huFs=^<62>w9OH^<i zJax%45m_yZB9v!l5YKYEE&2-~1b>9?B2jf{|IC9-ux1UB%3CH$QxUC<K)X$HU^OMX zkg_PVVnGi3wPN%-`tlaY=vx=EJX!P-3jjC)HN6Xg-mkV>X8(()s}76m`T7b-NQbbr zAl)FHN=lb>w=_sfN_UBrlz`+?OD^5rlF|**-N-xN-yiQj&+b0w-ZL}j&N)-(eC`ar z2GOL<A5$s>Z@|Z_QV;kvOW4ZeKK~I%VpC1}OXO{sA0RhY)EF!}C;%KF;u-~QncfM= zctoF8*M!}0in0Cw(~(NjUk|S=u#&R|WlNEFPlg#;P$4jbJZ**IK%S}~0G=!uUV+`# zDUOs3^7U|c05B&-0B#U)i~lA10B{gD$t4PHl`bNf!lildU)mN275xp#Q8xSGbWw{_ zD_V;a@h>Lg*@by+K%|1Qropa=8bp$urcA<5j)yLFB?~M!%+M1&C8Y|iS_3|sdu{In z%t00|%0%1VfYX@xM`{ZyrUYsPbiciGs{g`}?_bUq1#ytiVWO)tI{_GlGP(3vMZNXU zGa(>qq9E#Qz~yVDHafB;R>_~F&ZdEse-0E8v0FaHi!1NF7Dt)+BhD`f+zT>$99~sN za){XXz`oC~McMDTkviF{F#U2(1u~FB9gY93(b~jo<8FM|`1Y^Q!X2eg+=BoiY7sf2 z#~~<;)*&b^-vRhR^pPJ8@<kjFyBM{UUmzZcM@y`Kj(!v4QocOn7%cWTR(+{&2{~c| zB$)(B-hw1n2FQ=wmt`OcFGy0|8vsG+1K7d#9DpHQgM{gl0Qe&Rv0&j{=Gnqh3sq&8 zef->CUeCEL-kZ5YUK-e}#rSa*<t8r&%fEoU!ay_+&FCOA%V-H>>1YX4ujsC>8i))@ zq5jUtC!r=OF((ov!bVKI6*wlq@nDK>H(|;SyAWSgAPzf|Lh72l<cRSA^wC(GbVDV= zC0c@ZoD(ZzniC5fYD#9E;g;$4IE1>e_()qh4WwA-E$V?UtePb*#CJ=lynmwZa;gu= zsfQK{`_2gU@k1!u_T8Oaql+beN<>TK`bKw2GyzeF^sNk@NTk?3{LwC4Nol-*B#lj& zqKyrhvaW7G5@TUE9`z?kFa9j?`K1o!-#vMw>`PoutXNS_tN;@rP7V-9;y-a*J;HPm z;X)sc0~cO%F591QjM|@Y&e(r2jb4cF4DVHvAn5{iyFmMB5H>Dm@_TH0R@QgP)pbkd zzrS#2r<yqDq8>X1GCX^;y^|B_f>`cycsc1~6RYnMc*0Tp_}!zynv1R+2cW5PpecjJ zPy)dwOlu4m1HL;VD~hHc#r~e#3D64lyGG(|L8Feh6+pIFDj;VECl-%yAOF-3rUF*$ z3@5-p>rT;lFTWbF@Oom@ZS(@aaRTJ33UYn3gv<lfVU6JoxI6;c;2>AF-DYUT<XvL} z;f67sVq+B!u&r?7jd=L0jT0v!fFpIDb<6XA^EacZ4QUjp(`peJn`jA{GG#H8hOG&c zQQw*LH)Id)5J-v8yir7TBhcOy+d319gw?|jaqs5mZ;f&W*xQYGemsyLH^@(_&kg?p zX<Y;;h0L9l#=Q}f!o2}g)E$_|fitPv+&=Fw*q8;XU%;%rG5^t?Z9zqPYwUfHdl4C9 zZ^wjKFBQk@UMfzG-Zc|XVC_TY`_f`L!fQwD0f`Pl-Hi1eT^jWrGRl1hq5JgTTR=5> zfoi;PCcbz(N?-o^^6hA0`F`mBzU4;%6n2dc+DJ2-E+9u3Gb6)eHzlK(@9j?LwFXa| z9VqH@of0_-G_xs6OCj9=K-!GE&#tMyL3Vk6arE1NFasNEX6LyBTTX7c)7{;-lmj{1 zfCk|X8iXlm5DuV0d;?Z!r9T_v_q%a%$q0cNwBaPsAUpn(+S=f_oGJp-LE>fNVkkG= zQ~QIKok@_P61mgo*heKcj^}J_oD&1S3hrk#nu2hp{WyMsK394o$i^m~dd6ZG4gIzA z_=LY;kU^Xn!`&f{qo%4RH0lL=p-LWb3bl}-?;XC<HgK%yuD`tUO4W3%h=W~T(Q%e9 zFB5D}Eu{A@-g!019q%1l%&9rD_f2?tzi5TlYnl4Dv`}14FYKGCx3tLGmDg*rF76#F zZrJ#?PzT6gUUBIM-)atsJMLQ0?Yz+m<|TDR5I{M1qnMAs^(rptTX}2ruc-K6{ka>; z%kps~Uh3tZ${Y%|Shq_jw9>LLc~i_`|0_8-|8`$G(soZejU||&4o89+DlwR$N`o0{ zF_@wD%KS@G2JTa65mPa%(yHWU)Nh?mrx3cW5oeyWkWE3gkS(xjq(#8L4x7?SiKI!% zWuCc`0yscyp!@#Whq@}fId>rJ9O0%UzpWP&D4!!nXRfdb86cdg(cocQ&{kyDk86%* z7;0sBDygYzhBqSdQJ0Mf>Jp^*1<3Pi8!Y2NNzxDn(Whmn9s8l3_Cp=}7);H2S4@K? zKT@>T3R+&;t7v36n2&1lXuNkM8r8B{bhOnsV(*&)byzpGzzl0xHpEWfJG_L!mJO45 zW*5>skG#AmZ(3UolPG<(9ND|pyu1alrWcr<Dwho-5HGJv9)2zxQhM(nDw-;{v@n)d z)bqs{Twazu7+bZpU<gs~3mx(}-0o95p8O7dVD&dQb2$k+BFs<w>#ihm{w(2M7Qtl+ zIrK|{$0tclG?pl54wg7)02OyeU5pTZ9W6-X(8n*VALo1n8uy2FOMrB@1xR-aNv@V~ z30lFUBbDkdiR<@M87B<A9jE-gR3|jORHxj%Yil~uU47>eng5ywV@=Fo825Zbg=@gy zBc`cw2g(iO-Br<WNpLD@mw0_gA9Xzy7@s6w$^&CgmR^33&uPA#`5U%|9T_RdEYHMj zD}Uigci<(aZwWX5F2F`&5r8hOv)-(F<uuB0&u{xZ5uU*gO=AmSmQGt6zGv`iD%a2R zPyG9Xkwbs%c;Xklf#gA22lMg)>#pe(ZISCm=)y4{ix)i&jT1*M2U#QoS=gIM-7pz~ zh$Y;fu7vRQjZc!Y>)MjCYo3y_8^M22V}%N&6xrwjp1e4`(^)VVRX>ISPehF46}AX< zWZV%hZG;0ZZAdvTZ44VFBnAg=OQDZBGrFy0<Kzx9Cw~+9E-ajSLNwPCP9%^V=Z>q4 zXa7d-B{&i@|8!9pA8#d{Fs;2hyz_)R*GV{n0U-_q5%a>=*Gwc&PhaQKIIm!gcTuRT z45TsAz{UvF<{V#Ill8}OUACFljF7sfnN%`5VQ{?&2q<_x(MKSR!TEwxV#V>})NA&l z591vdHIg#wpMp%Ji)eRkAaan<bc8-sbsPxrzvKb`%QN*8&R*17$*}n)z=;hwVbVqU zyA}{R;@&ibK2PAOF@A}Muh`K=133Q>406)?V_N6r3KaZ^G+ZY=WS&0sUYv`+n5+zo zAticjkGDzRa4_5OWStnn_=$N({HPL2)JtK3;Tt0|$bXj}*p8qcuWkL3LNhHeZdy8V z&^2*BEi3tx<4gOKGOC)A-XGVO(2%#{M^yd@M1g!*GUlP@F=8w>A74WU(x-@(5*r)Y zfnyyPIMyZiD9Ym-<DkH?&I26lg2jc-L3_xtF5eB7x*Wa<cCo7Mz!mpwmfn+VuCma| zXXKb;7c%_m<CFv2@z6r`1Zbi6Up73VmP-<+UGIK6`#szJ<7)ru-R^*ErYfPf<9AwK zhy^xjOjVp>&S^%P3?;FQU4*xE114`9<;zN>>n`n)9KB8y74==sJeJB426;QaK?-+> z1*K5ebL46($F2!H<!ID-V=4Z2wF``e>0yB-2A5%uL4>z4RoyIk2PSo@SDbweDP|6z zC{PQAzl8p@9KKzndx39BB%w-#AlUX~IMHMxrI?b^g{e`!rJlElJlToKE>Y-YY*X^k z%izMoJ%|t$UDa(0@`_Nsf^n0%a>$Beqx{<Lw*q1&huEsT-8{2v(6Jnuxb1REGx@H% z4YB_ILK<QbF(Lh@3zBzWR;sFG>eEVADo{Xd;a2RU?Xmr1x=dg@6`||kfx;#xp`AZ( zn6rZc9fNNWFEP3*Y(V-?A3<J5_ms~w!ACjcP)3g7hsvYUDKxZ;2!_1^VpaY|NrHfX zxKgI?Bu_awa&I66s^1`Fs%j8C)!z^s-oU(UYt{3o%J5DO&Ri$ESP(F$j_mFYUpJAE zJcWto(!khqe^v74{(|+|1;5jp%$?y_ktPTQZ*G`rNaAXPaJi-=0d_TLbX%a&S%5~D z4;me<<r|vDG{V|Y9Wqr2p%ej6EfB&HWE==G_LDs2WY4AHoW&TI@K8UQ=py*GYyFb( zf-8jE%U|1r*@3X}6+OpaL~wlLGKyPq2o*g?+SGJO;FLgULSws%S_(vbj{-Tdkt7Nj z+B-F66A;0f$lgQdsa197QM*qNl%NC%9mPEa-{P*4R}fnH=t6Vs4F;VhR0e?v&hHtW zpX8Lxrcm7K^=P3A_LnFP#e#{^r>(mKW!s>yR^-$f312Swd2DBmMlxGkARu6>Fi z2KsXkMP%tH<<Lx<$I2UqEiph9{<ATjL5ezHW9h)g(&oj1jjceoc;_Hkl?3OV+7>pp z(Oi9Wz#Kam<V%4$&JbHr#>IRVa4^pnd|{DPyu^(JeqQo1_D>NKM>gVcTn05NutJLz z?1P<uC8yedUs!dAuUVv$_A;q2IDQv6f>;DJfu1%C^t3As_ntUdf*>U%HaXQ1u$sV2 zxoTx@zor-4`YKWIP0rrA<pIw-1G+HJAl?|TmK|U%=z019b+`BLs)uXEz`pR^3VEe7 z`;?&wY#sF`rR9%Tx6LJKtye;zpjc_0+(b~&e9>6ndyq=vmclWa7#)fsBVWorXz#?o z*p;73(QCuvWA$C2m2c4I3uvRUBo^3x(N%F9(hX$v(Vt%^ZHEQ2@%1rEsF-cMgGY4C zX`3*3*|Chp#YE@!O0#c#V1*4c)OVyg$$U4lhCpG?GYl>nZwC=}K%;z-cd(YLdgVO8 zptbrLj@zNFW6G~<3IhjeGp|*VFl%*fu@5;P2GvIzV$KlZUpUI5Fh`wE-(hzo8BHbE zIQ-_mX_?=f|IKbQy*4<62fu=4a=G40`qTD_<L~ZwVm#`n=Cy%C>zzy@M%fNb;?eIR z2c5DQ&T9mrjZP$8qOfa58Lhrirb6PQPK-DD+N^p8+Q#3}p;Be&P@Zyhs6t;zA_N4I zgdgStCgBHgr5B9?dzw)>%(0ErgS#bp4iQJO)qiusg6?+z{*=7{aT+fWr|}h?I4&Jk zz06*P1^Dq?mc_AzuXW1Y-TQ<Ty_699z2X{$NBGgRZxC|y4aKZ+km|xgLF>yHASX4r zG`5Vr_{yO^#ugaZf0-IeKI62ck86llafSA|CW&hZfXy@an6kBryVM)yic84e9dG|- ziqj?TqG*&;*7%bx-Pqd#BK2|2ffo&bWsV3kemwGaJAExx&Q3MlRO<L5@JRwAtpDyp z=dV1t`Mrzx*EgyvQD3>cc%c_oo8F|R{Ngi(V=|7P>5XRV7eXPi375Aetw)<mvIZ;8 z;O_gGl#iE}G#+w)@08|ox)`fkzn4^yHmK{)dd!DHM&mE@HGD-xUnY;bNsfHKv$aFh z?-zFBv<Qu&M2<tC{)}+{!JsVhL*~Y_VssznJv<cIr7kM9Yv)sSIpuW(5NXYtn&iJ` zoWLYYDe5X=*<{g(krNw@7wofEBf5#5qE!OH$;4kr8%V|U&KXnc{L*`1AF9Up#-!7j zOwPb@k;fH!Tx>&Ar#|}wAVm($=xOO;>EG1nBnGVQZciSmH_acTRonPi@A^WRx-iAJ z;Ic&s^`cmmO3;pbAxCwtE^@hTxcmUZ^-GJ#ikV|@0Z~p<i;WgtnCbgvo1LG+0ERL{ z+6E)Izzi<<`6>-yurs9P9nuH0jF+p-I9A`7gkD{pAgT|pY5Nn|yyE*rV+o8)gV zW(WrFM)YkN(@e-|T}lhh1299X{JbZn<t*nU2guSHb&Tb6N}pu+G{FVw0Wv6qj<F25 z(6FlnE=UcKH35z>`iY5>uu(&CjRumO&j<7$0}x+?ZFn_P{xVOpQ03|~kt3WlWZ3_? z1B#WD+fJ1nKuAQl5Lqr%;p+MbXz2lj$^U38Kq~-RY5<}7e>9N-iGJL*#rI*@_irzf z2?o8I*$d1=r2rlO?L{l&_e)QscLNybjA>>RwCKaEfX<c}uxVDWYD8w#Syjm??Yn<3 zrwPTA)07DN_TuUl$ly5Nyp|@<oM#!xt<|xlW3()TmSm#T(LY0U?J52$Rm<rSO=Q`F zP%_7#6j6vF%}j_EYFDB%v*F83`_?YUoX1hUs{Jf5d7nz4?PrXaB-`tcv5u%3#wGzJ zVH?!q7TO9$Wb%Nv2J|`?pp}Zqm;r48=rts93x1t(D=!?1Hy;BwUX)M-uHcpo2BIZx zpys^HU{JX{5t1sxC<HVHpjlNe$Ax5yFm?cqkn>WXI}2_5iBL~3H*8=T`|$f(dC+Hl z50wBc%0ERE;>u<BdkIscFm@S$W!kmN_iFuPAyS^@fhEMy=YsOe(<I+ZzrI#Fzwa8q zua$||{Q&yelzDoi6@aK*0f>rurs%@QsZZ{**=hPcak&6PA12BxxOKkQGW_r-MhZYU zWd*%ltXC7M5Oz`t4Z|8doqInmcp~v=+pD8%P%g?fHg;JhkV9E$)>o(`uc(1eb}RX0 zTGP|XHBELmbvSY9b6gts;!-%~bk|D|oDmr0<=*4z3eHRG!+S5nXDZy7m97+rvG->$ zS_b(t!*yok#pPlY;5`x&@lzQyv=Rotj8-B8KeNI(DGbIC3+Z1?xwI22GZpRBRA;%W zj4eUp%6CTTW93C%h?m{<Tv=1}W!BSFo#&>RvWQ=;2<#68yRi1=?KiAnm`nG(w-N^T zdUcd7Ki0U-Boe<e$jllpnxov9xXf_t1vGi)rMa|}cOm^-rmO!1-N`rw$<F^PByAGh z_x>OK#Y!Yi6|8ph_P=a4ZoW+!<DG4xcDdAdC6@)<gj!HZO8^!5FaH!X@Q6y~@o$OF z@wK|%&YroY(7G@>dXO`?&{Dche`|<0g0nUzyO$$cux%_kM3rx)?!QZmf>%S6hj|uz zEC%#Dg_Z~nhS0QF($H^`7jkVIY<$#z3f_$SzmlIyPNsL7=+{&%(Y&Jk>z}GL5m_(- zEt&qeU`B?qAo{zaDu=9Ws$>Ogdj2Wzgy`*AyoLD6K_^DKC|4t0bajVgbTx-v<pnl+ zr_u3G40OBaRj>a!>Zh9Iu<|EI`KxAxn7~-Y3pR|_e`3hnKR<i@&jJKo775A5dfKQ* zJ1c(^Qq7)L05sop-7_hir+R>iSRu^l?`Z?Ei^@^Lobgi+?yNVd-*bXadw$_0y?;0~ z&@Q_ct3#r9IjxVW=4h@2J5xN2k$=xgXMM*W?jMi-7NhpX&el35o|Os2Zr?<?&wrV) zt#CukD)0S`75VqIZx)8b*vmtlSfR|x1DudXt;6`WAGCGD?JY+?G5A=Vx55rbASe=r zaer3>-wO@mec`Ya(i_#X?00uo2`#6bblyTacq_kodpf@a?&*8aQAsGv+og5P54|V$ z(xV>3o(A5#(AMPDz<~KNEaE#o(;<w>=U9Ur<9J$r@ym4=U;LtDEA??YaLDb$0K7}9 zic(T^<~?z*&ia{4LR_3Phe9RN&VE1rJXIHsyxqX-vjLF!H=Y(3(d`rjrHfnMNtXQ9 zRmeQmB!cyor0C>(VoRNMxh?8!@(truGc>mRH`%sf80Rdo{Gk3qeuge5dHuion_Z@4 z7`7}O`EHv{2k|CWG`vZxe2m3qjE)+4Ps^2@T;g3HEh-ZhO5bZ>ZFgcM8l;*fqoWVI znnVzTc}8QN0ogwJN8`*Oxl#bjvL&19tH=7$ZG)m{k`z<``z?2A<WV5N(Dl()3t4QA zb3SBRc)OFV3(P%&(dDCEO|bLG4%buHe<CE3eKgGl_>1kIqP+mcu>nvVFsvWFsd1N0 z&gV6<vg(g1Xh|799}q(IC_~$(f1sdcRN{ngfcKe(#gSsci#53Dr<F-qKQ@F&P%{bx zx&?siULKLs3cRmo4Se8{$krL${|rB>Pv`RTJC0nm6@FNqDFm-!pHH5=pvYmeejE<a z=-uxm%hcEYnTIa#>STg_XfP)uM5L5khF*BmIn8P<>~X=^huJr(AM*;l2+B^h?YY;9 zpscUG9*gendC)0J(cpxKZNMLb!j{qNE0g_F-{XJ<55Cih;X&>uukWIQtwlc7_X<UE zy(*}{Y2p3k?w!t3;z_oqmTxg-s^zCuR<K`y?u2l_mRRgL$M8MO&pCfGvHcFj`Wiv3 zZytm~;UE;+4nm>tK`1n6Ls1qZiZ7o-c=>y8_*``-jH!;AM?E^0uh`AJIl|1YS=Ly* zS(cXWlny&_T~U;-Fa2989tfS<fY2%Nf1y)#J1Lv;G-5|J=9alUS-ZGP&pE>VQy9-o z-sgL5<(H&pBGz^{&P!(UQH4wa4%t20kh`8?dkq^o5`ujb2-*G`1aBV~Lb9I#0m;Vc zXEyRYO6emEVOa!Ty<Wd}aXpy8afKRr+c`%tiqL;Bir8WpMF1m=B4PkW!SkXAL}{Zz zl$HuaX>UN3c4J*Bq&*dGGqw3vc&3Yk_ecdVZ-d3gp494;lWX)_cR0WNOT={+YmA4| zqijV>ma_DRnwn<K#|o|hBC(p+p@m|l_d8uLJ{bHKtKtl`s$&w!nu~9DA^o;{^9KEF zd?3`vwJwLIaZcr>fjvWv1L4Qacgm!uyB2?oZKk7Ez`T+~FNsXV?t^g)rYuV}750#Q zKLC5mndW2WR}O~$)_%-u+(CP;rYm($;yYcU^gyNfk&<LqI-JI70bU~!zBX#*>Pyzb zDucIcMuq3g+ASf5etO!D_a2Xrg(f7O@LTWG*qol*Lc*p_ma@cN`QDO-$XgLxT1iWD zN#s7xw|xgCC<ftnZgP!svI(lEzbdFL|Nk?Snz`W@2g@d6ef0OHbb0F{QFz~7aAL2F zHb(xk16}VDH9t6xEn+qCjYwn)R>(02x!l)TEZT0;Fub)giHOtD92(DK6*Zrmn8h)s zpp7R2PReKZjCmQQxEGK1?k3Aqa=Yt<Xm|YT`MU>G5HF$HXDu1J;aN(o;@E|Rc}?o_ z<0HlSyL-QHjNxM%3qIV!7o;-z5o;&0dNlAug{1YL>Sp|_Q#V+h#Ub#6DQaOS8_px4 zTBPd?-N+`wvX<~Kq`sxY_*K$QeZ{K6pKY4k8oB+DDfxK<@0DWKv((Mt_e}?Q>g5rA zQ=UYuMr7=3nttym=<pXGVs1zs*&2f18fLE6Xch8rO%p45T|T34_6pxH6zSxwpxCI? zQsy|V7tK6g_TMiyj3jN{yv4F?W&hIZt+P7KNa*DjDrG3rj#oipTC5dUISlR7KAOfa zJ=tTNxhY9mYpn3PEjP~x>~D0o<VD+gj8@Q`r|D(j>xpJ$rvqrCx0?i3WwefW4Q4v* zCbhMj4N8?)_3Otk1upSI?=g|yJRnCV_#t*Nv{AKxYNP6gv{7|xw^8*@taxIg_1>y~ z;9S<5B-n38JK;1ATzSVa<@hsolaE8;iWEWNlvG^dl9XEEoYYj|niOyNgj8+#VuriR z%MrncKXr=_XZVVOsvQ|fo(Ci!fcDKDOydt<?lFe`h4xjk)!=FF?*FumF>YvmS8C&f z;}(VFy+qwZQQu#=z=}M#MJRFL8inf)?}02VnFiWBaQ2v59jdap{ENRnDsxCMj?()z zcR)zY+tBljbjdUv(}A<os~%#Osi#mm!+K`DsN;<xpDDH};$Ew_SzZ5DqqWl6hH6`& z7WF(+H#$U#wS&4a*)+h7iAa~;x!M)#_B?w4X~EBG|GYuJ)2~9QvLC8Ge6ok}w#DnK zj-g1mT?K_ntX3T7ER;TVXIg#uT7$iZWM%BjlfmjV8c<W@U&BljnAQ&FAL#k3My(xY z*NRcCUBk?Z@fNShq}Dx)xwU(N<5g(0V26#-*>m^1O`Im5&%sNg0=@6DGmPVum0+_5 zRdlDH<{9_(v-Xkb%c7DjTY?e&5IZ#60!+hJ+AEhFQ7cCrQDHrf;gv&<;jjhA)twH< zRjW>*BKrTSD0}5y<%;9axxaiI89+t)Kt+q^q|}Soq^80rq<FyW)r8N0ik$ybk>>#) zj_@f^5irB`BCR;5ZfGArP!ZYL9wXKsQT<Q~V*?GFj3`jdm|wa`k_E0j|Gp|(c_V&- z=Mf>XA-v}qJE(XqQ1Q0)kc}8Ug_9Z90{A|`LW<KUD_(}jOg8+h-$))_hBLi_a|bJz zzH8L;@}?dfzD3jEbO+8}*80@dIz0vFo%%mPtqlxEh!H8YY>&N6IQlhc>mB##?g80h zE9R6`(3iPZ`gfTx&M*8^d|5R+XfrB52X1I8IS&sK$IfF7jTK|Q&8S~{wqwWNtwS2? z)EjD+QMZPKV$a_*uws3vVSE|~39nQ(f`8X={x)=G(E>}^I>VVMVcp(MPb(QzZ(m>e zLA>sJ98R0vJWF0T)wJtaUzV|&oTN@x`8ia*u1k%q$|N+Mmu$5PGYoFpg<C%F6m83! zvD%1P2saV%KkO0P!k-%X{BK@sY=w5{)^^3`-?r|kQ9<N6pG;fe)b`F31O155n)V~x z$7*SgLy!4()oD4%CLvlc=&@4wrXU6Uwa*eWb;D(qSUV*P3C#l4<vEs%^C3IGJ?kNd zQ>fAnOp31YYz_%OtKVuwCMd<21goQSEEb1Awx_5u+-x{Q`D>AaGIb-%+nApk{_5jr zW0`56dm|!imr0xkPU_+#Yd_o{qz79tw8}EzE!pQhzj`n{stHUMt7_>8MJ(lm_4hE5 zVpaa;&*i|b9)Bi*$<%tx*CCD=%~y0ow}OC<Svmf5UC2w1g}dD{DAI8yrfU3I9$?bq z>lQ~;;3>N4T_i&XM~VWbBzacu_LqQ3nGNJL<j>BoHi-QcF)e)T>mFcya=b-vQ6i4k zLiX~#a+vr;R}P1(2gCD5f$um5<Z{9EgNbGuw9;06j(9$wALx1}S9F-eOyfm3Tig6B zm7^U?vxNvEiLgS}@+Htt26KWv4^^m}of$Zn1-~O5lgUM1Uk#c-K2?yl(*846jy?_% zo5x4zXkQkUxTr_Rz55da@jVm|6m_SPa@?|h+^!GXJL|LTp*@xfp$P07+{e&VMsti* zM8b|#ByfyU#5j&re7;PSE)KS^m%7omi=23@7FQ3X=qVr&5n~o)Ccs9o?!I@a=*&AU zUuv3@oG6^@9<QGx_-O=-xQ;Vb4|eD&fKW#6Grpf)|E}iBD9ntZj2_pE@@+IkX2Wc- zurrHzRVRnO`&?W(m?$I*!j3M2X86iWtyyy!n@dEIR-d5?Cxe~;o2S^Wc23ypr<}0$ z4>@6LPC4}LIpWIUK_OYF^yng-Y=mBT`b-zU;QFpi45P1TyZUmN!Sg^vC?fAeC_=qM zvYvUMi%=aAcu_C1U39}Wc4eKa#}n73CyI^v^}b_J`jiuSp_acQXKGKQmJgqlN=7Y= zWZ}dj(80@KsQShK@BV3O-Jgii`SO>+KmS1I!+-fbVi8aEf3>gi54)ej2C^B8ay0Z5 z?n)nKZCs}22=O&0SLEFw#Z+oxswBB?kQ^$_uv3zkdmKs<Cgi;~3?*F<%pL&1(y7ZY z|9KTdP9kL5X|*NB^iZ#KIR6mjZllvl_X@}E<MSTm7bgf6Po)n#?r+C~-LjLOww);W zYVJ^abc0@KC+;LvYbv^}?>4zgR;o)pd=)wq98<xs^G`m+bWs!C<pox&F<8#OZAwd* zTG&l+o|Jmr2fp1&{N2<7+YJWaW}Cu$>NNCYR#+{{Foyi+t!o`vp8|03&qgZ$-JfN{ zbxc%X6Gql5rwO-zy{gvPNe(!vR(|cbS*=%fGX+H|Un);qzy4?3!7ZY5I*U}Wt73PS z;-B1-^2Ydb|1x*5&L`QxUM>HO(wKC2TvFOfkUJslJ(i-+qkoYEzQ3$lsz~~}tG&A{ zM)kqBqMJ2$*^hs5nrN!{=h!01u$*Ku-ik6m`5s431vjXn3<-{sk-tcNOoJOQ6+G~2 z-iG3fnV2fDtVnfsS1d7glvngvaF_p^+AAzjDhqin%5Zt3Oh~p-5YZDB;jrKo{pgRU zx5&iifuouybb!ziAr{fn$oSo9E(hnLo?aTZ#Kv(@h5p=qAq2<!Ks+$WovMc_z1`CQ zvl^W<^q=fv&;-)IAP1v4&**ZaRJ5cgxj%YCxP$(McH=~r<S%oAPq9LbD<2sM@DCBX zXqs@0s|-*%d)R1FZHUwqD$$--lrFndNwcTpoEf>+qjL%_AWc;F&AkYmsMC#>eDtfS zG0Zu6%sn)Z5L4qC^dksOaHncVZNf2y8?4$-u$|O>BErLfp)>Z*Bb}lo-hqUzb@OtP z_ARh!O==rxln7^}pL;+~n*l0EJ6kXWa9`A*GkR$w(aq1i9vZO7wI8WP13%U1Naw6> z)WLXnf&|MRhY_kQmFO7ghvHwQ+^M=<n{Z6v2H~8YY%~ySBDISekOC8ij)Z9Mrsklh zO!l%v-P@D^@c^OgIe5Sd160@~8;z$qk=kMvnknw-FdL3=eG<nj3$YCq)X{nTJWmrM zh~a$5GonNB@I-g24#uYV^%@Il0`*-1<~Zl&Zz`{oe-Z&zkjclO<^-#P3j6_{L*6}L z4OE^}4_h$YhKO1p)W7AQ5Tv(<;*rF>?@LDu=3fLd)(J9JjM51Z1P)wvGgvOX2%P}+ z1p)O{Y7ovb%GM2WB*IguL}#R%4^E{tOEd_FP5hSY!L!It>YKA%GmUfZ9z=`P#UhJL zg#Bj2DXl7y{NGTj_vLG?CWUE4l8m;^7H6`~m%uH}EYpyXC|Q@<B>Ym?N69aP&9+3S zM>^`c+ICwQ`=!F|Ud-6Aj=?u}b!QO0QsE9wW@YET&Yl+`_nJy4?P|ENq8w58fS=hs zw2@(&qbGxdh|P6pIJ0HKotVsV6J3M&7gc8jEl=MML*JGg_VK43|6*n8XdUa$Gs3D3 z4iZgU{Yq~ixj~V%mMVkkG?f?1zpjc;c2(p<w9kTvTRq{IO|}uNM|eLa16bFr)@7Yi z^gA&tlsHbYvo;wiZemBBR_IaSJBbpDmjk#*H=B@p-_L<jq5?gN_4=(pw#o@P`{JI0 zsx^n+KI(&HV=2Nt{Mo}CGxX=LzA9sJ&eO|4wi0h&4${-79ww>}I}fch?fYaaRJI#H zONH~j-9)SJzZGilCK6lTZ9a?g<;uPU-v?hVBg7l?R)UiOAF0*k9*V!;o0!8he_LOp zsx7l$I?Z~0nVp*SaE*N1n)f#2a^N|brQ_`Qehx+llR?((jGyTh#*B?m9XwXyCM_v4 zw7Z8&x*u;}XDe{bCGtv9#tn|o<{|IysY+a27oj10P7xqxO68gkaCXz<W4P75r%E{) z{1Y0YbTa>?pjg`-<D5KmE#`RkdDhJ)jxs343Y6lKov|9CtaSRDHHz^Hrbn2#sh6#A zGHUR5OSUTe{#O$HfXI<9QR1fe$^^0K&E_*o-_PO7q5|SpMl+_aU|qp>kEiTB&@e|3 zwtRRXz24uHQIcxm?llDw$|!+Pz?bsy>|B!haSFZ4l(+R%l;;WbM3}m4;z*3EWiVj_ zd34&xs_LaKCF`HN3FG9PdUeRR<narAxjGmDs}*2H2CR89Tj@$xO#~_I<zJ?dv_xzo znUyr`Y?K9EXzS|eK{;2xi+OklZkn#QOgExHDgBAOJ)Ciaz)p~Xok##X!NvLl{7ND= zfru15J${8unh9@(nL1ppG?HiI-}rEKAc5j0g_*iOg5n}&FggF^(WP#xsz*Nrd3uzT z)ZJ&Z3USvRPqpT>Uap+1Deq0qvhdc~>&!Od9zU5sp0cmoMtfR4ow6TRQ^wi0TFkYA z6C?Nb1Z!<qZq(^d-#x5S9=z;e2@~gB$l(~irDAVWIiu$O_uqtY^>D5K+97GRNM_4- z=f3o&Y+m!_pDMTX0xWXUg_`{vX0HcT;c3%wvB$+m9=3n)g%jRbW9H)-K1_T?8dLmm zIvk9ljM-0mfRB&2_?D{27oSU*`!(xY0vZ}cYP{*f4zjtY!@FLhR=Q270lr!@Csmgo zA~J3BTdEFkd^H~qsxBGCP+A`@s`oo$#PPXNXvB>_INtH$hweIbqVA03_3>M^hmX<I z(RkWr<b~IpOb$482S3M3=jb-Tmxh~kLS```DNZB7NGXaRoO^;Xv@laouB^pdA)H{~ z0J3lz>vpQ+;|T-N6!Ezh?}WX7F@+^j<=#{Fy!F9j!^T>=n7r^1<#*+wdQXI}=E_Ue zMeo~w>&@7Xjvsns+=+Uu2-(Pde*5)&CK$<4;lt^CFh+#}WHld6!iU==*iib9Z1ghn zW{@@|KHdcnm6RAh*9D)+*XMK?oa4c`$Y~tC#)xMD&f#cip+Djs|0(u!ECxT9PUVnP z#+T-|`p6aK-1pU|($_(J`8~*dD!6$vjicQRKh)E_6BQd29q|12ncqsVk2p6~j{!cH zK8MNI1v(7pp<rBx9~`}yh-c3q8qv_o)8f}l(1^=ZIod7oL*eG)q7$hcy-ax_C(j>( z(a>Vk<CVGTHt*5#&+J3cZXG&<eeg}hTRk{lXA@wh#v4bWF<zy`n^d479&nn3FVRI; z4h3_%lYm4*3Lh$$f_<DV#6!#IFr0n|`>gYt1PjqcJKx96Z)*G@)xXnEZ|*LC=5di$ zes<N>GFB}#E^wr>Qe|(pAh=kMW{Q2%4hEzebOISLB1eo>mj$qJM^ub1-Q^ij!T+i- zIPepM?)wuc2g*m@#C}LG2<;Wjco1HY=~uh&O~%A56P#LG-T70ers;3JQetMNBU(0V zZQ<`GE%w~hDx^Bws;PYD@K))VsMVktU)kyDBR$tj32V6@V=H69p8fn(eQ_#$?Iyd& zD9xSNlS%u|>a--TPc@9u|6gv8m6Ci|tm5H353liA_DJ(Kn{$b>r(0xVR%xBhLJo}Q z%ImCyTt}3`Ywy>h5{2(y(RhxjHJBJ$ApJj@59C>QiK`2u{&+Q5h))NKfhN&~y}>zH zd5^M4kS)Go$X`B5$eLgoX0uog`&y9}J^%VNBbK*Ys047Lya(&tufP@YG^S(4=W2*J zcfE6v8<2Ch>^;m_$Fvr$N5j7({E4}hMQls;)_6zt_AB`Q+)+i;KQE#xZ$$6r^KnR6 ztJ1@88ek2D?-IVzcZ%X1ZR$a?0<eThC0NAq!6Nm0KC8!w6ljz8$3O~-q})Ooi`^|% z#EYvUsyjHk<isp1*M&>e^E<E%Mg+@XHn0qSU7u=Psi(l%t%H6@>er*PqwH_pjX#U} zyRrD6qmQ`PwME_Q@k@8|Zk)5x%X4>fk{|QDrf_DfL%|cy?G{_CPqR513wNR5r4grs zC;9tOqxtnbsNJEEYd8Ju2|FQ=sm1Iqb49?<A+1;pOXOv%mt@Tw1pEw*MEnph0)B)G z7N_7{jn(k+rgq#s<$yQuR}f?({r<@n?j9=#CZEhqJ8+?N93r6fWFnw+Eg{H!^?nhH zbB#`hOF_VID$L?U<N0y*!|B}0D~Q8wqA{&7s}qj!IVDZI3vef)19y@<a3?XhQFYk1 zQT31-2@KhuG?h;~Zoh_~=bIUQ6Ow})m{Y;E%yZyI=4)1U{hed-2_e~O)aYV-QiOi) zkt`1n1UfgwOhx{Y^)Dh$IL%XS_fz#yDG2h#Ph0ytPjdS4R?QM<y9=r1gGZ%kBRQe{ z-;8AvXnU>#DLO05UgL^p*s)gO*fCbA*s)b{*fCWh&1svX!Akq_Oneh)dmE|c18b#{ z32a~PiM8(qN(SO-zS2R}B-CNhB+!8*6X_rvFjfW68JI^@Li_Q|tP*HDLaF5=onKs1 zn)<w_HbKLWWI@7@Vj)P3WWi96VtHQhN=ICQy-G4w)jWc;8VF+tggFSji{mJKolq3q zAVnDXMT#);R*Enb>{J;8t87m&Rt<R5W4cpSFf#VkL9YF~Inie37MaaoIzKqw=*~5e z)G%|sQOgQ$IeVM@^WhX{@quaO6NwkJj@-HD(na_77ISvY`gSM9K+GyB+sNZFBgx<I zbjT;YxIZ^g9==M5HtL_|_*D@W>_U;W8ZDC#+&IJz{%gYoJ*@TpPU8j{&-j+wFr2$s zrQt_}X8JBsz{%4?pmku{)O#hC#4*B(r{EDq@OF{9Ync59Y2r=e+}Pp#7V_yKX=H=g zjce$aTQlP=EZr~Pp%#UAvE+MxM=G5?6^l$AFQD@$*Mp8@pfPN?^9UWGfx({Z`1Lm? zhwGYysS?A*=Y!V=nhiPAVooy&?+j=II%1KSsy-NxYw45?Vu@CrnsG-EjQY}w=KY-- z@OPDyhhJ#$8ZIcV^QRc}6+_9aelkZyvVDWZ8?E=Vw9R<ftu(5K87<62^*tw@UoV+G zaS;-}rxqfnUOQvuxeaKw^&i$PEJ&uDZ}=fLILQ(zbH}z>ze^+Mv>?vN23}${L&rS% zEq?1TA^rxVavXxF$YeMNQwi)Kl;i9cCl1y9^worr#1tMP4Kc~#l>YJEk^Wwfq2m*J zKEx~s!wmR6_|doa<<Von=6Yrd+>9%Jid8Cs10Z#eIB}!)r>|O;`x{M#vxFpTF(JL4 zwrZ!S`5}1C^(v9rgo7s&;)p&~<2ackRi~J}@=<)!T9ZR5*Gnwle>R1vT~w-sW)YJ7 zObH3ywa>x5)BY6QO1Cw%=0_;UF(}SBqs`Ez3)Z`%;u59x<EW%8+`ZC?y|i|q_z^-m z7%09@mtjRf?^F08A&E&?$eBoiY$Be!4nv0ndj1>`-Wv!nijE0e5r0Dlo*Z3akbcmb zaBx<EaF9rza8OH@ki<ASBsA4N$A`!&hjZI6%Qqk=O_Q#N`L^r*NV71xA+KPWXZYo} z5Ip@Fl}L2LL8s+krtckdkgQn0uSbV)W$-d)8!CYU{m}DMt#UA26bB0f1c3CQ>MeB` z#75}0x-K!$w?_KJ84Gkkye;v}#O+tene~u3BORb6fqSV#{Fd7`2e((}Q#1^)??KSF zh7dsITjXG<|L2(k@(+l=v8x$}kSYv@O<xkqfeK-q0g5F_JX>?D4Z#}*b)7^wSlRi@ zCso%W2Wg1qyJ>L<7t3v)XvRkhri>J^J-XB0x>Uz#(WJhYh1<$kJm(J$ogtc1i++Zi zDyW3O{X~luV)A$A&s+b<-!u-|tfQ1DQ?!9nY!Rk8@}}DHZK_i&5$LK(C>SYQaX3u6 z=lG#R=Y<Hb8t%f^UgiZdk3q`0M`doQx-@RVrf|GoJF}Vr#$jxm={twOJ(f;o-Zy7M zgj!c5F5yzX{bc+Km2g))3mO7^p#l>9v0zbsVsaK{x1)>Ot!Is?*j6(l4%6!^^Ksd) znp(TkT8}zqi{ckzbuJuN4{N%;3@s_VWw_)|Z9B45C3RZ8FOB(I@}ZF;%;z&A7PJa3 zt-c&sU(P~9S3LbOX(8NFzfNJe;^ZUC^blF5AaAXWO-SMG)*Gp@Zh9kzurW4~U-=4t z8Ab+bn>O=t(KR7i5!gJcKIsiGd*7j%vOPJ-eWp51>Sd<dUe#R5(asgQV4bbq!g$G{ zk^J11Z$OCg{q>*r*Lw5ycK7wkv<FT$NJ0iAV^?g2y+q^5EIh>x%j)J-bLnj&j5<Gz zhB8cxYq#sU=o_RWBUXy7s%~{P-K%*0%O15IXwzFY^<=m9)LfW#ZfdiDGhl|qTE5I& z@!#JgOPHDZt=z|3wZ*-P>L_(y4gF=^t-CL`cXM*HW9Lva=9<!3+i9*f6rTN8BKUnz zKQ-lBbXhlQVs#Rr)^R+)lS?dyvH!g4R$#%_@qurBj@32YzYZx7w7WGN3FW>yol))d zEVC#Q?0_Fvh4qwq6(+kWK7C>_5yxZ`-Mcq%iz!R@V>GeBWDB(RYOPi0Yx||?2x?G* z_Hv4+sPM>1R#{KPO(wb~U)`hTJ8Kjd%*(>7VFvem)bF9z(PaKAhGbG&mPf&+*R1ke z`KV&QwEc|}X2##jm@O<;-q^lT|1*6=Ygtf2)Hs`#R>Eu*Y21=lEXboyI;?;u1OD1H z!z4Ht>JDh)!aOfx<_Q(hv$0Q4NG)Q=^e+{~>JR7UTHVy;VY+_Cin3=9c^3P4N3*Nc zaIK)Ibq7qgP$3>T!eV*HtM%jxitehE*)ByRxlZ-+FdiN_s^;u!uC_){l!*mq`7>r4 zrx5LbG4uY+Y|B6Oc(@}AogIDh+@BPlyu-3R#WA%_%cR-PdGscgeHx!^-w^ueDG9$) zHtRByqHtRB`no+mhL)}1bV-_Lt6{OcEDu*(eGPwznJ62I#Uj6@-uPDg^%cyb>*=mR zqEAr&p?9}s@mw8R7xO2DiA~$~9YnXbtnb#*&R4I<hwjr8K4mB8q+jq=jQ>toGvvpp zuUWL{<sTI<sq|~8+HGr^z!_@NQ+*CB=+m>5nlEjppC;$%gwAwqACrR)OfE#WPf~=c zxJR{>tF&3pB<1)I6Va4(T;d0|c%7=+-#d-y`fsrwFuI81;8px+U76pDWzO}kS#QZ3 ztFJ2|x_3@+ax7$Gdv|d+GsDE3f;oP!w;+paYQeYCmBxLX!tao&;HhyUIx*F{cu=oR znh{?m^U&mLD@5P<I#k>BamEXkjj#SM_Pkny4Q>#A<6M+>^3x!xlvRb&$$((xmSB%r z#pp=~6vgv+TB7-U4|mz?65`nG6*w!};)|wS`p7$rM&a!iDPx$qmZOF3^cxy>vpfC9 z=WLHt(0eHRXO!DVBEJ1EPdX0A`fbT8u{tJ)!s}is@Q3=}d3dE&?okS?CT+@eb32KQ zS9j*2B3T)#rB_85w!$`Fk0^M$apOqVDrI7v`}64dcU9HNoHS(;;XZ;Bg0|*h{hY19 zxlI2yx_WRVyMW|AM!@Q$<;LH6%{m?2#M52MVVxpjG*yNBj*QMJk#1fatcDQ*CL`~j zkC!BAmE2RsP2o!`Bv9!QYIoeGRvysD<{wed=&Jwrz!kD_!nLdDkb;#V6Lrmy=s&NU zT73!oqlNv4%`}lcf7_rN;!^7XN0kO93Hi??&4Ee20VWA?!0KJpSdy~iZYmi+H|XYY zNiayrH|SPz!5k5}Wit)Bd^XY)ekqz}yi_l}Q!=>qYI76;rNiDuj!~#PI#_SUJ@<&( z;RjUqD8U_<Z+L>^ZMZ?Vn@dkp@d$Hyz;>>Zc1csX?Fz|;vV{9#UR&+mc>pw<$HJOu zVs`!=xCNcLV@3JHmRsKtI!3NTqE$-Y@P)|v5B+&e77xl9+xn+~pw+<Ie}hfoRNK+7 zX$*OG%61Iqr826su6>A{XNn^Yx`}O}!|u3+Bebq`n&3Y}Hp-xz%VmM-Bgp8tE;p4$ zQ#k&N3pK`;LASGQI^k!RbVGBc?zH^oD1J+JQ;Vd2(jIEy%bJF&E1d3OB!c**EQnu9 zg7{@1h+i&4=^;Clpe90VSGEN^K$J3t6+|vew6HmPpkb*8({F~a_c+Vbn=oXRokp5B znJ)&}OiNo_G~98`N;3<q+*wU(fJ@5ikT6ZR^BwR>wbQF#Tn}L62@B*w{;Cp7drm#; z60r$4q2TFMi6b!!mr>-H%PW9vmd1j||N12<mkFQjVt^pbhpWp3x(I|eHT+Vt_@e{E zg=oS@9p&wafuzJ2JX3AcBWjW<gKl>hA}X>oHd9!#-|bq!ph5Stu1ASn63VT&^(-~C zvENhqu<@f5tJqwkRYjOPD`)-3<L|DsM_s5gS6J`(<d2xtXgv&A8=$Qe<2~P+1bIBN z&t|E(<#{|Z+cb#+J5?)|`gN`jQ&|74UWbd!so5*0{n<;`tvilqCr<f<U%8G8?d_T0 zmgMACu5xVEJkH{6+I(E*_O83cT<O%cLqfNKsSa24^m0@X>V0jfof97}?jbaBXRU)& z+rVg3Z_AF!%)Lj5mur6TrDM02cSt+65t8<_=-Od;*uq}G)uULu4|VNu9i~mAoT~}- z0%zwvGZ(64;LCUBfgbZ^$qMC1-wJKjRUP`X7}LvG-Cm^`mrIOt@r}{r3)k+5tQf7# z&O|h1I948iaSLpkmouCmT7VkP=P87S7;k!P-{@8>T9ck<)<SOSzFc_BaH{{IZL3${ z>{MT(i@O{3qJ}p8!CfgH6SW9Eqj8<#iZR!fFxyXVJ1aYqx^L*G&xKxL@Vb3kwjcXl zXPK6Vr%}bP?@dQj&F!D&AL}l`GW%t(>%c9;@k3an1?&y^S*E~%C6|#JcAb{Jo3dbk zrr;C%sL$gLp?^((Oxe&5e}y@Q>t=3MCFQEx5cB=NE0&Lh-)S$-2atRZUu6%_Hp8xe zDeUBhYZZddj#$+5@)>1|S2$bix~gzxoXM-SwH#%vlVSQW&!faQ%~u1QhBuo??7p8P zg^s+e@km1+lY1;Q=;wB(8Lt3tVkz0peoKJAc_K>>DwpJTXnR?#FH6)lz<ek>6j@Sg z%cnlX1p89jZ;)Oj0O$QwPt@pVrBw4nrqsSkr}r<i^B*1E1^0T4S0fahbJyb(vFaEj zU5{Ue=Iah@4E;{sG?cyTrg?PHGHqeFK2@c^F-UtBfLeWR1i(DM_7~y0HESKJvJI@q zQ$LEe;|)k>Gc;4z(z0b|xWM*UfK2+nUe}Ib$ri?WQPe~D(~7kYr^N;)wF^w5_p7cQ z8nVtzSZ6XTCCa^dVEH`eSB3I&dv;xEEtCG0luG*S3VR~{O%|6#;Kf8A@+!&kI{uXI z9>bAxUVG>c&<kBv^Cf#p3o>A}X)ym7GfZ7(@3A1CR$+hriEo0Y;Y+2Em5$&`WjFh# zSwCv1?Sk)0s_jDP0m6{=&^+(tS?!_ff9lUOyTXvOUBJIG`<N?7SU<0<eLjEHV8QfC zQ2Fs|ODrhseLc%eU06-J`L|)Mq_9H`J%a@b=fcsasFdW|viM7oj|>}=?SdIwZF8N7 z!<e`QZa0wt=zTL=*55V+7Xn;N8c_DpG_fI2Hak$Z7EpF6=ub#}K7Wl773kK@CNrs4 zj)e`-)8FhSstY^M$|q_sv{scWLIpcsR46%jLEj2jyY&yopZ%_?EBlaCdx#F|`j#9? zxW3e$bgK4HdT3r#8~h`e7)BB~YE4D4Bd(>n^9ZYZa%mSD*jLC2|2ab`&GL2p$KSNl zGWE^j>UMKS%$!|M?i-}p@Zl%cNT8OIGa7p)Ne6SG^yz#tXS1`-^JT$WoZ}4LU~eVX zUW3DOnewEC-Ou$ODmz)#SX`nopo^woryV%EN$Mdc`FhdL?V2cmP}Z(K#W~69E*E9l zI4(zMQB}tgtVP`6Srle3oVLs=+t1JPxtBPytihD&Jb$^-vq6tlrZ=YJUL+&CvKAX$ zS)CRX+!%SEew!Yo1R=i?%4w<C(rtF_GKLGB($g<hDbVCsUZ|Q+bHc)pgDF$?LUoQd zOT`wqt~K~&pB*npc%zgmXQ1i_=X)6U(8Hn<K1=l3uxTP1w}jQ-*CYAR$a!eqHM$7W z^-B=~5HZH^C~@4C&8o8r#nN24bV)<SdX>(AMU)PS-OTn;SNxL>lM!L+;H)219&rZi z`p&YM6elpHu=@<fq%FNJLL#**WAw+psVn2zazt499J&4`$su7iRDDyb<%kfiTtLcj z911STxurrQr&&iIDOpA|yv1&-%4BWX8N?VaR6xX>P-2JjM+(EYot;>lSY9wuol^^n zGPMO0Rr|W;dT2#y#@UEVPMG6Q=y~SmZFXCv%V~QuevX?RtFMUTEcS>V^ODAwOaFR% zvNFgZ+CdFKZ@1h%ehoXy3m;-=Gc~*9v06GocT9`}&B)Ay!XKA%meefW_J>;_%rMh5 zL(2+sJdKEPxkp9kd5MbO;uQ^s(hl>AEYrcbti62Cb*Q0k=SQQn@5Vp03R8g<TLLR? z0q8F5<p&us3o`?=Ff>8$xa{1h3NPZUyKh#su9vi9sExPZusJ|K1_Aw8^vM9H^xY;> zp3mpViD|c7s-3vcZch_j<h8a-x^`FN8!|(yZ=}DU-K6G^Pip%6blUXeeKA^MA8foC zR6V9--O$|nk@TUF_3q&l@z?5oDPQ}(vV;CXX0Tw*{MmN6s?ieM06;{-07TRZKt$~T zL^KXSME!blk<Y=v{}T-S{m?K782B+x_c$%RFOgiDy&`qWUk>sK&f2?}O}A^=PDfE` zN}#2@B=afLUj50hzG}>`v5M*hUNl@UXsaa9dPELo_LKNJ<R=jx<tGu0?k5p_?k5rG z;wKSV%_b2h-3s3*GcADr`G|p3|H!Da2rGLUTp>?H>C+n_O_?#>eU*N(H`^4dUig7y zm~Pu6KeSOQ5KWU)X!}F`2aee7^h!(E9TNL;e6t)&3B2g`$L6H!^!UdX)4Ryc$ppo6 zeI8%gGdhR!@Sx_`w_jD<A4>eu@6(i@8pva}RziyZnIJk()ZStf4d;kv8rK0$0UVy? z{H5kCC7ZT<wH&wGX(6H|+%M~KEzqqzd-7~-r}2NpWqG#NKc|i#|Hso;heh>#aZC47 z%d#vbAhF~w(h5o~-L*6<f*_rOf^;n`NFyNKjYuhi#L^-if^=D=h#>La-}il=_m6v@ zy>}<hoilUJna`X#(|KC?<}Zg4Ma@_4p6X5)^L_?Mka0vbM+PQb%`y969E{flyw-X} zNYVQcWnlEvXTHNjPnIzeydHqp+I#eQAIbDr?p1|$Rcw_<iaq5gIoIbdGDEi4b@!j< z+d98w{}Oq{dE(mkiDPp8ge+tvlXms7L!EsJ=S^sVZKaXb7we3ttB=nFY0{qAZo9vD zUg7iV;_+3oxLaMoorLkm=xf)6P{=!dSrLE1^$|0<&6?zfHxIOJ9C`iVEH5$SlDBOc zvLDcTkH~=V-w*r;Dvmk*AsF0xLgSnr#hgpx;jzEyx5Trr2eZ@+DLqIFQi{)Oq&z*h z3f@vP<oDoW#CrK6c^582{)FrG9Dl|hwJc{rw7t#~evW5EXzd-9;TZkee6Sv-;}f$Z zM1D=jbg$hPOaK0kCb|2&rGsd!d7aMJU8a|fb%)B2RTnWDV>EVYD91>6B3NLLi1=o! z`Kh>9GuufiqKdB+YOfSC%twtFj^g5j$rfkZA!3e5sSx9KTmvxSUT7p9>W=7&l}2Mz zG|QJRFF7&4v$>z1Qr^kFH2Fi1p<vK1<tu{<(cWg#L=J0b*oQ5<2q7MZ0?S&Dd!ZrS z^B_Hy;t*kphn|sGgUyR%pC2R66w>b54YQ#V({_6<8nPcB0vOUqB_04LQc$yW#<HR~ z1U~n%^=SohI9WPJv1fuYZqfpq?)Ej&42cFYor#%^!<CYJPu!b%X|OJ+mF(<K!Yj92 zMI`#cT9ovBKnXY$C4tzWN(o!Iz@Wi@ccd1q^B(IUl}c=@{z{oh`qL*=xWoN>X#;_n zB6t#1mg>A?$)%`s%=TL}mFg=!s3QotTTB%OKHB2ma?CHJrOzXUdKPg3Fj!O*1hyHz z?My+sr%YP`PhvgC1?v24*6-pLpTY$$23U4d_a)}3cDnTe6zK!FWWSQb&lWZj#n2Kr zda<R4kprFJHk@I9CzuT9=U{_XhQQVuqhs0~HKcoWBKbOBkfm#DdTrkOput;QM$?)N zueW4yYJXfr@Q#(8Z=Ge|sfhlag>#}PBnR^{r8#}Kws~DAXk39sRtvaWI7fA5`Z)yu z)y<vk9|<T`(86jwNuTsnnP+<>L54g7&F$JWZLzzTk4)&<{4=wQYjY~+?nK%r&hu#_ zHN@aduCZp%l<D3^QSegnK|M7hH_B|9jkQmWL{@Hn7FnVDEG*G$M1?!*RWi~>Ch_rI zoW+>UED8X;(n*{kvsKgzETZiq^lf_)Q<WWj03BqU{$@?(7+CStU9f!mAH*{*7QkVf zqK~;2)y=dk(thVF;fZBum00#I4apDtm6XRCOX=*(qX)7bi{SaJ->_9)9R^ZJ$y0Gd zx;{7BkgD6x6r71s_`Q2Wsl<JD06f{Rbk2q;qK^UK$#qE1MoG$DWMLAb6Z4SWrHK9+ zR0mD{TAn5c%QaG&Z*2V8frk;J!tTzdn^-vwfUi$B*~&VfWdc0KLtqXW3i<{L<NI@J zDwFr5_cq-+e7le%w=Z@cm3U$&pQb8Y7}_l3cAB6oH_jh#QH|BcEV%TNFX=!Xaw&{D zXPZCwzw9*NOeNW#PFA=>rH!z7u&YfyEOh$a38TJJYR1i|eEdJK6c2!<EfY{zVKprT z>H&>5^$btqCDz5S&S2-*#<Li>|CSq1CB@6}Fk=MSU5`kiPDNbh0EwpnUuzpDz-c(J zO2UfMOpfzZZlZYB(zoZB9Jmx~ETf2jLNw{ZlOnDFw7}{L&_%3)E>dYA(?s*t1FSn1 z#hTcQG-&Ta<<c3gTWxw_xR*SCCxvl(#CpphKm3~E_f&+x1Bz*05!GU#K1tM$Gxto2 zjzK#Y(L;dN<pm1+02C%-1)L5r7X3W2H{&eSx{~BXvdO@yX?DqxP0y|C4-Brx9Z!p5 zOza3MuuqEasPVp!^j{HA;+Nw2hr7d&(tmF=5H8J$7^^}&Xv=2FgtZ7eRVDxfDo>#+ z!6{h3fI>w`;ZYtfQt+$f2%oN+UI~Ya$G>mQNoczKS$?8P3Q#T!Ij}6wm6U_%MKT_z z=8$PlER7jr?>&1(*tFg8xQKZ7v`fU9VpqfoOLYM!@2~7cH!M6%9GMnL7eoGcNer-z zPBH|~<Z9m@_}+|qfrocL0@nwRH+);V6;4r)o+@m2+fvF~Ge;%iS@PYBxOULaQ5^t{ zSYXg!?0rJgRh(C+*dm{ePe0Eo<gL9sV%0U*+5_;+1mKzeYtJn-bv2c6I&C#%gv^8r z*EHz!mRJvWA{_I@9jHzJ{h$)X&UaTwV6Z%zQ3)d6FVTw&ZzpV<AIfbfl>Q_T3(d?z zJI9p)iw3Wv2NoX7T12#=bmD}(XmR2RuI~SE5YBk<v#EI-LdM@xBf|A#><AyO`X2VT z6LjxIB$TAJ-XTHeod7RwnrN&%-fkRAd9@oPq0=1_0eRUsaMZtl_{zW&gMAKkl_a!b zoY#z!16%V1xZ(7hhVXOKy}tK*ZG*Vg1j25KW8RVHAC=(G_I$(lM)-f5EC1f&y5NOR zrjX?z`ZZ2=>2-lse;3B`y@m?-vmcrter09J6~s9)x#pO>Yw0ZgR>o{x>umaC?l_`6 z*MmtYOY1^|-pt_ahjdr}>{JNfBlM%*TaU*il!c{U(`N$9_=b$-GIbU3`dfKZpF_?G zKaxyqHfie_3)g4nB0f?w#-KfW$%S-=+OGMuy^*1r<{#&DLfuWo^2hcjs{AYDyw93< zvldSvQm+a+c+YK#n!izBowWUP_X&HUAltim*}|4Pw)93%cVhIlKR0%6sfIE5Qt<iy zczxEyu2w@h#`}6KclO~Ve<;nD>bt>XH!-CqyR*9@igDM##(`T691da9p%gZu+tWtW zze~lWZbjZ_Lfedp{WdOy7TX4i-$wn&$~QuDw2N&evzpU@#X8CCfN2)d{gdxp`Oi+t zlRKX!_T<ALqs?44@^}`#bNn5|%*pen!1sSYypI?iZMV-ivdY(o1nM@SzsUcY2}5tu zob4b!f&q8__rMx@AK=daZI<yT;42>R+z;8dfApRBxjd7VjdA`-Uh(m<kLj>$VfHs! zRSfUD&$+5G{P{)#bG4j+X?zCIe-EI)8=xN)cnaQ?f2~sM(i-$a%yigOzC=IhL-3F< zXjEvI>)hxlfC%sR8P1UKQ#xOeVf0tu3O6yZqOZ($`kg*0=oC8m;aLPDK)*(^=v-<A z;9BqUnMGi=-1e8W{@7t2K@-Y)?Gr(tQUixwirH=gx?z02+2*yFJCMr}$mNqPDk@Tc zXlw`I&G*kV4FQj^EgM1X4|E9NB^7?2+bShHFd`=J6JfId#-J%R??5!SJzftv|5c{4 zeXni6H|+QW>@&d#GvWL>4YBWXWG6y@?2l6lCgQn>I{w`JKtw5w20-3W0P>b*mfW-m zAaCj2Nl#{t+>S17mi51UuUbFWDOJs<1SfobzK;(+SByD2pD+CNjDofM5GK$X<oggg z?n{ZEozhuhBL#L(D7zhfr*8$|-z5P4{RMnMl33mcbY9PEU_u>U5ukE<aLi^sa}7EB z%e&7v{*+dKqjiJSc8Boi(J<Gai!Jhh!9IyWUA4T>ZH{|*k&&zYe%0oJAG%g=FJ$*E z#H25Z_9GMc<QKE#`qWn&h=7R+m(xFnWW*@{VD`5(M(Q*6dw4{f@SoRrm*W%Mcl`>+ z&aS%p9e)2A4(|A=u#OoGocO9Y!rE20|APCE>-4fY^HQD7erNpGIj*NG{Z3D+?|C<6 z<!pE)Zr2%`o%P@qoNLAWW9SuRKYA6gVY2m-5HtM14uqO4;!yz8$=~-$xcY2x)`0)> zIPSga==*}N`=mxIOKg79(54oaJ<*PZ#j!t*q956S%=JL#&;0y*>oslQlds*7=b0q? z&)^3#p!OfCR>B-V>zTcy+uz^w+^{%Odb(n0^G4P75oeab=*HKEg759RmM!=fyq1fs z&)9_^qRAt+tdft8wtJ2M1K;mDv$m+!_3OK+kZ~U0S9T40<qMl>ECK(vZUNgj;$8z| z8C1aL@cvDsYi921>y@9g6sAG`*bHE1r3!x@WHcMJ0;?K&R8jDKqya7_7BaixTObyi z`^>zl>3HS$)KsYFQA0=5xxfF)JF*aWCXC;|9>F_k;qJby53}RX7a3Uj(1P9B3yE52 zr)}cq+B{5j#@*Vt$F~6Y!~Q3hQt-J2M4tuVxj!BQEc~vMe|DD{ux8cu>W?v6zEOJi zN!QM1o0b~owM+F4h{&38*c(MG26&H+0NzwO&@~)|a;Kz^%F(zT^#KiJEwV?+N&+3V zNt)o@Ye%6A6UM`X+T9zd+um;>4T96&1nmjXw()Nfn55vno==q+=K1hhb9g)jkV<xi zSC}?ECz<^;BDRxZt|XwZsRfJM3U41|*Z7=xJs+w8FyHUN8-D=g!R3$Ahid8Mq;1CM zv5yXR?&)-fui3!=(S`N#!g_vP{=2P9i=T}sY5C6=D3dO9Ah$m@d_Rj)=e{1E4;zG! z-L(9OF$y!lm(!KROHf&8p9{CgYNq9W%K9Af4~xq%_wu}sHFg__0o<5=X`%P;B{<Ud zV3lZXslY%uSEgr#wW7bH&_;XljZ!z_xLG4Hg%7EroP*z;-4af1lxrBI{?ZV$et6}K zuc+1`0Yx~HfUqJYpqM(6q+3grY+SAXsI;2;JneuzHi!7eRXR-DDxH1uDxE{a>Px$V z)t8v&`HB84_%W~V^uxo(t(qTvD}o$MGUOc0G6MNv8E8I4hVZ_SS5)A>m@uBIJAA!t zm2RzNm2LyKO1ECON>`G6lgGDrAYBSw`}N>|{y<)y4BZl#B2VK{g`EezyTe`IyN8|@ z{$c;SGbHUA?^zyya9JQAMQ?SibMUGvY7|(ftDjjbZa0lgSbqjAibw;CBJJjpLcMh` zt6*B=NTHrc;76=+aD>1<6ThlfJ32C9?IDdQHd3-33YuM`^lB$Hi{p`O=Vq(xVcJB~ z)DsW7dX+8M21h`3A^dnv8DP0(&F_eSNLW-VTE@r?>(#md-n43h_nc#luvDu4`YXJh zLQL<;SF+wbaNP0DI4pv&_6!18XTg&uq#vK;0-;qMZwsBZtA<E#jfG)?cNKtpC$ud5 zWvN@YGRSM-LMp_(uEC?cS^=c|6#%JL07$uv34oLj14wz&Jb;vU06BzO77X($*R7CN zH9(F$>jpduQ5(}bDiAY=aex{oh<seLaN{Bcfc1$wh-Ko7mIa32b@<HUr!+i{VK$O? zAn6m$0AU~h9|)TW;P!Tk00?{H+N7Fo34pM900`?ekI_Qb#P~8{oM_^sdB-Y&N<IK& zy#>fJ0?5h+>gnGC$l?R)K{f$oQ2=BmHmqBzLd}#h1{4keI_y`4M@^PMSo^-hP)E?G zPrP{BO(KQxI;$j$m!2tK1zMbsy5ngdWFg8z{@6wg|GM!_xGA}mbsisl>k1<0YyDRN zxp}M{8}M55wMAy*?Rpe(Y+9(tQRub-#pA7)dN)4m-nyXkdKD0n)yqCQ=50hTuk6-z z9ow;s3CPGwr#h%qhC4p^n~x5NqJESMPb2%N9Ue>IF>D{V<O8*@VH-em#Zs7#*ker$ zIarc34Z<7@!5i&hRT(Z-q^_nxgppzThF=8a2A=R8uNpHecpIH|IS;upC*&2LC-0HM z>ATI7_knQ43sos}?<E-Q+fbOFlj;#4U>Z$&BE)!$+1}5GFK~yS-lF~vnDbEYN-`8a zuTG_tmtZKWKw*AQsYk59G$#2?D0>=pMNGm@1<9KfN$91jZx8S>-C3xO09$TxOU2VH zzf|WXjw}gvInon{ue(=rMdZIrpvB5z_UcP+ef8^?){(<bsH!35ehBpN%iF>gVx9yE zkh*kl{@RTEb8qG0%8$5>*GbT4-&{ztYf9*R{lNCENtm%IgNRphnn>k)52%SG8SKJP zO26mTqh7$`C(U>$E}Wyp+)F~;k3O@wTs8l79pwG3<Byv7YzMxg89>~2=O_TY9t2d0 zBd2!Oo08f(CVkWqC=h&LgsV?RmKtFLQtqq;b^3R@f6eHFo>e<Iv8zhM^@16BR0(1< z4dUz#!S?N7Jd{h70;OqyHJGipH4{T^|C_ZDywwx=L`)=-Vc$7wEdwQB;~KS&LlJh) zs>eNr#UI-6AQdWEN&_niW7Gu6578uC`IWbOjhOla%Oi+Co4nkJ&THmFKGSJvT@dHW zHvOf<)SLA}Zlg{^4P4^ikI+;jKz$eJCuctzC@g`})@&NG7--KPk0Vrh2>4v1+T?I5 z*_A0!Mu}9rPLyF{LeA2o5DLzkkiz^mqn5~-lZ*GvT3kILvZaByW2tGk5g&PEsgKYg zp8F|%P`zzLkB+SNQRln;+KN4^UmK^vDxq9K=g!xLAh^viSD&ja^&tvqn2bL1gDu3s zb6M>}MbP<Ap6!&Pgmg92UjE@x^6?=q{0rGfGPh%a@B;R6%0AHbMz#SN?pTT>pr1Mz zauE4t97EH+td2>E`L|wfl(LEErS{#b*hcg#$R>K3f*kVFeCRQmGuPb9sc)feWdc3y za7}ON>rji!dEqCET~`gKBKHnc2zNJc+VI`Z*=^6Ps>b&m$LpBif=Bd*(!C~QC`1<# z`0c;lEq}!rJQy6N%O=8znE`j_o~U3+*mV{}M0{aL+QJMYTi#yXPt(q~U4+c_^0t<W zfAFQcAN;vAZ3><29iNV}QQoX65seMjildnNJFyTJuW_PcySh;Ae*Q7-kru0VW?w)y zcXZuNOx0}js(!Boe4}Ra$v<$YmapPz)`s3=(R5|3s?Sg(8bl<V(nqHSjBjz-MC3yd zqhCrN*t+b#;n+(R1&Du|a>TyRklC=$(1a0)=yxiEQ#M?cU;yZ5i$FI^0^_rVR4H~e z46x@KzMPeLH4M*w#1$qd30!=SD=J7L{H=F=0I4jLdeFANP&8}1bSyO^>#{E`%XD>Q z)$%~9rDn^~PI8!XgG!m*fO?MJw)$IK!@OUAg&zCS@VhU;x!02m*A;U*-D_?OR*t_k zWq4GHEBbcs&Ly=CDf_5<>4V^)A+Ej`veb=wAmyI`^ZB<C1FQh^EkH({smJQxnhZe+ z!SGmOF@T>PY~uIR`flwK!l{ppP0y)Cf$%1y_7Q46*&EgAYBBPuLyrf^fRAMXWS<_0 zWq-sz?4A#Fqm}LWn+nKbIlYfqdJBQ~hbI&0ssqs@8iu(FXt?2}?E<mX%v*?$L9*1| zrXZfYv_7b1O-*wESCUo`)=L|UVaq5cRx1_2uOHsH9<9EqbR0~={aTunlnB^zkZD!9 z`GD^h)Z}Bs=FBhu`i|duq~cF6daGyXyPrNO@6W#pn&bgbqA*z~Xn^aTj~uu|P*Do` zKM4khZWM#toH{l#)PPbEsVPEyz#Tiod1T{*<^iio^m-Zd5G1)o70BaMWQtOt{F13_ z=_tcIQ>q?>1&~(xF_6~dtu_z1S)vzV$wRQ?e5c<E7@-v@&=bj2yKt1DurU?AU7`i~ z$}ptr!N!$a6G|Y{Im*5YML0I29=8pPZ&czznpU$=H#NVrX1?7EneDk(L&@M~q=@}> zY(J9F7dcB~{)&#Ra-T)0H0qZecXlaH7ag@kKj7^_Fuo_y@4~JsQ^vC*;h(-1m1M|9 zJ9B(^m6&O?GMEI&*VjKQlLE!2>HDPENn}b(=*axR>tRWM1B*(C|M{h38caE2LM(y; zpDvYLC7rc>mD^A*NP-4@7x)Nbk6x(f>6)B2NZggvJk(Z$=Ret|XfzX|JqXf!yLwcA zhE@Y71Csqpj`HxK8rS|0fnIrbe0$BdL_bGegUUi(3iLf7qbg8_KjU(w9{@hjZt*6e zF8Rc&%kTWVHqEcHb|l@*D}R>FU8-IOvCj#5%g<evbqI4qSj$eaWdy9(Q=Q#U#PZ(= zR=hVrw)F7vdc^w9a$b#9d>bJ=HGHY_TV*-*{bAOV{w&?l;W-9w!Sy$6$04O`$CJt+ zmil4)upd4{%p!nvieVdQ=Z~e}7_!F_8FIk)HMu!iK)dhn(=)K8Dq|ykhP)nvh<;}E z(f!*(^xDWKHkpGMe`fZ9-yc)8{I3q4ZJCema7u42EcbGHF_ak)SV4fmf)~I7jm757 z7)>5F@^qV}hck#iiCT+535)~!AP+^zHmM$W7Z&ej!GqLwxznS8E0sz4oWi8kWZiOj zH`t1Ycu+#g+n9&C2GDFpC?(+u^{8`LJW`K`V&};nJX;H0@SK5SSRJ5oRY~aoRNfw7 zV!G2_OSr<ll_(M4(vuoYJVtJNYrF4}ZaaKcZZ{6tYT2Z&Mor}pwhK>E8k?uLJ^Usx zaEF~YyQBo%e4@3=vavWd(zi4y{Of6F_}C0Ro|+x0J#P@^B1efdQKK*46Bu~JeiTq# z0)F3ed?+_N4s9^R9l~bSqdH*mO&VIVJ>s}hG|VYWNc}W(`RApn<z1d^M+BCF)FIn| z{p7o5wx}xcbah`zJ8zpJh++<~XXIobp@m`z=7#L!Q~`S?gY8(gJ)H_$W!R5U#S&^~ z7SpAb3iPVLu}C8<gIj7bn6>7$;j)XMeKaL`rrC2BmUP4&+v&$qc8w^&T!^wSL=pPU ztH)i#;wSWYkQb&EG>QV5RkAy*+)Tvi;t09~6T0<UN({AGa;jZ6r6F~O@7x_!!}u)3 zvg0f|epb|&i}&{|eUBF)CV?@b&rSj@E}@I`2iw0)!cfonjQop5K?eZ&AcKp7)})X- z0P^82iXm}I9h-8FXXW-7KCP-}{Z3)CJ0GiJ+&lCASYtUgmpc}mw*AsRm5mh270bmp zWFK|p19iw_<AVyt!UxoYO7}$KgH<?1Vu?$(USa@s*x3NOwUN1O1Sn<|Krv_bL6f%- zJ<5P$F8nh6gXNh<?DoC(f2mmqEA=B>wxz&D3tV)-MGssIBld}`KG|#U*vbLLhJ2_8 zPYyN<&^tb6H<vaq+Y^kXw%$TKd<+z>p)1&{CYyMqIHDTIG1Y-XJj7zgUKSHimkHqC zc0bCxi1*RjH_!Q+nuhQ^MVnbuZY?!Dt;$gf(Auw~VeD+O$IiR7x{i3IL38KSNbfAz zDPeJZj~3EBZ)<F4F4q_*!EFS+iEPw^oV$3>tfY=)1D<gYf~8CISJ26AhNv9R;SZx{ zt&Rc#IS1Rel50lS%Sq(TAx^uOHU)O>6RM!4^~m&xKf2c)UJ&HOS^8L?<kkb@?T6`A zSv&8%Er@~?urwaZK7!tf4L(lmqdTj9Mc1|k=##{Iz~{FDpD(<fy^(R}xM{DPjln8Q z8S4s+WfQ>EPGNA`<1!&Rs5S%_XMt7sL{yO%>IM;AhUvw&%gbcPmOf`EHFssX0}FYu zv2vL9vXWcLB|m*gQ#^;|<8%Jug0(!{TORDRZRMM$jREhb>G8ho$j%9auq-*sBa|9F zhe@vIiAaa!tPsa9O|*zA@yYRXV?YA6upQGYf#55Ejd>3+r2rc<_@%M}1|V4vAUT?? ze9!Rp=Ou&Mbd?qE_d9K=DntL$*$n-%lvnn)Ube}}Zhg`P1-(yGUO5Ch1i7qslhO!J zA0@!x04sRV`OkJu5ZrW>s}BZfYEzJMZCW4s4?t6s%4#<nfQ*E*j-hrP>1qo1JTg0j z1D1h)X}SIKU=OeX17xY2ltDZ{)BB+BORb`S(_H8;v<wmn4Aa-jW(<uwDc@N7sC4tT zNetDaq=a5I3On2QCcLKzW`zia*%>U~XHO?M;DB+VOX#sJFne@G%B>%g445Jmx7!T) z>cEpbJx;jNg<?nqrs>JL^`jaTd8<nl<~^Wmo$q|q#YHWYrI5duWN=7EG5nlW2bP++ zpqZ7BmDm{PD7#A3Uj;QD>ORR{vtKX@q#+MI$|cJ49-ulB0rS=bP@Yv!)?d5jp~weZ zqYhpJYSw^<fYkL)|06)n7N<asW~pP)<q_ngCUg$blnk}Tidd6ld%=Xh@7vkp>q33s zt<YS&R&(?{OzZ}=Q}lh3>?G~=C3Mn&>SB<?dTXf#BTGxbyMV+~t#jH4akKP4uDc!4 zCs!;}%o9^0N9m=l#+3vpHwt#VOjQYSgC@}IOs1<TiGs4WxBzu+$yVK)H8Z66^! z3A(a`E&-UlG$mn#Ck-OX<N#b+NH6RYpkhB;)?Xz({lFx*;Y!7h9Gf->o0X$%0{YV2 zcYy&pkqWL>XLq4qQ{3V9I~0S}ggQ1s@nok0=!q7~_bIB|w#o-TxuU;iE+<}k4~W<G zY4g?()_(7m>*kfVY?83ky<T`EJKn14%LI5E8*Kz++g=#}LV73oDY{GFvR9OEkoX^3 zir8d>A!dj1ISC!L5dof@36W^580PV$nCo55Z6!UX{=KpY;twV-i<47*u~*peWLSvF z!wEeS@Ri9uDS((2p&x0zI+wGmKd<Ck`ol!nX2KI1GP0bUA(N&NZml74y{!R}<F zjAy~NOwVmz-N}2&qgjW457TbCd~o#lPN+>c7@TUt>@q0@oT|g@V<-u=%@pHY1mh6e zB*^luZDFFW1w%}Xs^(a%0xziPVLZ>6*#`6^U8cv1R~K0!d);DPWkkV$qmx9TD}cm% z^E%0t_Y)*(HvR2mQ%leOY_F;9tsc(;67&vpHx#}m?Rmkw6Jiz*|09U(<KP7~T4M3@ zr%&NXPi9T+CgpgRRw3^&A|PSm7j|C0_PTy>TYC0-IRjZc7k<Oxa6Th@Er-F#$^;W* zqzMEV0VmM5+Ztjb5`bD1<5|Xm%)W-g3f3=7<0-}ga$I<w&^7%8kSj~65P^A)!L7PC zz}{39A*<}0YPJ)}o^Hplm6!Drp9g}O=*EEdw_-w!0UCZ$oX-7eCgtBR79`-i#vc*Z zBn0K#vNu(ZmMN2;G_@Nw;?Fhp<5{ExkgI1JO+%Y<ynN`|ez0qLwtWjY;rgv+{CR|G zJc}vt|CUzM-h<KfY`ZE3BVhwfOxKO*VCPgB`gei3rZ&PTJ_sj-Z1CdUITgVd-)Ng) zBH)_ZNb~sfYu$Jv?R3m!D4zh*lL2_A8_zNTG$PVa_~NrOFCVn5AIy`HZ6C!zmVI)t z*z&Tw)qQUUmUW`V!|j$ldy_Azb~LD|dSY2d7?B}Zh%O?G*%l;k{JGkUFTS<?fcTv; z53!a+FTWJ-uu6v~*vBZ&d4)<s31Q0vJ^==_88EYjt4LfX-;^^%(87uW8|Y)6!YB?+ zxr((qC&_zUar&K8<b7H=;`SQ4N!fhYsI^xpf&3>?>+vYU`=)Vwe900@hx$BVTEJkp z<ssOItN3Lz!`2gAwyolH?*cZKvIK)&qms%zODTOsIIIX-NFQ?zqnOa++MrbnHar%- z?zHCoq&#WKL;XR5p|C=!pVRwC5q-oytZ1*CKBgW>s=A+ET;gX+(326&SA7e+eWOee z%u^k4>*OZZFZ+1a(Xx<UfzdSzlY)Xm00V*{I_^?*mK?B{4vW-zsD%I<uU<)IaHW!7 zVGx*xG^3!cfM_(0j!XKW!$Zs{p@c;9bi#p*`oJrBZ60Dk9=7Gd)}QO*h@o|KlTCww zsH{c(%`2miQG~4%RRV?OSJ6i-!ius>=wnP^6pe~p#SRSs=wpF9^v9w8-pYA!n3{6y zfQeh~&pjMn=PWsAj%4qlIuC)3fQsMGUD&#EGwSa|Exm#SP;K9(Y`*w9#RF3UMX`=0 z?7)3}l3=xlh+9|NBbZ``vuScgTb%yyNpfXR9PwWP=CJsruSQ34Nmmvp`T&P)GoN%* zHtKQ}^EtUh*~Op)%3Y)Eb5MlZCUJWUMgXJDdBBX4y$Bl~0u;cTpB%9D%@3%*0G}0B zT%(fDDCybXH>1cE;4Ol3f9QfZ9Dph()Wi1w_dXXz{_aUsaRLe|2hhpS8(ZuUIzw*j z>k`$_A<=t?<_Z2(2DHzr^Z%fc!=yTTg-6a&Pu-%^@3o==2j|ES7GUe_z|3rA__d+L zJMUv+kuS?=CjCSMf<9-aLsEXTXSe14sN?7oC&=+$I0W@Hc|i%-aDM^D1+AsWD#Glc zl_|G~z}#{Hp*XtV)8wkQIK=Ns^{^F6djDlD9$+%d$QWm#05D-1M&YFiFri?EyoUm( z4;&8Khr|)fR0Gr{14t2I!nOi41Hgo3(+8U6pQKWw9uN4rWN)Jy4?%zs&@9$4u7xUk zEIZ6zrZnYN@|G@l^?4B`IY(vKMi(V?zbfpY<k9UXf0HQ5J!-->GAW@R)we&Iv&4;j zs3(kY6)bG8CX5jhB=<5WEw&M>3R^3t6cDWpTkoVK%u5T03OyJSqC^^dq{K2I4LwW< z`m~vdvu_h2wRMdNdhRgkYnu}Efte2D&sX0(*PbdEtznG(a>t2@obL+>mLecr?+Xyf zLMBuGhKp*uhSdRx4d)DOo*yYXRDG{Zf#|J{D|*_l%(d`CU*He0fB7qj_amr=J7(_} zD7r8~nPOtGI?n!_u|<fOlbsSz7TcS3ZmOEdall52w~XyQ94I0VbTsJ?d$VXUbv!2+ zTCHvb<&b&7xYlJt?=Yqut8_S2q<=p^AQ-oyPk6sY5cBZajy`$*{|z|Q(9K5K;9A3t zYM2K_ui=!7+IRJ#E3)8~>RK%HyMhdO<rz>Xe{Apkr#XmW*Ff5Xr{x0oiL8GSDoVe9 zIQdod@G8ujd}2DY?pU(O1}Gw;?&Y?tN-P^?pM5ODTAT^}_Ji;G<VmvNE{LxHH9wnX zSemISb!-I?cf<uK^%W5Fs8)I1x6OoJc`qnd$!nrW-^x#bI*X0cHg#b~%YeiTh$Uk3 z{-O6LQG`_+6@kYBvB5=dK=@jwbz!?ZGjxA}q|5Cs1;#W8h~R-*luOHYM*)#TkU)cb z<=!sO2?utcg;^K=y<vI&LuNRL_~4*9i1uH=*^9R4ZDVKqAD$2M!Zpe@eg562nU(*b zr}tFP<m@Af+}K#2{r?a1w-dj`y+rsg`CHSA)q+RnsU)qV9F?ThL^B_iLYe3`^&Uvx zhL)3pPiDgG>tX2Z5<<-0t2$ER=9w@|ISetTPeiq8@-R_udNvG`14C$=5K$Q!e=Ja{ zAt)HD4v&sEU3aNiJ0M<x6!;w#Y-!r79of9&<Xf-_Cg$bTwYNs!MzcZ$=AuYqX6<`= zs~hbc9MN8l+`{c>4#@rAlvFOAl<XAv)|cVshp92d5zO}C8$sx`wERZ9br*Ew(H&u# zKsJcgeM%}0j+kf(jwqk;M&Wed%-$<~U;#}`_z)x{jL4&*f_TJ|u(tI^MCRJ};Bk#> z>(9{Yn-&7Qkk%+4k1{VwuyUuXkno{BpD@CTk_sXkL&C}oq&~6lQ9aWaYHgAQ2Q<RL z0ZmBopGGdQu7kYLvcLh_`s-ZSI^Gr%ZwL8teYC_Bnln66t<8RLkgG|cFf2?au#!nc zZA%%h?Vv*`-EVnuLJ*O=eG6ab6Sn?D!S4JYiv{SAqlK*yY5+nc3KL&m)gI_m(zywc z31p@dQEOd2Bsw~q?$i57OZQulOyHUHiQy307Z}S0IJ>)|D*Dc)JPt^T0_rG{JH(EX zeeuCmcI{8Qz3}{c3BJ0edgc>WRMGAFU?Nki$TR%>J%^Qg@9ODGpD+ws_9Ftn+QR94 zQXtu}xc*t_y0Y~RGMZ`e64Eo$3&B_SLJmGrT0-W)t!}j<Zn9{b1)nB`f3L9*HZ9#V zXgX~}=FuSfPTD8|1!5&?P9iR<0zxj<0wOLlTS6{oPuMv@yuOK%tAjsZ^w&>Z;dXlH z!Ua|c^iMPi)xW+79FcubPfz38hSyzArxB$t8|i?TDp;d8&h`z$axzQnVuIHyaWrt@ zC!!vV!lLdcd{$p}MB3lF+nc*A4IEENabh%=L`|h`YBys2&j$y;Jb_&uc)fM}!TRIN zcUI&<#e;^wDGbM51XnLE60n?9g7rs3DQ#E3vzQ)C+R=wRgv;5clzy?u?VEg>Sv-nX z;WWmM^G|Dk`B!h~$C9w8tT}n^m#z7H)e<Y}Kp)}*mn)d~GPQD+{=c*Zme}!%(#*GK zU(5EyA(Ol5#U~nNLcc=P;O`Pue*=^3V2eHV=c_jVWp#qTn;&3aoBZG@qMFqdr%(cx z|2iN7Ji`BbysC&M)$0FI%AF$3%vwvVI^%+uPiSdfwqpjL)qdo@m@)M0($75m&<M63 z;JyH2k#!BFvHl$SkH|jF$sjUr=>^sQ$l%W?K8el*GG_fpBN{uNrRfuCZTKG*O2GI2 z9HI$u`Hw_zfW!_UqvL-W2Q!OLGUAr)vi{4+X@nhTW&tu9{Fl+Mspput^X<Voo>WMY z-rv~!^F|e6M^C-rzInLn@07B=Qrs|2fd7BRuHzF=AiSzJMq};3htT6IO>>v$<{%}S z`YP<Ui6dqUQ#SKV|9g6Eo%sFju)A9bvKmNujj!~`UG9<s|Ci7r@q5d#yQ{;0e}&^K zze#miy9=T+3ghJdRs^H?D+6~Y>wemr1iz<q9yQ*vXq2q<+dwuM1hWY>&@DsmzEuhn zGa=-PpxUmyQ?PJZxWV6Am<Ppw5-&d|r;5aK+H1%X_O*yRos(<*@5x&>BKn7;+cwV_ zNbp6J>^8ZSM|#uiWQ17dUwTLek4(EEsJ$Hx3T!t9m3qsLK3;uM*dulZ3cuZh0|oyw zrY!eT)7~3d4@Q~BYhWJ!K6&#_7Miy9LcpmA28qHzo?z<Gv|v?iO%EH=ih@+c3PkE| zMM=uO{Oj-vdyp9sUF`{SxfX3Bd-`o70FjfM+$sY7^hs?AmbkNSkI2bNZk2_88dX~Y zCuR;r+P(Et_!9Hq{bC1;eNbP+l#mmT*NM>BxaYNza-e&<NS!2g^LB&GU*r?Z7t^ox zZ<>(P6Q<UdS)aDVgL4ev%`u*DI+8Z7t}RfA=3_Ia2Q<w&e{^syr!6|?QIAcqy5{0D zZ4!<_+}YM}IY(X(2D2*D7w#LxHC?9r-9tv%Zc=oKlB@#duV|<p#z?8CaAb;2%R?-g zEFICRC=Z9GlzZ7OTcS?Tj@WOg{DJg_GE(C-_xjqZ;c|qUhZPA5VKyqT<HFJxEYjTg zaMVqBMx1Q=?HC>-a?)6OJjfI(f}H%FC8(hjLOCiCWf!!qCwPrgHG~!_6BGHzVP1le z)N@=^%6>xw`Z_CcQ)lu%O`4`gO=Y**IXW^|iGI8V%@IOVd1|kLPY2$4qp3r_5>h6d z&u61W>mO(LaUP~}^pH7Wy$fXCUZX5!<9w`pWU35ZagK|ghha7|Vq^lVxJ2`v<6;M4 zsRJbqFN}{u;vd0~+U6mPIik?h7D3Gl>KL<Ycf45d?P=b$ntHd|Dz~b!N6k9siI*0z zSxSzZ-94TygSxPj$c>>9TeC~;VaqqC{{F9oFHEqDUPA`+8*1Z@FocD|jm#<852Reb znp{V&U?CXd!qVd{QX*62NX_3e)(aPD_O^IR4&L;Ov+o3%XBR3<@qK?8sUf$8c>@Z{ zE>?EY&afm=+aT)3h-URZ41&^lMToTU={EHZvHY3AZfEtM=o}{s`ig9ig`AJ{Q%KbW z*ld88aC!D^z{}{d*LCT3%0@=MCXT1l@Vsf|h?8gP-iivtIW@k;Z~85B>sbVPbb@Rw z%i(V6_u|C)0v&og8KvxFp7Ob^f1p_Ud)RW_F3<`~%CXN4w^;BflnQ`WM!qKfj%f>j zCgUzsED_+6P@++b0K)n7*qxVBuYsSj$|{QSRP4EIQOhSTE1B`MO@8tV1S@N2uTPti zx~(?@r8@V5VqX3Eg{h=?zUK-Y3V&`Pca*#2Kip#6`gUi+I{%5Jv_xrtZLn_3a;D6P z(-V@{mUUQl;+%>^bxQhOAs(O9-snV9`n-|KsWJXny+|V7z}muJLaOI+<L*`5ZK|3R zZJK)U3s!-?TSP60M$P(``=@#XFR+>-_7T^b!aF)I!l_O9Y(5eLQ~^YsFd76|5k7|1 zAgr`hU6Q5rBQ!`gk1Qrnnc#i$L@i#c58dxe>67VgQ7DFE;T}x+LZlz>pSk+9w^m=~ zu-W&5&%p9(6nF1S+EabjUKsFY4DeFR{|5Gwn(xg5{{T*R!WWcD_>axm#S#YmsaCJX z4lbLY=3)!f>>YI+o3m#Uetd=h#5U9YtWTZer`Mvq>mX0ujFAU7JIGV}ZoJWMdNAJW zg&4=Iqx0|sM=}YKjNTAts!d^z@<H>dY_5X2#c`9mmUH;8Wx=Y9bBPB^We8o4d7?bK z&J-i<zZNMy)uJM=F034tL#!RjNg20)WCm$TZCWC}xw^c{`DJCDwjDdc6$(Bv{`C6Z z7fHz(yDm~G36s#sDj%{nWpBul5pV*;q0IO}fKLYZuIh*ym9Qb~uc?WVgO2DlL9;QQ zr*#B>*V5!ZrUrfAHv>OiFK$^-<MCv*OFTLV35p;=g0SaE&<nzm>DA&$T5JhTx*aau zuvSVxhUtkQHhX>z<4AMyRw0^~RI_pBAv}{spzm1FF^J;J%WncJTHV|OijCp}JzA)P zH3yOh(T%8rQ9gy6sVr;89tNVazlRz5;?!4~i*8pjtNM$`$d>B-SUvCR+&8nhU~(8& zawvf=!f-ej*tj*<HglNfn=bymxQbHFf^n&$bFnt{vAx1)lO2`c$eg{`g5AU93Z`8< zuYL*FFCB51#0EaT$ncY_4l~RyawNXnl8DL7M2^jgQ~ACf8?X}bMd*!Mjm?YGQoR+% zMZe?T+)NOK>DZ@a(hJXtW2-C%4%g4*oQmE{aoFBGc@<f2v9pm75Xzz8Y7Q%S(Et~Z ziGTOC3B0nG`iR)mU&A81wB|Nzn*UG_nB51d9eZDUx=5nDW?VB|?l|QQU-Z*%Y43=$ z5uVzr-K!o66Hoj+XPy72I+_mZ^6GbsIbXDQMKN?a#igemJK9pYy@RVjP*XjV2d0JK z57g;JZJqsVZ;P}{vUWzSKx#F{gG&?9yMN=VZ#F9tVsf=T9hd!kFP~Ug^h)aBSbiJK znJ6<OU6_OxiK@9rB_37(IA4hEvV{lem!3E1{WRL<a^_m7$;qE}6l^xpdF@Mc`Uzc; zBiA~5h>S3^>~47bvap-OkXj#y3)*W_p*EhiRQCNB&~lv^@Lq6LLDcxOl*y@=va-Up zsm^5#=2E2k6e2CL{hiekX8DF@x!K%AaXHPCAlJBTb%oRJgmDSwR(qj{w^bFvEfkJe zIT%r``Z}5rH7qDrPYJP-ljhQZmB&b9II0!Oo9a5=knS4uTNxRdTsOv6y^H7Ta`qfL z**C#nx4?JjHKk3;&UtMBO{rwY$oH4qmJIHI{meIZsF84$c3N01`7e8ZQ_bD#rcuEe zd5~%p=-Eqrpq;%@M{&0^1+XsHlz6&oB*EiRX2-bPh@uWsvF(2qBtu!<i;%9sd!<iY zNYq-Z1S6R<ZaO!zNF!}8W4kYynYxkL*ys0-Gk_y4YamH`uYx;tvMGO89lyMM@6eKA zvUu@?&E!-kNX^$DG-!PFjAOUIdN(ClUhE?U2TT@E<oG9{jcoO%B%i^pcGd`6M>^8j zY&vNv=}=r<;0ikRWi)0lYgb!4Bj2nk{dbdhJUk);Oyg=`={ZZ=Vx)-%r}-p%ZDpI) z--V!oPHI(*yF_<A4|q&I<kFrDiYtAh`fOL`r8Fz0nYUJ&Vw5ND6EltSSWm1z@bEBm zzKArAwDG-%q!(cCS!~$2PfP|#rd>cXF=ON*qJqhlA&y-N7ghBjaMdbI6uv1fY3%gF z@?BxF_={G-LFTn{`QN5e%=>H8demlo<3+3VzYX&tK_ibpkZMk<b>Z+;4-{-oX}&Iw zKoq>u#aLcCThMnpJoh=ogyWnfP}M&%;(~TfxYjh!ZF{P_dPJzfmcv)EW#j&zf9K_2 ztjd)s=Z$%^79V<9OFA)&{aBe$j>rX6oYrjU);oz~xUO;tk5i4NmfcO5XH8~)#Bp}B zAMz@d&8TjKv7$3_fNwUa;76)ZlI-1TojkjDf=8y4F|P0IELylf*U8>Atyg`Ii!jhO zXsNoqd{Jd}v@wvR?(>FC>vq$foKn=r_=*ZUH=wh@oBnb3c_4ErU~J3{Z*%Ei>wbOK zahpo|y&;-UI+2hEUGE4MdYfopmT8VIbCfb2t&1;c>_me1zcgg(eYXmEZQE2#Xf}-R zt8kg!7&wHnbEC~g<Zmx<D+s0a`p|Hpi?qi>_jkKG-+bnYAd^!$(vO95e0v#fDfehI z9wZxBtt^`V?Pct)T>3zQpuenv+eG^9J`2EP(pGjGo1r6Xla%%HR#U#eJo{2&A0D60 z(ltXDVFpw3)=-A(y2M3KA47jKB5kXCS%Q2fQoHea-S7JOwE)@eiv%ecV7(7rQty+% zW&Y;v7YjZ!adp=Taj(0vr^!q7rn5@&*0)O8{m@k+^QAuJdHOAWw2<wmCr1aK@l-+Q zHRfj9{-^~dFOhL2Z+SqCDf_=wr8?L$1P<A~9muv>2(@+(KqRJC5Cz4CN_&@vGbAGP zvFoHG2<`o^mi@0^f4LaAu)0^9wu@4LJbjHY=2#ETt{JnByDxE}y^QR&Q`OtI&DOPl z38JSq{xz-^%q48=EQpApwLl#ij6xM$UPXVlaN2Cil-;YACuRQnc1-!Hh*n8#{g43Z zUhyRO*%KB;F_DtJI!Ef~ezCeDwHcvF#&%LkF0UfL0^&L<Gf1Y&(ZwpIKxOQ1%=NoI zhfcF8-r>NfrCL);53ETR_iB_uT>=9yI6DGMlsQ(u>%$JxGt!3NdV0j>9@lyH^vC)) zzxLL8@g!<`D%)p1ih?5tf#+a!3M>-#P0DpWv|?%T>)w6!M)UHYyx#V%ambc!Z%DC5 ztJnHg6Df1Omwal0H-%lOb-Rt^LsAb?`H7!J8G0x=$&Ie+H=1Wy(NVqnx8Q^0M|U%V z<J%NxtC&4cmJKqrkEA}k%Wsv`1^;R<^9^x$e<7$~y8M#!hs(F+t~Xsx?<8{>vvTTl zX^2C%UFQ{vR5_c0<D?huin12%N-7rD3S+COzW{--`lrg@b1?W#D`V?3re+s1$8ie+ z4K<dOXYMxD7K(ele;Ce3P?KDuZl0zslOLmheLha}A^<|744n_vJn1i^S~}RGS_-%Q z;Fc<8P4?pC&8LSf0mIC#mU7Y#3BmR?Wx=x-EtfSdkeljLA<5f+k6ZjCjl$Mw%-sxL z>)?f!ei-j6ACCMnHMz=Y0IJ~6?|$<^$3!+<XlZHo9<z0Nci14vq+xk^ya2Lq%)kGj z@{V|yj`<9JbjmX0yx!Bp<MTS7V;8Y-|4Je5Q}VM9)x+m6+tk_2=CbuH#Xogigl>$c zYBh00Bs6hgset1{PMSEVcGG9|3tTtUo|^`}#k+1lHoj8cvp5fMlw@kiC@`E;Vf18= zzP_vk1WvzOp^Tdpf=!%Nn9Z054_H?YYHa$M%B_NCOX+pvaibUiGPcp3wcVc|Qv87l z;Cati3JPBt(!*rM6nS<@oVPkJ6Z4o0f_)`EUQMNi1}qx3Bb#iakEQwke4`UXuy35G zoa;JsoY(osR<~q&XxFA4<TAh5+EZJpN?iUTV7d$cDXt&!m2~8;dm;666YD_H=_1L^ zZac38!Fg5f#>FVO)GI_Eim|n^C(6B6+MevavH$jJ9lc)os)315y-|n*yNA%U$XAb! zGVQj&_l^a@8Ta&p##rBFzxdZ)0h7YES^lYUQVL%ZF*B(!SPK|snjNbTe82tXGQo}D zYDr{phW@0@Qq&Y{Mzd{y-Q4-(OGv}UJ;ms=+pikq)e;1P^Wp`9jpGHR#!+Ch$K2S2 zY2cj8D=yVe`WGPr2^$#+P-9jpgXIJj2jEal;W4a$)Q;+uH$u9&J)6zoerHl?8mi%o zPqajf=jzOYsY#Ztp6R-ib%#I1e0}9Dx>dgZpFRGJyS<~FQt$hSdqd^ppah6-CO97^ z&~ullEYPDc_?ufH#AYrd*F7Cu62!~yw#TH%qn&NnPGLlS7ntI-kh<Ad!dr1%d_V0P zDc(bk&GuhYw*Q^WqmoncvWgYZ-J|Q%V1MJK8S9oe$MwSE`^$u8|LrnOiX&9Aui)($ zB0e@W<Vmv`2Svn+l*tlNg`xK(fh8p_z(=*e_cl!vvi-IAbmy)SCrux(WTTfkjhmGL z5t-TSN4%uup_etyRC^Jz4qV%SD8gXm4Rb?xkVOq&=krNA>>#Z7P(@kz_r%smRH%PB zoMTpypwCjW@eoDh)@e#a)-dxCuO)eSs6;arXv6wz!}XGRh1#G+4%u~<F%Sj2@t0O! zQhmPq4sw*!vC%Mg@K)%-QstU^N9LR$^<6$Cula29Vg9KG>!3^niCLy*q!KMf>#S<* z$UDPpwbp6miaygKq+wcUK)!4!AZ)i;{!2D_kBb9$v;*g~=ojnO2G^qsF&TpzMPh#c zxQ%oW5@;Q&$G}|Op54dGG}dZ)f{S+7UjVlho`v5^2_#c?XNsi>o=p5~XxwwPn(?Aq zL=4|o$+u~XfC)*K7KazeZ>A$che4dBz6Kw6V16b8f}Q8<CF06KKzJhN$ex0^?y9>m z@|7GK@ImPbk0;%{?TS{j*tmK}?XD(K$Jz^XTH{~yYSC}5^(zFRs~<-%N*Ev`&+=z< zsD?%_(!@=RYWaokf(3rak}6+g-Yh;m#Jd#+)(N_%iv95TReZAMbfd%Bu`}XE`qku< zfGr1{jjEFqPI#WDN0RV*!CL-KyRe8j@!0tR@ei)kJXO?$QO5jzE&H<Sq~n)%(Hw@t z)5<Zt&z$Y6IKC{DR5NlK{+{CCRrVBVo=_Wg`#md8*4HwIN4YD=yG^{2nEL_Mv45RO zUpp&~m9!XWv=|kxP5qZ}$!cXNQ>{#_<`=io2tu+=aZ!=^4-F8o`!w3dTK!C$Yb4nc zQ+f{*P?UBlVlISh-?fkU2JFG@i(+xgX^b}Kb8~22Zx?t6OnLu({M8`PGBHgzj}jyD zpICZm{Tr!IdqpjQs}i&bRFR1WEU!k5{fJ{@tNw+$$c-02Mdj}-RK<et{eEfxp2GrN ze-F|v|5;i;f`nAFY2qOZgrzbXKXv$}sQlvz?Oo4V*5iiVMQ)L+{+2riB)N`;Q0`=P zs^N{lfp1%b4}{bMotBtGbH(3?jw>S`R%1UVSD0!<x~#Ps37x*gM01D>yE2PZGq|mO zd3A6W2FTF~K#o2F<Y=Ziw7sp%n3f`Qj|-2He}oowtR_Ag4C!}UYw?iMXS>S^O=@F2 zaQ@8NU!t)=c=F}TvE*Z02Q*_l^)D@{d?)VINIipLd{*U_y@ABJMCNRa&${hSK6`6? z%@@|<)aWLI;en{iEjvwPAEcyUP&P-#RJM6$zgZVvC$eRmK}fwbJTqCm!5}YAv$M7& zHJS%V|J}!6mzO!VM3gqR*bqi`cjF#0uSW1nyk7O)qU8(DGX=N{wcediJv<t|NBUFu z@4tL2v9Bh+Y4+_j&S2&nuST<%<&@iUssx!dFHUTWC+DWlOoOLg(1qIU&ZhxK4c|tc zDXZEf=M7ee8t&+iDqLgfi4aruoXG9e9n7oJAhe*1i*UU2Msq{L9h{y0oyg3gHO#Pj z)59s@u`CJJ6QE`*jT400)KoV6aH5EKGY<xA8}*gnh59)!uAv<G+e5>*No#6qfn~CP z*P%@d){~=N&Ytp3Cp86AQ)<4z?E;cglQ@cAz@@xy$M8>&gg7adF5FgaZM2zUt4))j zb>$Vo-J{nDh6d<9>gEvpW)2V*Q(A`PD~_j)(@T|LW#s*Y57f|duxft};hfLsY>F?o zU}-_tCTl|GRC$?Qi&JA~4d6JFb?b{&23rHxYVo47#)smD!dVhA-`2SXZu0&b4nKB? z+45~vISxV#10S5|yy0|Wr%|%U<pO!9l@sZax>Mw;!g#emrk;<_YN^nG_3e61pFH4K zusmM;D{#&h{8EDX;LfK$OAW0}9Ti5-jbKq1sTHl91cQ`KrmrXJ0C_4ZjMhha0qdlj zPw^jkdGK@^4tsDH2&rZcjv#fXu)DNlkKcvkLel~k!d<s~@TS2e7FRsFZ^<|d9amSN z+U5N;psL~Pr7>k?l_^1Mc1HxaIlHd?&-%|?oPpn>^c~!KYpHoVkBij4W=>>m>dr<= zX%N6?7q<lGjf4%$J2+aVl*p4<EDzA|rdw7Ft4syAT_AiKIXEK2XQCjDoY=mUu|V#- zpSe-Ua_S@r+N0M;lc`P)wWYF9F&7TL`KYIPkbC<aNUUC3cj6;daK<IB^e6*Y+VNM| zrE{=`0tdnzFWwcT?@aCocd$ZQ{tr!G9Tw&D#rsu2B$kkF=`Mu@k&y20Zjc5M1zAL5 zS-KmP?ru<|myqt14gnFCTtVQ@@80MBu@CPv&u7k@nRnh{=A6$tqpgNtgDF>o&J$xY zPJa?Jh!_V`-%Rh#q?8%G8oudP@Avo#9beipK9XJo2F_=_l*$bPFIHgbl+7PhC9%J= zjLH)#pPk76P^D&I&P;#Qo+K2!m#Bc!n?gGgE!I$;DkxJQtAls1q<rQnR8KgGSuFQM ze&&i`Xh1;!)OdmAuj_9x?XLhr0wBN?Y^9R)$>@B$PfdT8j<!2(Q?e6;en}J}{8`lM z^fv0XjqeR9=PSYXfMg*&1~75`mAAG&$~E|H`La4;^7opDP3W|;Q*qKOQTIZ?XQexZ zW-^$fT>&rSJ#z*MQA$BvK$%YuUgrQ9{IWtnUp6Nv@wnd$43caL<;wVE)2yRCLdp$- z`cr0Vpi&YOH3OG`)D+d<UPa9zB|(cR%6{cccpVt>XfWiwPV@@OCe#?rCYdc&7KsWI zrc=Jy;Ij>29hH$%ZkV*3qQ!q~N%SO*;gKS1Fk?b`zMKdG@yJVuFs9#*l01b6KTZXz zAjgV5P%z}bK%FwR@WegZAaXA-sSx#7y)_7z7fzO#zz+wj!Zk}w%{5pJOu%ZO09FH_ zTm_h3Da7jdTEna{Ru<dq|B#2Y;H!Ts&ShjXW5VG?POeOCDWtOhBAwHT&jIM!0AA7B zNQzK!Spo*iQFNY#83|LiH3Bn?9E^y3;;vO)VVe<?6MZU};KNKAmzuE+#08=+tHEg6 zgV9u;Lfa@z(VBtfYfN6q3+p(#kT^TmZpjk*Cpnt51kCQjLgREAr)M^czA~q63w%ya zzr$bKRQ?3-<{N8_O<bYi?-U`t8_*3X_)1<)nb9T-5h9o}TPNP@O+Aj4$6aDbtWi;j zB-t2>V>O6TWXXZ|0<Ag=i@YTBBaq8)mA49Nz_^qC`NQj_UZdC=MGkS1>0=`}`p_Cj zK5DNbR1BvAi+j>*Il{P}#Mk6k1y7D|dw9olK7Gcoc`qm1MiMi0BF2T1H`A*}LAg+@ zW_p`=(WS}#gD29F<Zr~X`6pkEg&qF%V7Tpxh;Z&CigB`a)O%Aa!PT}vn<D-@R>kQz zM0N97cb6wypMEg0c7%<uo(&~w3Xga=o5z;E-kS+t>Ph5s>2U8MkQ!CHjare4P{B;< z;r*FIZV|V{5f<vr$Oe}M|2S+X!wCo!SSfA_5)lDl?UUGGTetM_C36?I$rKTS;TVsc zhRL(i^cFnHNdvI){2_l|;*=?0<GX%?0NW^Z+R&VVf#Xv7SD}~a)0i)(-g1f+ND`bJ z{N(j5ks`!9CcPCj5}Sn0w?=jO9)^C2(R5;#*2s}a8aqQH&|P*t)liI^-SdKZFCYk5 za|HjNH77S=S=4o#fPm_S#~+118=n$N>c;ijN$1rjIT-?Dvg42n8eYJ`qe0mQPf~E- z3QKs|3LHG{RBec5;NYRtMLjbUmUrY_5q=Zw51?y<RP+Ff0MG;gb>HTrr)fj51E{Vp z%B0mM(!J<pWDw^I%?l(Rv!k!mHn{~=Tg<$|pY@o8v%D2OaVTF_ZHOw{@6CK1|5mFW zShbnuHBMCYvhy=Yin)#vxd)Sx$^CMqB{ChoBpwuHW$=&!IfBU-MulTWUd-;fn4a3T zW99rXEs7JzjGm3%vq+G$BbXz#j~%I)7=dGkN%EwXqo3VVa71IhUVtxXxIYq;51X5$ z)vQuw{j^prh&x6YMb=j<D#<BHUZuXyWR%t=Undz9Wi9(K4IYDI28FVEzA*aIg`9s6 z8fa$mEUFXk2<G95)QpUCSboy_xS8Ek`?u1%%|b?(y|v-Pw3webW(1cko^1;>mZebg z{w!LaaFMoj29Hw#esFo_%Jr0L0BJGXy(6O+c`*Nxie{V(4`+Mq1yOtCg_d~ug}~d2 zxHBVP(yZ7RL>)c$m8}HdALIx0$n&{g$DAXZ^59KxvuChvfAYhP=aWW+IWheoDCq}2 z@Zd*Q@JX)5#9W9{k{o&oip_0V>s7MHBzQSu^_H~C?%A${x0<7f-F+(&Hb#{Q>(`Y7 zJ@H0yG2NgMe%rX8$gYLA;`regg_Ba%Ts*MoVFHHHYvfU6oPB_;1ZjYc1paqh3Gxrf z53c9Y7oxw254|QOsvo<-!Vmpv+v6HqeJLDKC(yCP{;bo($33ZG#b2+TH-?G?&a;e2 zy5l{Bdi}eSWsWiVBysN;SLG+UrA_c9NLqQ$mDcMgC4z>fTQK=3a!6<k5Sln<POyKn zu2|amvE$?nlahVM@o`SaB&{5)te%2zV?509LpIgPAN6Os;)jd8_{HGyW|Dt(JI@1L zH7dFG&m37ZTHIVty2?^V+<sSl{#h)97ajyrhGAkn`O9(5ekypby4`TXGwHSPD~m${ z`#Z^BvvZa0vx(;k{4w+pobs4W{lwlK$JbXZ-*)z1x5!CK{$M*5Y*(MUrnF$IGxkmB zb0~00toIfWiWo)4vp@+$k`|^Yle^}+3<Cm{thQz^5NPMu>yB>sa*`kC*iN-!Wty9| zl<6E29hl17Ti$DOlGw6O{-S*|e~F^QGY1C-X09=36(%K=FZ)_E?OJT?)VH^6U6>dw z%UPTP@Ffx#c+S;q8Ya0TV%`rbyYgML)S(if5xg-U13A<qX`VH8@ULHZ%y!0tcVOFy z7CBn$H-2n!o7b4DW&CgXiXS+U_@lp_dTdKYH5mE2uOt~gFZ2|gll9CKr<wKB5vBKF z5+(P@7bWws5vBI1_hebM+wyE5>dx~`iV6Yuxr%J6JFP@jkwu=>;h-!IKDI}!OSeaC zTDM1RXtxjbZ22a|u%p<pHMi9H{`6;wuSAFxdA)2lpd3T8_35;dc`&t7dE~d!df2qm z1L?d#x-*cT0;H<~=`Vrw-_ccMc#gIt^*RecnFXNC0-cA=0*Oa`t;njKttEip0l0ac zz0;Oj<v{noQCt*89X%mCxHLAKLvulhUQl1jTA7KVdsFIP^`^&G0OyE`150|wh>{?4 zMuPQ+X9aMlp=f9^+jFt>#F1Y%8qW$4RYTEEuF85Lq)|^;2NefKhoUjF5~(<$Y|o#$ zDmgUPN@h&<f3;J<R!yQZuT*z9uVu>+jG2vaj<>eX$Na1Gl`A8`%KjNMGSW^VY-cE% zJeBqN5@piJFDtue1yPej(ew(e&x-|lv>_%&@f^~U2eC>HER<h>Mo^%U8PEs?G-6`` z8l?k`bn;J|1*H<kyW8a?DZEMkimPdCtJ+>Ns2r0*c-AWZF)&Hq4@|kd=#AcJATUM) z_wd(SixAqCdE^_E3PQYnCQr!XU%_ctIGS@;mzUW$-=6g9N6k@;Kp4nUN?!eXYmxH8 zpKj|mBDF|RuD7p7@CFz>kJr*xHz@33>$9|>=!+fsQR#3?Yl{g1RMd~COd%vcx8{9; z*z-Gno)VW>nSWEK`KhR)FR3UjMCzhwr9A<`>x`&9lidw?YEhk1Z(rQ!D`%?icj}Pq z&Xbj*7^OP3VRXCVFpulpGaA#zk!}NC?>hBi^r_A;x?HEXkMO?Oi7MyN1$Xq`XPwGT zF7mHQe~GM#!$w%@Vr!8-!5<*q5lF8~E%E{yYf_B6P<3PR7PJ=H<EJ%6U%^crZ0k~A zi017p^bs;>I%godmxQK8iz3)RlK3fruvF)-RsU*UHxYA=0ses>Z-b}wu<P&n^Y5F6 zscDTUaoYKMq3YM^=%yXv(e4}m`PPaUB`@<~O83_p@GO)5aTZ>0Pt#%Xl}P~<&8WYL zLapgA2lO>nuL58)C=a{RPUuym$@a+I#5>*rNzG2_zH0;Tc$r0w?((IRi)uA#5^NBu zg1={;;e7*6LPquOaE8?C`F`&@#bNQ^vjSeQftly(sPf2LF}saV1dF1jvIGSC@lm~E z+Z*8oMP7OVR(d0FeitffLZ7w$&i%TQR6#RC!`S=X0gEMHXtncJ(WD}Y=7`e`Fm2y~ zvG|nwg0j?}?~zjm;tw@jagS_Mv{m|tU?wAAl1gDI?&)occoseJ46mSRq@NPFEDeUm z#V2;3#~=XsTU=B0dd7!#Vjg(hQaEXgP?fUU`(u|3xX6-_TRX5^m<wYu7dKiqqNdP= z)|=?qa>J4QJB`Emm;kZu^+JL+(L7mLb*`)vtu8~8<x9=kNx2<hx(L%1>)R6ph%W?f zzJ;<*;@W$wmSijXiX<fW2+-{mf<@mdSpo}SP{Rh@?f~7^kLjztYramu6-joTlDBOw zlZ93v#)r6wZExlh_!*VUI`IG@IUmNg6iJ>RV~N>We<4^*2gdi^U-40kV%yf))DinA zJvtjenILBa3IYa&RX#x*aL&;8A8JuI%qXdqT>T=-Q9mTI<V@)lrch{SJcx&@0tZSg zb%gS<f)BJW`zq)|_DM<mu+JpN+#yk~7+rm>0m8L%k`cSY2i(3%cUr51m@-1$Oc_G! zBM<vIvv2!fKNY=F-|t1K07sN9EvASKEh)AQZF^ClD9=iy*Fr1ZmZv9s{|3mY(%bu; zQjz*<6t3a5nvJQxAsrpEZXF%6sU01%;T7H6lSGM#DG?;VcC?}8dxsPi{~hO5ST}C= z3!h>{xt8>@U-a>1pD4$>0a40#K)7q1*TMqTmS-(*fA_#SB?4rWj};=w!u#1H@BPF8 zSJ8$RQ_+@|bO7ADK442ruF)sz3cNL<qGVg1UQ1?HP`(TZ0bdq`uR0w<U!4hYGUL9N zRI~>KP+Z$BbxhkIoi$ULI_cS6@&c?uQJSMqS}7ISJdM-8blKY~JWLD8z%k=<VD<D% z|J;?*pN+=Nr=-gvWr*2j^CTWoUC&R?=|a{%4I1w0!Q`WfdeTaZue$z5P&{b3Hyo3% zLp-wPX_z)j8I|DT^pK*v9+NL}2geMb`$;QLrP_LZ9T#Zv%m21&%3!M|3btxZC^9>1 z6POMx*%WNkYQZ+mM)n~^Z$Bm<Ue=RVS67YoH?^!m!^k<bOp_qr>b4cEJ)$OIOP!vr zKWi%ed^C=$V@(6rRm)|%*iqFMpm=}J7X2D+j3gw>E$(1OdP+13RBlj^Nu^rzO~;p! z7nI<fbu5Saja&DSD?tCLW5LWu>8hG+p2J6D>Q!pXP}pRFWcs?41&KHc+*7#=rCJ~= zLl5tetUSgCcea}veqd82b0MISS1bt4cc_q8j@B#1EFk4V`oez*FqO_l)wD$BND}Cm zV)l}9d5GhtScl6r2^i%qQ5I^^;p>(1JsNa|!c%ZltWso(jH<M#Qn~c-xJk=nc7XIJ zK)SKkj!8fP$J#!CWXcq!=W0<E1Bfnw3;_rWfaF)6p8hP?!fEEzi#)<j;pZSLhx3BJ zv}ENW=D4%SKm9iI`ae75g$^%`R~Zc)4`{M<7jzHiS(IwO<t^wxvew0YIrGWNzn74^ zgW{2R9qDz8;XWqDk}6Kq-@i+&$I|}=e}(|+r8cHeOT~zNeZ~?gl!~J!ChXV{)*@F? zariecJ5?yyB~igke~NNU=wIt*&9F)C{m%+U%p2;DIw%pYp0o*IXg5ltM}CA@i{>(t z6F7&*$Wm{vhlaI^Rx{GaIw?^rlCi8=c1{xoUs21TqydEs`p*Yx`6vSY_;1%`qLM&q z!2Swn1ZOr+Ts3RTdZJ8GYo!)d_27$KN}jz>aoF{*H!5j=_P;QG>uEu5ZWb?3SYjs_ z6P4F*m^J#Ah~diJWdv2Nj(FVsiwNmJx9Pn^^yK;T4&GfC&hytb(wIMe!t-+o1kWKC z;#hs}g}7&Q$$Ww{@?uL0O9Z4LkNYbgAVr0(C@WS(koZMo0_J6B!cjUcg8F4I)AMJ{ z)eH{k**GtR*iwrNrN1-k8ZR64j@PiVsnr_Anfi@)4u;~8L;nF1-xK$>j;{f@HDEDz zc%6$^N9w-M*zG1!*jj<W7is*P=UZft&kx9Ac)SfLvte5C>1Pz0O(VTDysBGY55(PF z+}EdDUV@?2L~#58%N7P*{fQRBReXvOI}R*cBxN{}TV(Cc<sHO$GD*=-$uWjt7)9wN z#V}^wB$?yO4=NJ_uQ)$YambEec2=U5!%zQOml<kUtA|98R6^fGrYMZns%(xPy@9#+ zr|r$!ELb{kV-81W+q5M-uZ;JTl?_Whcw4Qv!^+3y6N{reLU8f$FHT81>;`eEOo$_S z$cUtnu=_<#eU^z_FqxfM0=Hqh$g02m^muNc2>F3-bNFTgb68Ql%Y~9*M~S!H7xTa% zb9|;rh+@yeAIbi=hpGF^J7k8gv6^+14C_Lr7AKTbPjWo|y#lxs5x5ic;h*bY+lKIn zEigv$H4o<_-0IW&=U)F=zPb8G#?{^S4jCo<Kp5`Am9bu~Qrw!KNX5r}spMsm_smSI z6c8BnXwpc_L!N_ALLGjv5hk;<rTK01FQeY-rRX4Fot7h=S~Ll9F1f8r)EIYpCAJ%a zbS7s-W~1N=0ZB&09&R=9I0WT(kr-SV)`5Cs#b_?75>?%WPb=|AtRaoi+jcwlj@xXz zlAezS^-=D;QO^@U<J`y(jlph;E|GjPzh;A)P9TsC?|K~ya>n-Q8OBw6=c$6$Dn|VG zLVZ2vkUB3_Sz7UVB8n@azFuqyu#*IDE5@o*_xMXg@)q(L@f&1?2b2Rocc#g!e6~{9 zUs6-jwC<oL;?XLW6I3mGKB9L(Ud-h~GoA7^#7X5RlD6oNb+wMBtwrhN?DRETo%!rc zV$VxDCf^D<UUeAnnF8XmMa%yV-pL8~#XhKh?hZL;ceg?NzQ<p`2YAg9qdW%@qjW_t zK0ka3eb>x?X-oX2YCqWg>tKGT3;GeFF~OU+wQ?Bd;>~%stkT<gOsx2&N5+`Nh%1Uz z)h@x>t8`~ab=uOsT?Zrh%J~&a27=z?e)|v=n^9rYoYb@7>65H%3So#9FeXV@4`*W< zQp_%lQ1a3vN!Vr9n31y+EshvGB`yi&nzuBKdj526hW!^~x35GYWeEab_F|&PNTN|t z0pnKJwUHi#Ys*F^d>9mvA>_rMm!;`lqTnaROFteIQK9aBq`akjGLe4hvPpd*mZDZ` zz^YrRJ%nE&3t3G&%P=i^gvkve9N^RfJZZ5J*2blrxK&kLy35I<jk7cF)izpjNU{a2 zBa_%hJXL;_1~QAn51n6mszW%c%OCWnOjvD(u%WDG-HXO3UaEYysnKy<FccfQ4P%3r zpRylhD+n{DS1^K*w@~Y#Fr)M;M(C~x`9O;RaZT7PW3aoJ+@v{z^24uqIDe5fG}!fA zZ|CQ+sDsnvZZ$|=dLAP_9`G+RLF(#^eB`oErVfi_F~5U_Dh@1Evy6DRZwD3br;;9g z^xxh~4iq{5ScbqE#3a;vhLlYiIVqi49eyJVg%7BFUXRcz@hzXB`hxTQ%lJo^H#T%> zd2Tj>`f!9#`*8RHWUcW8%c8o2fbig>F3OwNec1lMvnrKefjRX<boCa3O20y&I5w@C z<z|1SW{a0O685I%&mNgnWc;O%%>yn6gB#~SbJTM>GH%;!qT!wJ0vubin_;P+&#L)M z3~X49;oo$OBZ}<GD*q_ill9#th$sJuJgKMVPaz|=7nbJYW(0CmPc?AueN?%)pKw%s z*D=N_wKQNas%L7iWaGL_<F8QmAtMXSW{|&j#LL3Os1MXevEMo1GOH|OGOI2FlKC=z zf$B1Oe{!>1G_TuBO2eghf*p-V)-p~nV<M0|TKGIagy70WZmU02n>p#<bGScm=W{dl z)n95x)i)lk%R4P2&!(CYjzvd8U*2)p1zBr(8S=o{<?)z(b(e~NnVt%qbGn)SGGA(* ztY|#Sb#z*mj|2EW0KZD!U}f#8-HfKxTVg}&j0DT0+8Res${O7^Y(Z4Rx2fikt0FfF zhRARAf`vwx1lMFD=J>qsAo<yfnyv38h%MoQA{%(8GgTf0@2jtsp&MkL!c&5Xx+7nO z+xR{0+tUw<hZhCK$FK&)haiLEqvV6)5%3@_YP=s*TOsCDC~{#bBBpeT$J+RKp}s|r zWZF$I7%l38h-#Kzg&Vp*?W+(WQYkQfVT=EKIJ~8yC1!_6dj7uxN}9)l(Kw+z(FCEc z(YT?s(fFZe(T_vL@d-nxewmJ?!;S6xOxGq}g<B~-l@k;t+AL9jYG-Zr)Xw(R)4pZn zr`!2~etXdV6byphWDLIDR1A89Gz_Oo=WBhWW-p<Nfv}MN&GYeWG|xb$2NeVsV+GZ! zd`5%*x^3FI8xlS?@$^kXloyfEfGCGppD1O0XBk?)kCDF7)y-)XN}dYg1(vh9&MI`# zVq#Ax#UUel99XFC<tq^zozWLY=o%Xd=-r`FT*y7o+}5U4%!Myn(?-JS-+-6C^rRpk z)oRtPB4B&m3(U!)82Ua?-rX9-!JC08tk@_HgZ$7-+E#*I37F3G%=87`MyiIr-@gA) ziCDLcy3qPp0q7xt;h+)2|247$8tII?XhoY>4)k^c+ZigVwY+xX1s|2<+gqMF*^_A_ zLL_J#Un&+<v9BEH2f7iI1C!oII>S)t*FIy~f4bR7WG5Z4ogMcXPodujnxeR?SP!#w zjBcuYDZ0OU+yaBvmKmq3rVX=bdY^(9M?}nq=aVcrv$88RmaXoEXnfzUP2iI(M1ZoZ z({FVxL()SMmVQKwgt9B<x1<G*cdT`Szk&UzmN{ayKavHCy_K|J7OiS?Ch$KSk|54O zq_u2t9~vzp77=5m_k;#H$N~)`R(2&1W3OAJOzqpXdi^9Tic#5>{t<g!(ImgLx$#2! zQ$fjBm+CgmzmobCCSOLx<QW2u?v!0&))}CJ1*i~&qcY!L3~a4gU<2>^zYV-F*ucB3 z0s7_@6CbLna;?;kB9MD%c2i+V%5Vs?^A@l;nCtH^Dss4eg1I=U?zs(PxE6zb`^9;p zWK}jC3Z4+HJh@^feF}G^mhn`S<@f@k0c;_4CrYxe;(*#l2%-T3ASh2w*{RkFKHA8` z0}dF=_dG^Sf8kJ@&M*Pm2_igf;l6%Gh?l34tQqYj5uu_mON{M7k9BKRY!9M(3y77G z<+yZu+{+26^E8ol&7LH}b`<VIz5rq^fY@9<T0G5JZM2b>w(Mg*r^iTph;?f|Be@3H zuS$UZYI#^|Yq6vjP0egqH@C1SN(Um|t`#EmMI`b@gHh|Fy#cO7r+pWugy>xadC5s< zmO%HtLl5bF63+8~{D5a42(_lUU*m@?NE>b?w4puJ7J35#EB@d6FrA`b?n@%aPw)K! zRh0p-Py>Z%MUVNv1sv{Ow+sHOdE~rtFRVp#9~UHs?u5)B@9lHx?=&&gx9;PsqteP+ ze@V!8c+v|IsokfxHkFAK3cT!>7GUp}78L347GUhRw$_U7?f*xK2musr3Orj{dUB-b zl#wgn+eoKf7PMb23t(Og^-I_B^mo^;WNU|&IfTGD9YSJ;96~~r9YUfa96}Ho4zkn@ z9T%!0L7Mr2cH5Xz|5EavA|dl0fL#`74b}Qrw%B#D2P#TEr*Va?xq6<=gPI;05c)gT z2F92`2Og(L21=53_I`#8P{S3}hyXQ2`o*8=0T075a2s?!dxI_Th9b~uo4&(k+X<%l zHG*o}W(M5?4!QTmZ`EIHDs5DV;&sn^kw^Xz#S0;GtUGz{MNT8^MUI^z8Wpu98in{O zs!Dxi^CM?-EnGP?hw}m~B~pFH932r20*!G)ys~2b*4<HF3!b*L1lg1byqEnO8w|Fz zPXF%w$=1p|%AG69YO1O{NIDf@Nij;8{J}a&#~-Lt9<MrdTB3f|&#=&=1K6A}#~W+{ z^e2{l`<J5rHQ7^7^0GTD<4&czI9179t-R;HGqXbBo8W3TfT*IRO5cywMBAL(Tou%P zrUq|tGjc68cp6&&sk2RI50PIb$yf?M{gp&QDDE`@4Cqr(=^C5rQ>^Ldl-q~ZE0zX& z+aVu=#K#`>VqVpkqVb5`t1D5ExSogIy}v;?N)i7|viG7edjzJX%Gg}}Sp&}#*eS!q zEvB`NfhlM=!X_b-Eve_mDt_Hu@R;|ntsfCC$;$ZrtAKURCn%>lufNofhcQd+jbYiF z(JE}BS^NVZyg=wxxwV;#fSQ0L5jn#~Ca9u@ABudRG6(O$<bG(KcD9oz&|Pn1WH!4E zd&8k7z)3{D)?rGGOTy!RQPCtB_&JG&9F7&tDlN@Y$HhZb$zRM_9q^_%?Uj+-uSr2l zwqJCQqw<d^a7oSyL*+9*5)`ukXf*v4_3V~(JzwnxvlSg?xTck!^Q-D3gSI7FP_Mj- z`o`qn-P5P`wN=Pt!iOe+f#)?MI&s<%$q=Swt2*!_Y%cnBHugpQdOW=j?VM~F^M}_P zucLgc4IZ48xNB(Q(9>`rNighvopZ^*?DQr5cwnNtsAIeqZp(XDW;u6A1M-oZU_gX@ zsjGs*GIy_c@sAUv8s!ql91i;8eo8inmZzSS-;!!9+%F#OdG-{VHCgr`)nxRSoAmMg zDrRIO|9lvnkR{|jcZHC7QC;ibJTrU1sNy;QigOGQb>u;4$qTclLZhbdrn35~|H_YM zsmmT%G#wWRYn3l8Z<Mnl%fC-=686YTT;B{AROMk}NPQQd;`*YO7Gb+(<MP_Fh{25B zU|*b2_lzVco*qrL(`^tKy}OVzRtRnuGJOMB`M!G2D6FR+gHcB0fB&*YK-Sg`qI`c# z@EB|um*xI&Ru51V_W%*WH6mN`Wte!m#j3VJJI^4##s_lwMiYj-NF99bAd57OuMeGj z;ASiXn<81h(>j#0A<ks0sbdqtD?xD&5)~#jrzk%F?>T*<!<IK+`3p3M6Q86~CSv}t zvbyoGgV?6|TlO{{zA)7<$p?XUtp@T8asmeAASDtLf6L^?^%asU8h5_4Ci0qrXjH!L zZUBCmBX<xe*LsIxG_kR9&94&_20yg?$Fk+zdZ*lRhX^~Asxh!uYUPM1y??p!;c5Qe zIN*c?C^wwEnsOCS7Q!9}v;%cOJK#0D&sRJM51LdvyJnctNvDc<$!x>+Hdj>t2Oj2) z>3#X;vV8ab!f8jiOsz;E$o*Fh9-bG5D^JCO2Z_S)h^c1qAY2$eG?fY-UicE(NVahD z5V_*_qolG#MDmG7@Hm+=eiFmi-zgC*N-~22e9p~McQ~mRz6djJcT7AJ+tNIEXo_d* zfO!k&6Pe*~joiPol4EQo)#*#nh~dE0m5CIcvIQb!l!$#`lKDj0c%1n{fHm2Xi^G`@ z(~u_(PGXc#9*+o@@f0r%M-GMoRoDx|k7?*4IWQe%B02V|>3VUcuX1f7-u$7`Mn437 zc|%XB{rFKRsrK0W5dRjr7i&Of_OT*qFg6f%)*CK!!T~@ZX|*4J`5#CVsMrwC^y9CF z9HTF>IF|f7i!iKULuqNdT_2;Tz9gh_2CHFM9km3wOw7l<4=vNNv9y2M_B>?R2@Wp( z9cLY6<#Y0DseYDIZ5U1#yiW|bQINAvH#Uo;ShW<aZ{^`>|7s)0p(ZF!>Og6bU3@K7 zMaGY#WL;WFjGrJBDVf+WU^K;p5BNj@rg#PUQt`=rnj>5mo{xWEHbgx?uKyj!?42yu zZ3gEXOW_3P+zuIvy}pM<8ns$^QIFb`%1C$&e&ze|X&+#!l6`$$ISjCG;C$4(T18_n zx_MC!Vrpe1_-PgLq<fE3)C6=ikPHlpoIb&mxaQ~DwxnN6Q|eScU-iCuC$}eJ!AhbQ zZ=Zmc13=|ec`OZsAxwn5@j1&k{bxC>gY~8D-?=iZa(JT3munJn2)1$Q;zWNgd#~lz zz7l9%2tj+KD_g$;i(joMvFoYs82?x^Is4v&I$YDpq_a<4Y<pQzNoTbdaCIb>7v+qB zwXIjNF^e!J4NHv8_d-EHxx$MATq9~g1~CC-5WtV&j!ILD%v{Tv6P{_&Yw1mSogl35 zSexHE$QfxP^IbesC`XGw%9*!aHeM)_HL<_e0E}a7>PHaild2ZLOs}B2hTXoiZgKvf z3HpKfV}@5BQ{G9f5aCud`fr43nH2qmI2M%}T6>6c%XiWAd^sT|o+NswN{!@Gwp{=O zsdq}5F-QNGse$rI1e7LX_s1+VM11gJ?aJF{t@ON#lSI|>NxP5@XT2@RMr5Hjphty~ zBsy%s8qcF38+wiBs*nx+^Z(>zMUBnK_AJqhb5nhUU#l#H5P%u*{>hWs&U$@uxuU%G zLt~?MHV!X>60(}t@Z3(2JWy>u=RY5J)e?bDeetUN9dQ)a4;UU|@anDG^<UVy&hEE~ zoLFbxY{op1WLh2z?#JJAx3#?N@H%orue!gHex*!_-9C=Px(KVJ)QKzqM41&whKM+M zsS;aZw;CBadpz8vZj7og2|^Bkwoj;eVV98K$@A=-JF@M%|LbO>kC`HH+II5jM5oAy zq3G}shfzUgzn==P7kr`C!q>(K%X7A}PN!a=Tm@UkzfX-qnT0~fKMRH0B!>w^|1o;4 zD#`g}hD40~(RIGSw*?}+;6P0Zl9zYJNGX@s1M`QYffgu5$kAhDvV-Lt&)nWCu8!%a z?p)+6FlknIVQkipUr$<60?Gn(Z39$wo2!W5vsO{P@2hgCo^9w!v6Fl~Hz)LVqony) zAZaLn5NOpPIo`&(K{(VZHcV@TtT+9soA7M=CtPfQo$Ny5ly$w>_i}#1AI4#}f56%D zpx~O+AQc0%LPdFYdb46d$B1{=#Ba7Us>7giOvO4_cry{kY1R?fp>U3!s8I_w6z$S+ ziZCV3XFfI&KY#Or{Ex**RQx|T2=SgSi?B}QbIWKxzs)#2n3U1eYVR;%i$)_ouEqS7 zKE(@o;!@8nD#6arK!7=sXNdi!aN>Wcq|Z!cOp8OCxb_yKfBD_Nkdi-U3&YIp2?d`} z1H7gk?;$_UmqDL8azYMFkse&lT?4RMnsZJ6Y9KfS(ZT^`jHqf`*%z;B^GVsIB-7X? zTJ^Xhn22|#4b>!ioUzXnD)T2kwEWMqs-42mSI$jStBj9lJ@-ID^{7<TkYjg8#t!@M z*8}<?JYv6nHe-1Ui|rmi)U1pJRPj_s&Y~k+Uc+K!9^IxBaQ(1*F}|zfK+Wi>sChZ6 zSSF^Ef7Zc*(U-!&_n8M+Gqa{L7+?-||FcY*7+`3|xayW-E~`TFSmAU#|8;BJ|B|;x z4|kC^M-3SLc-S3VIcRUZewGm4v&TdX-}IET7JZ`4LmR^Zjg=?G9$=1)A|Xch4uvQ7 zmz_v!{l+)--N=arOFR=UaxuKelP_DKx4PEK`n^$Y9XpRG$gyDd8xN2E5&;(?Kr=fj z6OH3`I>&<DX_kB%WwMU%Qzy(T)|kyNg@P-9o%K^pPMGE=>u9$zXLZzg;_gOLVG&C3 z!)sFhe5geT153>M_d;#J^9yU4G#3yD{LL?br1U;NF@zluueM6JH?;Lsw(_Lj9}Lc8 z8Vi0t7>u%Ym`j@y%}FcQNoQL9hHUX=RVUYkq13hSZL^TZJRqWd`nUf-%~9bS`cBUh zvK=7;pLG&q6a>=YJ-N8s>7cET{1)BNIr}u&TTIT&0zt|5FO@$}k0Wd2IT0#*a3oOR z<zok=C|U@dKLl<V{%3}&Di{hZKYlMr9;^s9`v@=cFzn77d1TzJM>?q=2gBCg>L;B3 zOo5t@B)i^soqGjtkE7Zn;;ha8Rb>*HO%X+sQ1^K5w7~YciKQ4g-MhZ7IlUl;egC4{ zE%NIH3GDjV_r1B9tRD14`EQ<vHGJJyE>DzN8?8hJ-cl?FtT2+J-87D)-I9<?zp9OI zVKyReOup!=xxKAzSWf*nU~c{h|AGAs{=@TCo{8u}#CsU4@V)QWCZBeURYIXQPqI)L zR-%J-N8w^R*;FR=%Uu)C*5^5yh$d&0&E*(KEs%ISz{=T=y)~~lH)E%pzV@P=m?vK7 ziw7X{u>dlkD)o3v;R~as7g`8mrr}|+v+lOS6Zpz$d>4f`H`x0B&|<oP8PStyqdDod zIr`;E!%)P?p3ra`TVLNj(u$sky$4|Ws2kM^3i60j5|f)n<KxjQz~Mp)2+R&jstHaO zB7EF0AaRKGTRBEb5+Fi>G|UT#Km!pnKtxFI!`^rx;x!P#14PgR5s!fgkc{O+=o_{# zg;|vKZ+}<?)~-KOpIxASYnS<EVM_VD3oFlDxA`t-Nz9=6-R{=G0H1Tu9?ZPYv+615 z?-@qPt9iyqesej;N*Omu#uB;Nw7k79@Z&ZEKdxJ*?UuJ8#CoBMkzCS;ShhHL+dEK| zWU}8}UG60Vs+lc6<R$f{O<I^Qx{}dJTq|jp^y^(xCXu*4h5K_4j4Y52Ac;Wx?LE6a z&1=5qpu?}F_~UN}dlcyE>!iJBNaKV<t-+;5=-lcbyD~Jbc@eL-64-3=AS*L+Z%?#j z&0K-G;HS_<M*kUKkhZ1xjx?R*hmXH`D)4hyW_MPHb#VEvEObhtd@ALwD40r~PPSet zK7zCyzKA>9PpM2MQhmd%7x@u4C9g)NX+kk?=@+^FE&IF!hh8M`-C1V>x1WCAQaV%F zU5x_p4`KrUpmrVz1lDp>OVvZ=_Nu{ufb2pk*0BI+2!QNmo6-@ixG6SeGWJHrTD&}l zrO-zz<-YaB6*8>9s<k*jaOj17!JU=ihdURv6h<N>9&zXe^G`O!SCEE~T7-4u&h`+& zoo!1CBiTQNaq0zc09m0j_LOB>ywRW^3aaJ4(L1#=tfKi^e^u1-p3&--lE-rE(Xg}V zpKF(Z2~Gu9@iNGJmJQ0sKsf~{H!~#V>edJ4T6xc0^-IYOfKR2R;AkXJ=B>}np%m*^ zg*=@~{ZjlxFfJEhT<Dd_zOPuoRcbZ!bbcF_!m27j<NBrTe1&g_0@irpDxSJ|IxAYG z`18PL=>jU~E0alVl7VvLJRLKgQhW+fP65jIa<37oKg8~x`(ibuD$y2NWKt_5Ggkhd z0gUID>cSUI7ul|d=Vy@&WXkI{4k`DcJ7KXOoUK0xEg97m-C<mSIEckpoXwP_aJNU! zw%<}C-!%^WzgR6va5U?B<wiTBGg%)C0*e0UFmug?L2YxP#ZG0ENscre-L#<0B^Un; zAMDKWXZj!VlG&ndFj$Rja$)Yaxv*I9s|I+3*JYGv{4^Yv4?ql<3pi`KgR>@OY0Q8L z*Y4wS!0Chs>9h-Jg<%6@PD;>{Z$Xib25RyZ&MJU=Vc3gW=aOqsm&WJ<_|rW|@_KNP zj+u~FhmDXH-T-KpjP4=_L&`B1(-D%q86EU28>)rJ0LuM&$qHtfL1Kj0xug}4Wa|`= zzQGA-<6K5L>;RHJr~&P*bMdDkGd-jjO<r=UNTrtLS2*veIFQsB_6wlZX3%O3U7!I< zmW=FYzllU+ttFuw?Ub~a1H+sw9^S=7KNCvaiuiQj=FVL;XZ^M?SY^U8PX}gD*ma_u zXYy-xpP!p6(peYp^6j++@_ponD_fdVcf-1Rg;{ZRl`S(=$>e$J`)h6FRa7Qg$<$um zr^z?EA<&WaDP`p&QrVeL8&00VX8&zoUQiTioERst-zVf(M@pdM$Uo~2tRHF#TZtAt zBG;%0iaB&%Ur(TJT__%_Mg%y=E5wbJKgmNEu3+sPzOq+Iw$j||+GPGu$0(l>Qx_No zBf|vL=7=QiXNYJTg!{V9AYOu+vf|kj@j@EqcnF&kYJp0S*2;9ItKUo5(^Cy%MyroO zs5tX9Cgg`f_ldUO-HEhe+1Z9c*-PJ&8FO*%1Nv2t3!z6G1i8xMse;Oru&h1|s)AtE z?f;bWvciZeCx{|bsC%$sARHGM7b}5r(FGV6#UQ9!U|cjR1~$te=dKBT2oH=n4msSV zAmxLP9WdJ^?;(6Lp$nP%MTRw-GRj-Eh<}a6ph1*d%mt76%#vWG>uJXm4$~;o!f^m= z%nRI<_d4L~76)H<N48+(X#JpoA^Bf9HF7t#6nX4vyGi6*<8uL7^67NW9ZAz$$Rqi0 zk!l64r=b>;VniMp`&36Syk}E3&1pDS!kgzFGXAQLko8g~LGUAi9}=z+<ew}*)ltUQ zYiJBS&H4zA>i-`d2R}V4U7ZXbMzvog$Om`S4~|<K+qKeGjnj_HiYzXEYWe&y?gnl1 z?Rtq^JoQPb=0+3GllDaUj)gS&Fbd$HZ)1Uih!Aod@*hk{9b-EqrYLf9MPzE`hmi<! zWmR<&a(V2Wx!ueksrbcMIbu)Vzx#{n{k4#I<S|?3yp9oj6y~9Qf=vj|GuLE!yezxP zeg{rP(&wx(8=neM23$^14G=-{9K-}v7W#>@J2_>?IgfI>0;>GcWC)3-)C!J)jRi|9 zZxm^ZUBX5&k4Y;>6to(P;#r4Mo$L?RUOwf9S`fk`o;>9Zj0<KfX+nfofSv#C^Fu=D z+Yvj{r8h^JXv-kK1{eiwmp#&Nza2-Cf_R7ABC8fb@o2>5?7Q_|zVm8kzNxQM550vC zj@m<*ndt7f?lx;Gq7^&>jt5-@;g)NhkGtt0dA{Y!F&i0SMy*xKqy1IuuyQqyKNWFd z8y#X{aO?xh_M@@~mImcPQT_)3zP^>Q3H>Cj>oFT`)F)32Qd32TYPeNZv-jtPLN~v& z35O9<+iaAx3DX=W4~&2Nly*?CArS@S7#>hUEh>USM4Z<*!#*(3ua?^uqN=^uxikh8 z@FDOl!OF81?z=`o*@|aAunr!{$!x+Czc(+s_KJ7@>rIt;I&G9B6Gu?=U$;F<76JRT z`4-#^RZc|~m_XS0_js!{HEs|6ay3O$8}sqIGz?Pz9}mL5!#{!i^Ki_6bjKjAnL`=| ze#5{2r8@H-{9mdwT0U%!SfPNH&GECK`<%Kf{DuM(67T!rj``#0BdTQ*@#mN5r7OuR zzjr(3IqL5*0-SuV_T9U?aR~Q5@naKsQu>SUWe?1AsB-MlYK_ToFyh!J!?nhqJW)~N zz>W`P`BT0`cUgT=)pUa$w{UzjTi(=R(j;><QB!8>8kjXa*7&_D{I}Ycm}P79t?^R% zZ}~cX#plafYI~EF@xS4{bz>BKC1RGPGmYN|$7WlqKK(Ycbfg-wC@u2X!;4EY7HgoW zv6l*aQ|@af_}MX%m3-Q-?F>FVVZ(@g`*G%y=_RVX`C&at&2Ly<D#2%d@m)vWF^l%p zTZ5695h%skOCD}P{|$lqGx%4xdJ{YTnbrDHJN7@*2Cv?227Tr?d*st`@+;@NZ&CW9 zNBvYi1px(6)a*BG!>iy({@oWpH!Qoow(Qxb{u^&f&)@?=JPnIc^YuA=OZwu~>5IL{ zCs7#^Gk<$_toHEqm6oG^_xUg54r;VF0Tn^=FZ$tz5eNQAc1cu&)c&QS`m9e=jlR^q zjJ}(<n~%58MO4!)|19+6H$>QTX9ceb@<Tjei~sAba>UTbl=e17U6-p=3hEDU`32B) zE}wdd{68JYUH%t$yw>~(y#l*gdwVmS_-VJlUnu`;U7PW3fr+l^)f+d6<|_LA&$}kp zN@ZT$18NJUK$)wU`+{Z5{e*GDCWG0uR5Uu~zG2yNc~dM3#)5zfL0=b1!nW@JTzYVa zz9au9yWiwr-B!Je)r6x*41wMEcOG2s9Tk7A^S>$&%iR0W__jvJ)OBb2IQVQmCh+Hx z<*tp`>GCDqi?0J!^7BrV0Ynq`d>6T^T$UOh`qT7t`S(we8K|)1a{2p`^BLhLenSyx zBUEJWoBpWJxCpdUXEys|@8-LGVQz@C+iwd)^PAu$RI^>D*ch*io%hlBhZ*wa(NU4R zugjK?6K2&fs(gM<GYDxz_WEg9KdVH4Xe;mNx~L9)0h><|Xi_><NgtS<`c}_USzW9x zU(WW?g+MDq>w%$4jfwKB0GG_X;V|dMIT2yuc}dHwGn*g$-%)8l@AL>&({N*I?8+?o zEJ-&~vru6sTZaiq_nDQ!fk*deb93eA=>4KA!;-W==}sR1^joB+WxpBTwwE2uUiWhe z4{B7AZVD9Xgb&-<DtWu<$9yHiV$;X<N;l%{vkzWDUlJEIqPBLvOinCJO)M-FKI|WQ zpw4d~T>4TOE1H*%_9e!L?V4ZdA~SpqEY%u2J}WQ%b_IUZHJS4{V#WSb2q94P`SnH( zK|kR0%UcHVfITp&CPAgdKs7Fc`YfZc?$Z!8UPgXhco}t6#+~~Y*7Mut)9&)3rt&65 z)n$mD?y`yL&+_8v$u^p1%GuSwFMA&CxDVJE4fRbCFTR||i%gIZ!?;e?cqz>5Y@rPf zflZ@W9d|LVv}07!!+s$sbiWmhk5a8mJ_KjcMS$4yU$I?mg_ClFrTOw|p_@Y)loI@C z!SAWVe#4<i;ObG%`yYG$LV7K8!q7%Trxx{>zw4aRsyhFMxZl_6ij;m=i!FtWdfe46 z-y5jR{P`V1`{q}QBA>*W67S^M;9dy~^<eyzW7~;dUVNw^o#BjQ!>d}tSu+*y4W9T9 zW(2}-`&5?RZ)JozXV{O_lQmAJW3(W=!jIIOH||NtaFe1Nm$&p6lj!Y)-Wc&WAW=Jg z%jw|WJ9?~@p@R5texzRfaW;SUw0lC#{9y#!!T%l}o(@{e(YHm9Fvn^8Z9f-_d)U9N zJ-8qqNtvc7xOK*1?OQGJ0NYzyIw-<#TYxierk*iQym&idd`28MJynr$`i#To6+IG` zu81SyeT4HO84nll94NZ#w>`xkCw{%F5+|C(EEpig!HyFk$&t}D>#DE+bLv&+T5_eG zuF>+pd=rXU=)6cd-MhdjAN2lhqV_WnJf3^?p-kOrtUpD8N3*|X^Vbf{>5aZGp-sMz z6B;m_OdQD48wd2+Rv7kH&$7Gz?Mk#jkTsu|pU1V3)x_plUGR}qt=cBe-l#QSf8sP? zcs6^$Tx=XLB3hw|UP!e_O99v+kFY9VVUn@N4{62*$AZfT0lP*4U1k-8R1O!!w{H6= zBmJ*3KU{KVp>!u1>WIp^{qQCz-C3DBJItMG+U$`Dfq`MbclDR9wN7a<(4)Gc;sEIK z+b;4f0%NOb;^(en4#n#1BlX)eTg=1V&Wpm!#dNz1jl*VHm+6kvl4ovW>$U*;dYU*2 zK<m`mdFr-j9OHMan$As~rwMWZ^jVexNrn22Swl3f^P=x;@$8eeV&>|Fw<Gph8m;F+ zFG?PS@E++5k4L4}&+429tAB&pPSfwXE$wBiW5e9086DkBc<J55b{o~%Y3sLz?7~?~ zoN?L*bec^Fj+>m)_}!LlbJTBO_R|k;+{Cs&sVmYp?x+8Re)e2QoDR=R%~rsQHTn@t z8@tpvESPmE>OL*W?kcuksE)|4-w!VVV=+=p@(~DjNM|ka3L?Cp%cuLW)Tqjzb@|I} zS~37&BZ?Nil%$p?oiiM#1u<O3tk>5vy)y;66Q>Ki6jSR-5Yo`2H)~VB`?kKS->lT` zf69W=y%{cMc3*xwLI*l|BR$lxmF-^U=9cTR|1!|&)!k_OQs$#ayU?52m{ADqTzcqi znG`x}C^5fK`GfYno8kRU@0)3}GiQw3RSv)9Z-dLL$}U!e*sSB0QPqmFN8?$}6)CjW z-BL#i5o&8B9^g*Y_}|~B!phI-svaq9pHA`l3)y|JtByS4rMr+G@-K{hUsE2~QP<{Q zuCS-?qxi8OrnOodrl61PPjkuAFBsUJnwc@0pIV5g7nU$Y6!)jjCD)9`@K#-@bI~A& z4Xi#R#b94t-evICjuz83FE<VoWL+*eO-p`r6|(}fYNTa991BX<Fi}iGZv5t;e)Uc5 z5|X#s3Bd|Is-G#AkgRjc@dFdEOdY`kpkx3#RZL<4pmp8<gH{3Pxa-o6!5?j#jLQYo z7x|mtjr*U>p>*}j#mtvru2^Jgpid>dH3Fa}_4)6-Zg}h~%vauJy<7B{rpI<&+RIhP zrmf$P2Y2^)^(Cf!QcwLzS-)t*JZI!OVi2W{-V8|;iBCDobNF0voHEkyY*ALNE)_Pt zp!HdUC1zY|{1I>N<z7`2M7T+qx28OzB1r%ER=Y)t1Mk=BO#UAVoQfN{9zGWpk~tJk zL3wKG(%Vam8;hY({nNk9!WWd$neXrwAYUGB)lFIHDMBfI1R)CMN+A&oOj-R8Z&lYs z_yq|t@cZp^yM1AH0+QeH*{o{2ORK88OChHFB_3jGSIwG!WRwmU1ie+O_MyK`50)eq zp!MflkBjd1+#VFDNaY)lpTOQXw`<q`+K-2<_}19@%yu`}Z|zRm+agq?uqM0SAE)qG z9v)I#INz`6s_I@f-}Ba%y^Z0<e&Nb<yQ1Nw6*t$vS56IjUf~oVDB+JmqawAT{Uj65 z)bcu^GO_lzyN8NY$C=-L$wO6UF-5F7DlSVgf!aB(0t!pzikw-_TiT!0y?>|f-R?ha zI2JAPML1P|jGYbJ%5pKysyLw5=_!ZNM==<3s@f9QJ+nMy8b?$OI_4gEi%3kx@ijLK z&Cbn{rW?N)+?bxKUZ&F1wY1d#X>#?3clZ>-^QiDXt*N$p151+gc0}J%P4);crpia( z87pV@d)E{nvJA^6ZPSeWJ?EIM_Wt1HE&R{oT5P%7YmSMMw=6t@1Obr#qMUBu^S~Nl z5zKrWNtr8)2s%yy2^6e?5+3^a{;Sq7|ARZT_2pH-A3UM;pjWaT6tDXTAIA7`OoS>P zJ44?6Rr1JO;#ir))4B;^z&bdU%ca-EIyh6yeISJ+v@M(GKrDep{ah&*r{RM8_oOPJ zeg*o)L!a~tiuLD4hzGK7DWB;fh<o2sJ~u<)Fbk!BWW9hu#CUv}EpN^TCiy$cbP;$D zef}!s((hp%cx&W7u*4JEu6)Hj_E>1Q@fC^2_cGxH`;JwsSr^R%tWpbqnOwdBtOGB# zT*(_u>RR($#+R7Xb-KBN-!L<4O+9J{#J_i!&;J41et%bE@oC)b`x@rRr1hXHox;eJ z^+*66o7so69G{y3`;(MSP0WCc4i4JO;hvpOJmLgDdiLUZ9uf>QZHMzPbtKD=2pY4{ z26o!vB}JZBJceZZcG|TW_0<T?3l&=?{XHFBA+8ZBQOy3(X{T?}x9`|YLvt|u<gD?j zrB``{Nv5UK`p8WYUH%UIod1Aq!C+23a%Q8VKB+}p`0UQ`!OQd1T2+1=|MTS9al{jY ztxheerWlISrSEupZcA9@mj@5^P2UEUe{Oqa8;dKHcO1xj^njQDEevaKF^e^)jh`5K zTW;cPh-%as^cF88)ftUTk#Y9@=P<<8z*1P;TnLTh!j>55{UJU3PwPc#*sw>cI2|WW z`RJGFv-QzLVUM;kNvE+}5!Jc&od-ub3VW+rto}WT>BWEac_I4zURR@u?6~$F%}og} z!?^64RgS#eX$TD&S3WdsC!Ml37d1B(b<_#pd{hlEzxrz6POURpz__gPlSAF{+QUa* zcj&GW&HA-wt%h=2pzTfkaPkjndR=bpziR%ScABYo%N_p=mv0X}GP8T%E9|{F8cmF8 zUBYe=sxYhAICEYUbZd8GzjWL8;rc4{*G;(1rjCGnjfbi(>#L(?!4g5XsaSd%7s9Uy z!@EocasJodY5&efKfvW{Q4N2iP;GAe2*I9^z|rStvu3yT)O#+0spZByDSGA5@8o5f z#$VD1c0NExd>ibNl3(b5Iaq+e%-l_8QY8*AAkls$BaN3@@0ZWUMapgIJI(7KzCO59 z(Z6n*I6F?pkK3p4U+RQ;nvgq3u;DeSB*9HehkEu(c^<|N%8X*yhl}c6n`iBw`wL?c zIFD=;_M7p&9@)z82N@-J*30{4s-1W?zfE~`6|Y^o-jC^DEp6(4-uFm#?NxnfVxiut zx_D`yd!FUyakuP#|ATV=j+KE-^kVeBV!2cBQ7Vj438~@Nuzgs%zdnB_P}<RKa=ZM! z`5O84`e5$5nErydNsZ4uy7AmsBPG4qzxU<CcSbiFL!Tys@LVa{dI=J~W&4?K(tqpo z&H2ehxWKGGZC?~BVW70&*cOVBsw`?36UyAIB<fHRO47kWXAx-xArp4my~<4PO#@x$ zw=eHXY*8PxuA6M*c_tPISJ-Rl`t@+P)9rQeu%zyxc*ir&oLe@slNe>Vny@~zO{6kX z4O$ke?2(3VR5hv(;oWDJ7S_<@`aG*5=XEbUX+sAtAQ|?Jx}bEdleFc9T7@IS&I20k zG<fT(YM`@IHE&B6msTc{cS|<lkLd5px1j-TUz@IX4*uw?V_m0JGmEwM{+I9#pR{d2 zk?D$x<@8fG6XCw@S8b0@)T305vZI!jPc5pKjC3N)p;LPfbS;Z=L0{FLln&ShU8@nl z?wXkzKpcta1b%O(|K{_nK@su5--q(Fh=BQWUtpK!y9!Rh>JfTX>sA#$pJ~Z`@^j5+ z<coY^;?*l#f;E?s9YiNL=h#r>H?)*Wk4_=M&)a2j-Ioh%Q%4M>#_yY&^=NL!tXut6 zUCb|3YaGz8_O@4-%q%NU{|{ep9uM{R{*8YSHE4tyyWSFtu`e+pQItLF3<hH|_Q;y8 zcpF9`RA$Cbvae&!n)hIMhwQsT+4roWbe}%o-{bz{e%!zNkK<ux&N;8^T-Wnl*Ym8e zfe(H11X*5FiGaAmQxxrm->C@}dZMuCy|XoyYQ1Z7?4&xJ5->TXGA~nivBqrdhNbLW zDSyz*?}28$?^TO1_JSjFqVX9{zJkrI1*$=biGgOF(W=MKxHEL5W!Fm>yuu?91() z|5C2|)z)GvonA|i%^<NYp>DEj{3UbAD7j5MuJF>|wBa2MtgA;BCCo?>R;iC=msf;U znPDM%I|e>HX>5A8wBE@Q?(+Je3S{FM!6>Yp5*7$XVa1ea6)*}brQlIVd4a4S34x3N z;F!<lJ3Wr2G}Te(o+bG>#*$HFmw4RWl3`>IbDRjg@9;rp{7&ySOGwJC;Q(ZwEXhb= zQC179uBQO2Kw^br6krr%tSpNHQRdc6br|I+kCAb4f$jY8k9V>p72aKPsca^SmxWwN zNyz1mQ7)m7wRrWE$(~kS4Ix8R`m;NPDCmje;!YAx^<cq>ouc%Nuf62o9DJYmvWjBh z{KueA7|+c&D}7v^Bjh$%T)q1!xl=Um$bA^u$`lt_GKOqN#&xjvBQ<}A4A~saw+$DJ z8DXKJii?9fSixq+#UVW`oth$SC!2QV>Bs#yOTz_+`dEn3E|*DZ&rV0-gDs0wW5v~9 z1+t`0-rXnfWHSl8EI)2zg=XB~U&Cn*n{Mzq+ZGq~%%#*k?dHZKt&xHN9jsKH;^Ox^ zSoO<pOXtXClmr*v7;+JXe4SU1oVJxfcXJoxI-L4Wwq|FH+<hi4Fm{aGryR$~I*jb% zj=LK>itM=-ClcFpL-L`Hx_(@cu)?B@4OXfR=vCp_i|CEOi&cLHi(T2P^jcu_=e7A} z#C7=QPEdvlf2dkOL3dV&i)(s@j(Ys*48MtwZA(c40~k2U#1FT>)`1Z0JZBPzhq>wm zKWs+3JQ7zI8_(2%+ASn@x2W!nCxaJ*?Od}D7K{u@)M2;Yy|y@H7ckshf8V2W+=hA3 zXwO~zER^rr=Tqwoca81MUn-aMu#+ySe5I)Bgw)R8YfayI-3VS<Ah^~Y&RhBMc58$8 zh00p~J0;i8%4Q}-;71&@D6N!FZW;81Z{?&>wO{YLj`$z{5Y@4fnvd@6;QwC#MwpJ& zZM5nAmj6i_{H%IDsAI+Z!j8F0w6ZnSp+)K}WXblo&gX`jh)Jf5BwS(rqIuaHrY4i_ zA-lSnks8I)zUE1@kwNNfySiImCMCxmMNv_c$Hcw9A3v|LC^~)AG)Sv7uF8iGUlfl? z2(FA?-Y>i6Ky02meQNmp-tRkSXIiBANX1Ow9{1XZDDmmHGDkpAE;8)JtfDx-&Jn{n zULIo8)akYYW8C?^vF84qdhuZGh992~4$(;_6Hl^RYM=O-KleKiU;QDem^<~<^BJb| zTgBdC#j2gu%d}qzb%o5+fnloKe)%Qx;CK6ibQS$ymp6=H2xNFY!WSG!B^@EmPMigf z;lr5LD*{pQQA|6B01ZBZp^8~apz~aMunn|fW?d|uyaG(l6w6+vkmr8_VD%ci@~zyF z*XM`A*!y+LtkJXl+XS}#d!!7+-g2&1<`)F(a!y-93nF$ozm0r~(C5yo8FZ|tsaiPq zIOWplEnML9!f|(1SDrkY{N#|~3ZFxvywmr*FL5JBU$GXk?{7_R)f*!1hky4MwmFA4 z#IhH3BEyS1$~72hED8@ZG=u?*StM(4zFa$R(XAyA#5$VYcR_%Obp+ETBcRPXhUqyc zP_z&vn0I3kB7jKisgrL^k~%j$7p;Mof((DF)Ces*r!Z?B9%XaMxm_`w79!S<Uki#r z8?N+!tA>VtT9%nDfoin?b*Ko?(#9~<8w>q`lFfCGmiy;$fj8xmvp(U760QQPN#<c} zjz*{wM8UpFL#y_j*#_eo-rYzI&f1mp{`I+9@l%Ms`W&qqEMSdJ2(w}z0a^*rZiA}+ zR_O@BcRbP4$=`E>tDuc8yn+8>L8nmoF+a??b$@3-IY&tT46iI8qg7{8WEw-%ueC7L znD+hMDJ5{cK8k4-6o^_M!L*YQu@m`i`0|h0Cssk8z1Pb_m<1Mzb@CC6>ac<~x$uS% zapM|>O9g$*;ZY?V&h4(@MH@L^&XEEUd*pJhOzGbwCI;AQqEL)4Hw8(6=<UihD~_)A zW6&-4CrV{CvY-rrr6+2rF+ql%Dl{0P&M7=B&=8J-DB#{}aB43DMsGt9Tmvyr`Wa@> z8v9O=XHbX09_q(EWJG}c>drp23Ub>U&2B5$@yAuPJ`6|ba}{)p(eEou7-yC<@_O55 zvCjZ<{x=Y50I|oNqm?PJVEI=h-M782gzt!0a#kDuejxb^FUfb=OJ(NW`sjN}W5P{( zzj_Jd_+a||dg=ZI9s0N@aAU>w)i|&Ew_5R@Kp6SV_3B2uAz>|G$*)mR&PPdFziX<U zJb@s@9Z|=MR_$;^h=?&s3kU)?&J?DPQ<mx{a|ZdLYghZfu>cK=8@VxS`L89i2k*#i zB<F*tBkTrBoHl=!8VdMBh8LnW%q!0niCznj3W4`W8=c{m->tI}wh3D6&xwbQ@QP$o ztDO#j0LN=G4q;GEb#cP&QF*7eevDz@YdPesJb({-LAO<SPzh&2-x7Ts_t7CDj%WYS z$`1`&^Vmkd)yk||a52Did8^4eI11*e`GlOVyY!`z%biE%gPDitY>yBsIZ}s=tKR|s zGR`S{Ez`gTt&I33ztf8U7qO>8GP0QbyttakzWWhF-Y@xr@Le{|AhN>7Mf$_ApuNKV z_^RW7LylLBKT9KGdEO9KMq0pduI6aS$?`-#yvSNBONxcD=+XJ`;}S0CR;F-m_lwRw z&~Q=rvDC%Ndjvr}zvbQMP%Vp98HXe&)`HY_ZB5GBuOP2WRlmIZ2Etd_^2;aIqNCec z(6fxI-WpkgCt#qLq#=C3tbmKRMU%??mLFIAjMB^Q6B39@8)b`EHiv$Lmp1Oo^v<#^ z@C{u=gxIM^J?zK$2d2uc?oc$;^46BT1G6%_zugPWF<JJh<`+f%>LikqAMV>3t1IXC zV{DHUUfr(o;wb1A3=iV(kMT<`)>5=xEKV7H_VKrPsBgM@#r2AuZ@bMKJ(%FY=N&@Z zn82H+1yawU=9)knJAyiwAGendL8#8RfLMo!Ju)Of#t`c4(@=D+i{#;WiEO3;FAH}z zCBbP@<qGgyi_d8sf=kFrLK$G_Q#Ri!WgqHqdOLo0t%jntSNgH<prJu4GWPGGT2U)9 z4h2wb)Upi5OX{^td`n4<jYq%2qP+P{CHogNO;I<v!z-GDs52a6gUbE9CxEAq*pX?r zJ=^Wi$m6SQTq|)+lORCvHz8#l?-4|iI`LbUEm+U!%j%o`ZEoRA^dq<|<bN#aHVY5Z zzkbW|JIDDye|gW3WcO8gBSUJzfLUGmBj&NS>TQBLXE)|?YNahfoezBT`F*oHzkcSY zOFt?~o;ez^9~HXex{%@L7gp|Nw;=@@^oO%MRRoG28x!a({kXkN3G8fs+*S7pkel6K zn)I`~=}Q*`IlI5G8YK5!jbqdYe2spCpB?bADibWk%^Tia?(for@hp4XyOM;mdTI#^ zKK2!8C>hM|bQLH%&CyakCdE;CSb6f>qA`fr<eWDAQGId3mxp$)l84GgvYA+37G*%! zS{%Pwf4suv3dfbxIB-cK)b3->rBkPsum^ZXQDQ0jg@S#GhOksp>Cg*>IbBqg+-j93 zK?u9=+7a8=R^1(vUhX#Pw7J-qiJrZDhW9&|Vz{+foMfB0hA=xUgJK_%+V%pS!>fLq zMnIiD@+JI3Z}%o&F^7(DhS%FTi~R&l2vAfB9ZnxEugYZQL$z?rGPoEhXYC>;s4w%A z*k8?m=kGwe%4T1L>{m&=x6Kl|m1Or%tyo<fV~Pv{xW+YvUAcR!CWx_M;y_M7G{g!T z(}$HN)zxgUue4PP7$-8RayWNk!)dCQoT*in&!uu)7bT4}zW=*oCQxK;O<<P?vmT}d zSLc7*s?WOjiDju6v}W(<B@KkN(Vthj(og<IZ=jrQ`A<$cG?Zys#y$%w%(P})8tzAy zspf}e20xN8(m2WK+F5l{uz#(grNpAJ$O1a^Q1L9-?xr5&$6axUz~1f4y;}(7Brahl z8G?R4tABx0v!8u7<kG4`f1c-RKlvYe1MZ!fYVjxhKdsLn?+pku9IwiNbLm*%<}ey6 zaP`a(EA?}*Oe6K{TQHA4F`cBk!i}SO=kTalcIS2w!av8`O4r-~Y(qvM>VLwtXlxH? z&`+|F27*NuC^D7t(P^n6!y_;qRI4Gpc}`*OQ6Sqjbu@purP6G$n%w+N0h$en$Z-JG z^FIny={j^?vUPI;gRylNXFgC=JZENbo<8o!gDi;AUeM9>5T-R$AWF3#)1xRL`ZIwr z;nNyIKPb2zs!oSu<F@(|F3-DNsG&t<QE&iZ+k$Af{#Cv&Kgf|GW5rlf1?VH&7N^+< z9)>v1YAU_1?-ah1f>W?h1}Z$KfXmU~R9Z3LMgr0^f?)ljPhfZR<*u?IK-NImpgY`! zI2&?S2;2Qycj$vTcijo6>2o+!A2u@!rh+JSmq2Ij$NfDLimhFd!2|>K*|k_^gk3B; z(*rMms?C6dC_MaI1H2+S3*xgc{zQKu+X}L3TzbZjTNX`_y$n>Y4Fbcg_Mes@UzI-{ zWgs#u%yWj*fWxNt?3~p6PzcQc`sV7#w9*S`1CrNsML-mO>Y00U>nqOd)68OW+w_iA zUP&ul00AG$?#@T-A<MLCRsg-w6^JSs#I(B#&_eRs@QQ#9E&?{#LJNU8>wA1u$UAt* z8-oPHClOvfWD3@$&!$+GVB{Uwy_knV_;QzNk+MNF2LuCxU?mr{CEN$0yrhkM9idMy z0#p!BQ>D4~IK|S2i_EY>ISMdY6Rhh-nQV=>G`3@7S0PSCU)s%v#>_vK-3Ae8NCPhD zy1;Q7kc$Vz3P5{=04*EBPy<Voq%OPlV54XuG%zdT78l7sifm_(>);<jQYrl1U--tz zZIQq)2jiNd0!qvMjyX^@rImiCDkwwkvdqI`=!kxqmg4I*<KB7DL@C#vZ?C`viyM3v zxa5`*WV?A>$9g}Ky5v~$qZN}*<M$^jN?{qH%l(*IC|d9|;Czt#aCV=l0F(PDrVA#Z z?LLC(;X>$-AI$8Q`cCB5Ok?Y4AqUQI`*Kj<FtS}et|KIug8!vLRAcELQE7JapXVig zmIvP4OM@VF76hSt1a*R6L9IE0HMzJgIuLlo@-{pp5YFGV2TP)bD1pl2X_^PF@UMD6 zxFICf5(pQK%ck+ek`&7}=z4Vp5Wu^3y9xw_<Y{FpB3O~dZ3%ve*dI0o*9RqS_|Kp+ z7*N`CS_sh<KFb*w2_HhX^T&0-$B@(){N4WNd41j|yV>~n{RD(y4dELIC`Bh?@8|)6 z&d8U02h70sfqwBCuo`$UNjg%hu9_G;JxcBqh+~`{L3XLd-JKpo_AtbWgxJwuu+;<+ zN9up*7h6u8)O*BNe|l4+3|y?=@=gs@?bAyCQZ$sI1+ZgA0c{%qP!TYvI%>7)ZHpDu zQC#%V$I^8w!n`%H>|%;AA6+aY-v{38ROb9Y(KdL|Wa5ir1e65UeD4wjXIA@{il7W- zD>A@IXajuooEH$ChEHMh`xlBvz2n_@caIFQbgva)-nKV&+Hdg2)MrufF+hFX+e8}w zBhnw+`U8#@4@DmU9;gR+AaEa+2-b=6wrB=KtV%%}UJGb%6HLUF(Ly!><XUK&g3j=- z!2oijNNO3s-d1utug`M&AN8dTWb^j=7HG>01lf#b_ib0E%16)%gE?#y0(%bd=2ip< z_T%vrX7o#ENz0sM+OTt;tYV6bejJY1^nZU$qSUf25=T^mTmF4tNpmb|^%X#blxUH7 z0D&*m%0z+wRoa&D1rhrM>0cwyy?c)UiLW6x#*SHS%HGDRb1K4Q^sz#(6=AY>v9hL$ zL>bA>%ENtcKf|qLv3i>0q5h1`qsrjCOep$ztsff(g2$@NtT6D-p!eGg&{zjBRO8YG zDWe)<u<8)G&j`#%7)waM%corla)B?NEp5W9|8D-p^>K~YYUsCXYG!$nly-r^=~nOP zT+i0jGmm=}jL@m-Hlb9DVAKC^G0OvCPck5^SFA*DfEB8-JkFNt`Qe4?1^c>I^;G{K zAM?-$Q`Mb<sRt@<Lv(EJi1`)+o$0C-awAx5?0jV!Z%T}4rmypuoFf`EPFK6c^C@lk ze9mKNsai>J0}E3ldDxbk6}$v7?KrKPf1T^S`w)}_R=wUD1lv@&WO{;?#wM^TS+x>f zE{TFDV<<wMl1g969W?%$alG&c&)-9shS1Wy+LqN3dQ)+lvi`5olgt0e(Jqp$Rk|>? z3xAQ0k|I=LX3!lJQstWcgN3Ywj--eyL^J4%WK)%E7>ADHuX3dhw_R~$V^AOj6nG2@ zh?;`}R-k}2C?G9<_O5#=|HZ0YZ)57>3(F2{P{-fH)0KtZ32n5Gx25>R&jyy1^Uv=F zE@XxIB}Hh`ETAut)+*O<1`ApEK5^Sineh^^cewnKqzHZsbEr28EcsyXF8r0bkQ70$ z4r;C}@iuN3yX3<oljES@)$>A@y-J+c-c!c?TIT3*<JnJ>=tAj=qX4C??S-w$-|y0v zSwb*uUgNH8UK0*%+dp~)XRAg9FI3P3FH}wnUZ8vwys%rZ_7|pEaY!n-l(AsKH9}1z z?)kxgNCse|jo-n4GD8o-!t@Tp5@HU*qFD~Y$e!zTkfqXOi(n-;I#(uy6PwqMNx@m~ zQNh{AG{IRPP^lNF)O%3y!egr71)pib1h1YHm(0(j^us0I!-BJ3?I8C!$lV2U_k-N6 zK$IE53*VCzjp!On)F0-L=&V;9lQ%3fD>eea?i%UX7G=^!?!m}wgzOI|H$I<MnwW(@ zMng=Cx61JK`paOuOM4mqNPkrq**af_kJMk$MYa!@;X7hCbdgjY^m_}bwgzKzN+6TJ zNDoHCl4^4tAku?1wxovS`H8^o9WAMv7QP~Ihd@iJgZiH`l~Ohm@pI^F`n~8(Ur@#c zlp%tDu#Z6**q<^OQ05r?<8T|4Squ<?V{Ey~lKP^hF6QAr)T1Fj<e?-P(gYf^OCCv* z$xH^)o}>fWLZ*`{qF2yek6OE=?nK6}YMQk#OWo;+UC}h7&d#6?u>0kT2hNgSr*%mV z{GWb}R`N*FE-L?7_Im>0mhqvOd;WWc_kDG+Zo{Zr#(!}KyO0$vmK5QTVFA^BV)4D` zeF&QJm!j#Z87R{B0%BP;&z7XOvus{}HS-HV(6Jemc?H1jtM<Z+PMZS$H(r#l=HHdA zK^ZaIBK~==KkG0_U>#=00=iQVP(5n}P`w3EZBx$w&VMv1g5!}zleoH*H~{GfYXH(m zmQe3{Q<bi7j{r!6!6P;;q0E8C;1MS;>RFZ1vZC|O9pq^2C9H#?S?qn~{izS@2l;tq zn(xcT-J8MqhN2HA#gCrhVy=0WnnbtNC287d|7_8W?hMlvQfH8eJQHGe{pv&G+=S?V zk~AHn%$V<U9|s4Yq92)erKS8EWv(2b(ivsR{2;hlS4dD;BJxLU(M1lK29tYTWL+V4 zBZ&o(Mcdp2{K=)`51qZtkp(Jiw{tHLwj?48%<tr0sHrTz_;%DUNprZU%p}@s$ej6s zM1!u7YykLu#3u6R$#j8nbV~ZuB9QCxCB&PQ<RA;?2X60mg<Kgeuiq)15eNCKfpAYR zX}=6xyX4L1U9WkkJ&BoJ)>7im)sVx+Tbl<2)Sb}g6B}~_gV0b@4<GrN-(TY!e%*$6 zN`5{2!TEPLfq869v1xeFYGg7ew>1={JpDSd8;yE0ofz4-VKOj0JwA#2jLaNewJ3Bh zD=jMxuCG<Obff$3>q%Rs8+l)&q^#s0H=9)tD-D~~D2$!LLmA4y#ow-dKB<tZyZ+1X z#LfuLx#BeW6#c4gSi!Hn=;`y%AEfRF>cta99eH`}FqYuv(Hkx80lA8<p)Cz%*<~Xx zg}->l&dlX~9hRQF<(B;7IB#*4G%@<!@`mZbZbQnkRm-otCz*ejdOj&^IL)18D*O4> zsNvTGcEuKB%k+ap;#h{n>7sMynEt2iEw^Wr8}W{o_5~oM$?K8ka4WJ@c&K)YW9zjl zRvr%`c<Ztpt^_3-E062T%^V2ayMf50)8>-tU)EHYYlyzB%_Tp+JpAx`rX%U;bWqdW z`#tO!C+q!g^{1gnxA=0~E>-y+jl$K+QgZtgt5|=0f@_tf=1zV}b?h;y(pPrsDe1n0 z#i@z%r1wc;lj)Y?q~`f@V&2>P%5ud?&Al3bBDP_{_$W2EE&ccO=|6YSuqW;m=q;;~ zZqAtfC$1EAEnE4iFh3aIPJM@VN!>X4JS?^1K~tYx@uGtdQEf9hw=cAc^@%-EXeQOM zoejsc+YjdyOn!*C;2|d>B=B4*b%%8RZlmNVCcdL#y#^UCEjj9x*})XzND(>#;VBG+ zr|NOM*5aK8cUisoQryaJB#OyhzB^$9$3tm@WBpScsaB}G56O136(|IM;x6f5dU;SC zv7?~}+$^F3TazDiU|2-CcZI~nA?+!$uO*c*i7JUwI=zF~Y}B#7Y<E~8jz_K+E}RE+ z(uo6aY`Ho_aiO3PaM=ZEzElhAk~SyUS|ttKAM#NijT<>0au{JAb{OFWNgO1^umfjQ zm%PUJJ|?)L)5JH<sy!5rNSB%7*yUXni^LFRC3@vBN$?@Nzbu2LR3II82A4&b$~VS_ zI;~5r%0Y^^DzQqmD(QO|bDr!#5i*rja!Bc*>DP_p<9nZ*otRer-%>1C^mKjZ`f6od zp$#s8OW7gC8PxrLJyCS2siVlTu%pNiq)3pGK*|NFrm%x%Q-iEQ{4%25Y3PvyqGli6 zt8r4)`#(}Q-nvr%*}ujfJv}BH-Sa;kD>W|HmphJTmv=PmRUzXkuwqyqtk?o1B1oek z!SaFG^)_V+&8?9>#1ZHyJTxQOvDFC2vy)w~$*u-o2}?qW?kWB2>ptm0bhX2Mv{O<N zs72QNU5BiJ1G8gcYd+Hs42DSeuKO`@%8nG-d`YFbSd~Oq7B`~nS&$e&`t3?|Jpt*^ zl_+c7YqEiMOg?pZ7+!^2*{(_ZpkKrYn*RUOd~JY+8s$IDR}>p`j6@X)l%R^vgY>Hy zRkQ)p=VBBMuAo;Fpa_Jt`rkr&)FKIz!2Eh&h8#z~(Vod#XQsmVyt*B<9$CA$3&h0L zyHOyAr!lgBB>hy`X$7qB1gxLx*cDV2J8n;u<pb7#4XnQi_p&q8JZOBYn(zmteelsa zu^7LjZO@+3ocxaCy~^>hFEGBrP6y#*D|h`{F$T-OZvaDuc!FBl0Pg$Y41&pyUD&GF z_m7COMm=(Kh4=HYL;o@57^JMk7V1324#~#&DL<k}iGka9-gO+Off|Qke3g9;!k?_% zd&Xd?UZ2t&N##9**bk^<ewpsD2po@h7hD($fX@z`fq3^GmoZD)4LySAAFH<pz={td zU~6(lEq(H9vNH~$FeI4Ei8+sYNMVnWRKh*)5QQgsb`Lk$$N(_CMIGD7b%%koE#4h) z;TFYiaxpI1s~2>p70y30x+GtJHZfjJa}XE>?gJ6C|6|%Abky3tl_}=@gfoRb6|RJ< z>JW986&qYIt=dCABC1RD$l2$jqIwj&qs?(VRg-W|_1BKadR2@x;E;5@PvubdZ#odM zwMddIY)y95A(V!4Z`Fu7pKMQI=L7=2>JZge0_ILY1+gmWWeVYVWQO5dQOS<&URAL? z7$QX2Ku#bvkDS|PW_nslae1vuavYrt4jdz*NSA>UvpbGeff74`d&}w2R;7%S0nWGe zpZ*rFks9hj9gu;Hms1^ES*uu+U5M;ez*E<vM0*k)e_A1weq9w4N`hYg_)Fw9Ba>AL z?-<<Ta|x_?(;~*auDGM<WW-_Qyc=cpWew7=+p46&X<`44D>^78S4X;PZ^eU1$JWCo z{jU6|EBYypXJ`h_$wYpLP(mx2UlT}u*&Tot9ijgM91%#BzzH>gL>+cuc!?^pN&)U9 z6{Iwf(o;AKYb$Vt{vauVbWje=16H~=N8lriAbl|>u1Jd&$0ef>Hl#nW44n^Ftb%3& z3wg)vBQX?p39zjosUxbSc09TdSSYSTv?OV3Vz^=YkInN?$J4;(Q=rmLxbS9*V~<*u z{(Dd0l)!)&@9Ta%{pN$a`y`G6ost}NBy<F=S0gjgFusZ@2dx97d%JB++|i%|Cyvay zQuzh0rbN!|)2?Dg;)p`2y>bpQD4M%sH(vTQW-s~s-fLe*ZNBt2?)v`WOJr9fbf{<0 zu>lnn;!af00XWG6IFaj)p1|?QcfvUrd8?M>MweA-Ag(>hVIkZ53US6sIlLMG8!8&` z*O^FAt=-s9m=+<IiN6#UZgJ;M9wz5bc9Z`f>E~I~0~;8({2HZyIRwUoZgt3EK{@!c z>%~bea^~nMUw_)rVerAq*uIRMtdHn9VH+f)-!Kw^LD>GE$(?$^=L&i;HMf(#YA@NH zNEg#1_mG0phRXtRaLL=x@8>ZLR6X;21HJVtSnISh+d1rd;{;Nn-vO;&iHyGuBHXwG zLx$BVzud65d%H|b9M2;PM7Rg#f7B0G1AsD;u3}yGAPR-{$h|E`(e~uK@u!vg`Azh~ zy@QxHz;D8k&J-wc8Vm0_g3>CHnZRjy(HyjZ(`X-q@%emm;QW-zxgs|SSEB&~A*)!q zorpr;fPwx-(exF%@%KfOUmDDSUc~sP7p*jOAf_vjq*QRBSGPkbaFeY9G3SAsWIu!} zEw*%ss>*;K{uUKvBd3=MyoJ{^Tnl)M=wcj?PcNLa1@!Qdzv&x$1~KV>WcxvN5U53! zbo<Bbj{`W~1aK_vh*}45RD<zVjX7|(D1hpGfWz=4s`CLL<e;M50T6nD!{~r>)~0}7 zvmviXDAzygrsbvXFpE?{0YPvib_9Wtkf{RWlNoc+0tBHQ5CoJx1;Vnx=<JF<NUd6b z+zJnc0wN|<#j4^?WY_7DTP#3{^8b&3G4od++Ev(7{;NMn3ba^qbU_|;87xKJ4ak_@ z5f$=?!oCK)Py}#lKt{*ss35qaUMBF|_ED&)5LY65cdr}{yg8~w0fZc0M<O)zzcLEJ z)?|hq&{3$8POq4K9t?#}SW@X-U59pT?KoK$mMSxvc8}D8TN&tshaLm(^B=$yor&z1 zlO22hs?z8Fxs~hmU<Hn}Pa9B)C9xQw!{dRSlTi(6@_O;RAdtkNm~3Ra6Jl^YkN<^Z zrBWTKm#_ymqTK17cXD=<ANtb0j+1)$V&Ja6VguPln<Vpjb`Y~{o#XS~^`4c|xzCDv zgkao?_Y7Q35TM}@$Fm)Qic(eRj@AL}ZXC|}Q~}qwkTMV$;^eD77AG|uH4qu%;mckZ zE45fVATrI4Ml@b};@}HC>3HX8xbYp>xenE^4#-_IkS!O*v<}E!d5@gKYZMK{D0~EM zz20335ibcgON}hkx5zXkcxZD`J}*1?bEBz-5qh0zTG~rq;|bO7Jt|lt)TwuH;l=xk zzIRsp(OdHmO(cVQOiBYmmwJOLx)hHpVgzab(=$28zJ+MnDnXsNPfO$hM*bTO37pzo zj|agO^*m^*%Ruf-mf0FVWn*IO9*?xBq>pPls9PSmoIF%e4*)LkGcuiUt;H0_cGarb zWKSZ5C3eDejX&rAOflRzUr_i@@>(HR^)K_ocwQ0XRM>v!JV2<*>5)2dE8lZa$Etvc z<^#Ue0~dZOr&q%Ynw|lg9^&jP7;u{N@6ohkLs+mjm-o!_6+v!v&!IMHJ7RgzQyaD{ zQY(EDbgj7~<8?iK1Tb|ps)1EeFTN0*wHSjlv?z2ZgyML<C!uKTH@fi*pw2y61h`pZ zX{c|R{+JKl^`D#=Zf9TF%b?wV{ZVHGP-oYF)#(A$*)zCM0=%pTQGKaLZng;k7fWR4 z0$t%PO4LRUn7U#hkY5&&nWN3+)w8Vmk`LVu$%&c3`a<&Wke+^{v^oOhTmc$nv;ZDL zx5^jgNE9sY9bA$Jjvh-Casz%O9Z)Z&Zv6hA_PY5BX7Z)?apbTdU44ZzxzX+5R{x{n zWey^r4Pwz<20@66dgqA!WLV`p?nsns>>d2p^uDC)-T%!meMS70B$XPQyg%;lt8N`D zWnT=ii1B5gij~4O4v4H@Kpz{>=wEl#I@v8fd_k`6xkLt5_URpT%m7xtp_j>s<EiL} zYrz0xaHxt!IS?UwaT7ktuD*gpv7ek81{g!!eTC#>r5y4GI$~uT@Dog=P{x^Me&T;k zY}Ao2m+bolN0hpioZCrQ^f}&B=nk{R@eEGEg^$UO`gT?NKWu-d`HG{}6>+0EnFB{Z z?cwsX*#nWPU})E=&9$2|Afn2SK0e<;|Eojkq218Kus>3AV~9}TydB@5f?@%$K>;V) z1=j*JuARLqR>hSF>5QH5;Q`3G48q@EIV{{jN-ZE|!9WMAd;@-tiS$yXGw#zI9)v$K z@COJR89h=AZUy**P~Z<*FIBOEF{5x9i06tx#7|^3(rfLd#~+tN4EfNlJUJ{XZocex zeCQq(5cjS%?4=SI%Rp#8FYA&lQ9*+XjHcvvBdW|Ap@0l~66t_fewc&O240!mi%YKP z0M(^}>bjRhYI)JE%pi=q__7xRo74=5gy8=4lIdms7MZJ(d_FA-dW2Bi%1#n0XdT#t z0msvC{qw*>R4XXi@s3edEC_L&PdR><8HuB7c|d6Xyc`1DLaSj8%Y>sZdt;mwE*{wV zSN!j4zT`FAvF4+tUUNmoUPh_kn)y%?;`E^{MAFNO3bhnjm)ju)4Y(3H43{6@&Qb@v zGiIAKv_3)1&iB*DsYvwW<yEXqN36_er%w=m%D<lmzLvL|O~DJaysqf>g9J|}RP-4@ zis|lAg)ZM$c1XZune>z~(f$4;Pdu35HHO?|1sS~{!LcBt2IOq)E3YnRh)!&xR}T^* z7RxzVc3gO2{Svn{h5+I4U%8Hn*V%%MFH!IePv3ZTaYA%dle~K5A;sC-YRwz!T#>FP zjOuSH+O)ErXL3mwHwLV!>Y-Qp`z@(LzpnBR*imH}uBOSDyx*iF;b*g2uRw0Xi51-( zkYIRHMV}Z46e&8FU?jp%v7ySch@@4RI0tt*3Ms=Ybg9r{k@cNqyxJ(()LM#X7zLYJ z^YFr=kkaSM68&UP#uTspUk4w=t=`p~kG+;TY<vCF+cw6cs1`foNbx<Ez1J0OYztM+ z?2UWuFZ_ALjpK#r_j%y`VYlhchoUrunV8K!YtS1kXXdzwvh2YMw90Kn<*a5ONOAI5 z2*1FiTi#T3GC_*Z$KV;%NnTwDh)xM;H!q}EB>1S|N}DUkg~>A9z=$S~D-11yAtiAf zAcZiQ3mniNX4KWPS6=O694}Ggb9fQWDK+(rfAV(xH}6#pDH7!U3zK=o0VRvgCDe1g zBn!`xCpZkrBGsRl#DtK&Z@aIqdznzB#ICMCwxOzbUgh^PqYCL<<$sK%$`Y=oc^Nwg zx61#?c=qagg&ozk8(1ln<6z?2Tv#&)bV3+Yv+&BRM+zdgesNN8$LyR%)iM-v)16c? zNzfht#sWEUPx5MoK?v?duXfRFMRu@#W*4la3I_c2*t}w1<vcUEl2wD120NFyBn9A; zg_AM5<8yEDXhY_^#mxm8BfNH5uK)e@Qo&>lv)RU7u*Ll?y?O8ezkz(A1=MqGID2bq z!Qhi~xY!4dine$T|G>X6@l_@h>L()nUK&)Pvm*SD?}4_6q<P(OZnP4B{NoB!6UPv+ z9R4a&n0QW(1C{G@VWu2V70J2iPR)i9_;J$3^zE8{{~EiCAC0S)RkB$XV=_R4di0Q= z88|GgH6X>G6Y(Ro+f;VTt0lx)4huK0><#s1yuD(KEx<wmhkre&*?{8!C5ovD0-*N> zCIwU8x)8Avj!D6O(?42rh1?7g#gG(%g(NVUejFCXI0&JH<kiju>2!bNMHSPW(o(<p z_XxZJMTJ^lU9U8!y21dEl^|!`0ZO0{o%J^rR=3Z^#r{~aM8pM(_T%$Eh$q`vS4pmB z?9}IY#01%7x!!?iN=?-joLpVs&c&k-nC~|7Un=Ni|DUaALg7Udce2>ep5d*s%YxV~ zIH&weFLq|Ne>ZMN)Tz3)4ZyVIV4K7L$d)Q-d3D{#j!JiUmEYTv${ui)-^ZE?sl(Sc zhFq&g8<gFMlpCH8(xSR1Bvo_<a2(W&&V?0oK#we_>}w+YRd=Y6n8@1TpI6Tm|Ld2C z7l7%GJBH)c7WKxRGVlzGy2=k<;)QW~$~YpP6UXdv7%2KDV-#LM`~J9N170of{<u>S zo+0m^^218dG4Ctm-r_k$&v~#t5&x612`@kc8B6eLG|+>qK|dmTbv*(a0?;%B(7XVk zdFPzP>otD>P2iHaI1a|eF`1Ga&~f-&f&s_N@oRJB^P0lKPr<$?Y`CcEvE#&i%BBtJ zbce0sR~xJ1h~kLF*oXGPfZt71x3>Q3y(Mk-0XbqkW@2u4sHx>~p5@E0rO&7L4R4Iq zG@04A{G;3xT-SWtL$uT|yq?3Kyt@gGpJ|=v`Y~t85%9xyUh44frtFr-^Amlp`qlRW zZs{DGa@Ix7op8v>dWcRd-O#(`g!p%++N?l+dx+TH)0+Wmu4`_^Yp$zq$=?q?EFT!@ z84Sgg*H&B995`cer&)QlAiqo2b_=_9r&W)BzXw;$T$5Szc%a-vjO<PeiL|q5E{>Yo zdUL3n-?a2OD8I?IQ0ky5l_^K`yUk>}Ltmp5hVX4G=`iey)b{rijsUWhH%a2k;d@E% z%$rx(-cNnkHiiToz57<$#j#GYeQ^-@*Ibwi2Q(RU85D<wX(F&%Q)Z)}fZEoVlLfWD zmG!{G-LrmsO@8$mOVx)>TQgjdR&^h#3z!yaN5<juubqq~;$c%~eNk@1`0i5$Zh~07 zd_DNZj0)}ZR?CWJ36b(9NZ%=|{rk${_!aNWUU2Z>s=4V#_|<iaB~?B6Du0DFRjBc5 ziGK>m%L$=5{KnDRz`(B3Vo=7^#IDjj+v;+ln~z$b$5!B!w?K)3a@w27?v{|q7`3gb z!;irkmS1*pEta-5W?aEvSR)?)P`;u1q$A@7nhG8A#@e!TaZ7j;WUmD9NP5Trd*u)% zv=g>qqKMAZ9ml@Fhiczfwtt1!64h09_#2O<fski&8sxjzWHckO6=>_!XHC3Skh4_! z@4jkz^O9t!(M71<!#Oz#yE%tG>o;qGPXqg`$;DPhF>0RS04ROw|4JV;1#<W=r?1i7 zwV5S%3ADiKyHSb3Y3%iVE{SK;UKOoWBLAlcqNsEv&;uV(*}1Or@5bRdKP{E(==9x8 z2Gu(C-Aw%R;7}s?5Zrf@v}W%?ohyRdlr2cutOt(ys7YVj3cTTCuIEQt`Ygvyn5vg& z?8pevp+W<E{^;EOQhnPj+tp1ujd?+*XTpy&+pe%F|KiI|kve=g89Ys@uv)sWJR1xl z{QPS!y8J4Cl>rrEH~-(~@2Bo$aQPlIQR+K_`UiF`mV#{izwBy%5N0MksPy7Vww^r; z)O)3_?Ob>8mu;I76ZCrExAWS)we|9m;0G-#RDS0A7HGbGVwV6cX4>(2mm2ZKbpD4f zhKxmj2Odk)D6`=B366tg;kmGS06ej|=pzvI{+h!_{@3yu^9QvUr@j-}y1Mf>gT55m z^s!6@f61AK)fy<P0^744#lnKW#MN~N+nRmZ6`U_3t^(A1%0LgjonE|91FMDtoAViu zE<O%#sn2*Y4-LJuIcPmE*nDSm$aJ3W@}iVG4gE;3=J34;CiAw55FOw<53}&XO!t%* zlYKwppVnt^0k~&+t4Xh}2M+xg`$>Pk>#42JE;T4{On?s~uFbr3=(}m^zd8EqtiQz5 z>wJWT5AMIe+--DO|6*omIbvK&tP(I1o3f!yNrEsU_5t4fbK`w$#hA#ZjM==D)}2jR z<b07+w2fcfSfp!%YJ%(5<4w;q>+;&-SM96uS^$?0@9<ddd&-y}YxQm;0~=3&rcT$m zx`yP|*5`dsilS$*y`e%lt>?ITIe%ZCo6u6fllJQAfSBd?S{;vOk@bFwfY8ayHIkh5 zt2$Q#P~zV4A^`mGov^zA{6Qd`Ye7zazFCv<h@Z^3uRLFf7tR3ZezHLOjdsV1a_rze zcEzurQd*aXMWrkqmfFe!nZC)~u*|R?lwO<{|8nq`dm3H<w@_wu5>avgN&xwqHwkj( zkXzb2a{}~lVk55y8x^Npb4UjCCSHVJ22B+jy2>wWPL(wU#7^Z|DdM;zdHTs5aW7^F zjMr8@KkBWAT=W~X=Qo`HxT|~I@!bYDC1@%DlsE9<`Umxm_`;Tp^=-C^jqB|7ou||L zp3n4atYg{6Ma+j5qVZ}_z44_4JOfl$c|Hs;e4wYiC;@^+w_Wkerxe9!rJzDC?WGDe z(3FU`0!-g3<SjGo2Bja(i)W}UT-!!cq4C~h`Oz%Xu=+O1#KviGqG;^OVZGE&*w#B> z9>D6@I1WJUq+<hJ){e>!VyBNK6%w%XM^`rBF|VFJ9s#7kH);Hcd+EhL$~K>pxZdo= z=+(b)>idh?22kC|>HX_p>6@PlJtkya2{<yI7reDVi@5^gqj$}~r}INR0Y};(ZUjP3 z(g4jOzcgwf%_cnMc)2VwM^*={{#VR&&FZxC(&EpocXmIWeWCF_@}^5##4T3sjar|D zNReM(34e6f8Ui@@+4{Ai)Bh|sbdCJD;!WbIe>wm@VG}`+3T&;mnFP6}NdR(2RP_Kr zt#qhDb%0vwQDtucY9;(%;|$x)mzm%HKn61%uYJ@?ciFqHMm#9~U=#QNe3{VgKJ_i} zJBL3vsS%h)C+fvCCb|pF6f=!Y=n7Tm2yE3TOp(HhtOF*mo&(IUOBE6p;g{8<%C?E5 z$-w{ngs`7A;wxNE-iHDCKI5ddFvXgh&#AWa-P3Us%|%C%2=igv#GAm{-J4^-@7Fyu zqox*HxlJUoJSuxyU!PZ-lwMx<tfVMztoB;NxBY|6Ip?APx78H4Vrw_I)uB$g8b<98 zZf~I29uu@%)gGAgQ~x&O@jH$5YCm#uGL!Oa|Jn4$vn>t3^V0jy4pVCaMz2=U=9(U# zyK8!DdV50#CVKQ0#81VoZ@zxJ+;>xIo#_2#xVdzp`|f5%;qKp~jgo3sDXx63ymk)Q zrO1Nvz|F|bIK`sa_?eNcwtE6a0uznt=gqbkCRgeCba~Map=;enujLe_nsaXx3OyI% z!47<h0c<)gWYiUIA4k(v2b;O>Y&6NfR>-S;;_0VqQs%iu=lan7Np@`>C7bBtS@Zi+ zzG1rUk0Qa+xbyJP6FdJCuD>N=#`Yy6H(5iirO@c!N!}<w^$n@2^5K!{7Nq@qgPXAl zA0K(Rtb8#((G5=o^Ku^@(Aw4|o!nqv&VfSr?OjOC1ZmBUTUt?Ne>Jb5+=)8%Y-FQv zvWEA-$|}{ZxPgn%K%@!wx~iuly-ypW8b%R*Hcq<vB%6&UzaQfcBUX9sqn3&VvKpVa zB+d6bthYJA63&6el0gRSNYf+28a>kfz3$Yn?iq@jT9jfvLMeQ-GB7+mUNYJDybf_T z>nqvp*H4y}0Wm#2k8TshyEKmaHWzS85%h6X;z@|)PMD9UA2ixPy2UEfq9%M7C}z9d zQwo}?D6LehR9C&zYwde-b^}}m67G@CwzQ^4>&o$jjL$hh9u<~aE_LVS>WLhQf2}Qk zhJryYb&JL)ZASVBo6BlSUt|)83u>ygXNYMH3^#3(D!OaxPTx*tR?i6<bl6S}+nh9| zG{p?<6$K!)M>nO%hMR3j{)OQ1$B&YWqe^CKmUdIEVq3oRl#}i_HLIgCpLd(5-g=0$ zH87-QkTW|X1Z<|<->ME+JYYEpiH}-6CdF{A1a&$-Dzs2*E`{>VxLgi4P4PcrQfeML zrK}Jwtt+uNKJ1n^{0h{zTKilCOUnM*WAWL>+H}n7mxIT?-gMwndE>80HEjd@jW4ct ztVue#LqB?7E=uI*tu7U(4!eq+wbTD_xyF_N<wNj}Ls-@?6to$J7eS1(1REJwt8}vH zf*E;ta=})9h{B>oxaj&A-+JW(Ha`}AknuJ+$N~;VV!&tu9E>b|Dc#^VnBW5r9Dps# zreKTmiA3o?f~kArPh3O#S+@B%&kZlsYN)lq3i^1$nbN=}+56$zX<W`djNzg-!+h&i zD9;MJ*;lq<TibCO=ttmid6`BiIQ=tA*3f#%qVN^3fqew_2seD04U-Ith@k$S84tXB z|8Z`>blNwcrVMoXZ?~<9vs2Qa8fydU9xVj%<AVD6dwto|&X;5?-q#F%IU)98<LVtl zdiG0+7dTWR?!3aEV?G19pmOa$ns*YfYCyIv%|D~jcA)`Q(unDqt>V<8<I!KP4SMfi zm9{>34>#r{opGIetKngDp&;Tjy&q+n0^hGdW~md;DYOoD$}#v;<Zs%KwN|<_mQlUK zQ;<T&ED}|5f#XT&yCF@lBk8{U!io&VVS=&NdfDTKQz_>0=8qaE*H4A?v%u*h;fiBQ zN(7=v?`G+-n=6~H{`=(_Zu5Ew@$1R({)68m+gq~Ne0>Kp3KOlaU)0^3$<w58E1_B% z=Wh2syYZ92KdA``w)Sv;wzb9k)Kpq&x}nB|Iib@z^hrxX-|*?3a@QZ3m)SEEygn@5 z;ycYfh|bdt4#u_wZdZIdpcx`$TC}k4TjhMG=Fx7wge11LYl?OL+Tq`VBpNTlkk`f( zS`IV$PVb*{?yDgQi*DDZ__U=mADK`z4p$u{&~(DQF>_Fi!<HxC(^3}rrAg~lZ><;g zpFaKL!8pmIU&Lp?MXj(=b=KefWzhD)<!!3-v(d?noMw(VUeln7q$}D`Pj`8l{mv+H z{S!)d_4|XLUB)b9!Q$7ol&Wj$H3k&+_!!O(z#sJY3i|W9{q4-B&t4ZK&iCu;+nR~i z&d(hAXL1@V|1o^bu+^=JiHGa2Cq+hvR#tq^hJ#Ik!ERsEx?G-f)yJ7$$xn~zLi2Of z69VpRenTgoeNx`|%FOJElX?^O-gxIO2Xy=Iu$5C8J1VoZ-gvtv#QI5YD*9e^Mt|kL zaT!I$(p`czd&)TdT!V<8rAe>>KHO<7@>+;-zCgpjBhRz=Y}3zy!~A!Y$*s4n;M5Lz zzt!!E0z-T}*V>&kPs$oou9^!|lN83%IyW~zR*~BmTwJ0vv5OQv@}`OPSxK444AnP( zH`zuLj8-Fm{3x-#7bfqg>Ad^2);5}Y6eJ|tQo!Xfr`ddgkF*x4{N6;my<;RuNbu1x z%lF4sAajJD<~7qF1t9ZikkA|07z<_F0%V?AjZ}WpXd4}~v9GDRzFeltbe%Blp2XTj zyJc;j+WF~G?eFJ;%$<j$+o-!onaoZ><C_&qUdTcja;^D*R#C-{>ZhGhO#@{XwK3Ds z7q1{5%wu8D$&xvrmcWv@w`jD`<&Li*@lQ4CZy5*o)-Qh2*)dR7(O|pG%MQK1ihaM< zAfqugcT3`AAV$qSyXd)u61ZA|ZuR=2ZU=2N<epc3eu{bf;|;Azy<WzJuP<m%-WSQL ze;FhHr_mA1JDwxU9IQwKo2e<=;k15>dszOad|4@|b1Wf(_uDt&IEdFhrE0^=i%#-C z1D-tn7;hZUx@^F|fw_G1Siujr;*eK-gj^i2WQi9Teg5rdE&s`OdBMU`gV^ewYwKHu zKcBzlec;afW&D2ds?yyR+st=9bAuOF$ByOHN?eCNVzn+?dtWi~yP>3()_L6WF;l)< zDG2#_+{{&So>CU?*Q`yGj<B*8)5I90pW`fEyMsiUi&1G*1UuAX__-IQDPE*dkv&%j z;f);aEPFj?kefGWun{M~M08E3a+JzbkZW-GAcC*lH9f+z`cub8b>d)u(jdR6J&Ot7 z_tlwjyRT24lobxN-VCL;7$31r^)#ypm%L&*4)^9vz4Hi@)8)~_RUff*XY;E|VlY|E z`?AknLHm~q=SBT>=c<u?uzKplLSB}eSKYCVc$bJP7_O<S>vMjPlP6kKx>{y|%lb=x zI7HJp`v<L!-o3k=*vr-p#YVdh{F{MFPTADF%~hW^aRo2yEM_~F;dV><8ZW&pr83Cy zKctD5$>Zcmm~EdXpJ`?PKl5<k#{eJvU7DA5DrH*JS>fE|5mNY@zYo7ZhB==aLWbM# zhg&HN8S{ZVIA)QxETXW7*^#8mep(D_+1?J;pxle09I^8oqAB%@gR?pa-kUcmEsX~_ z-86qc$x^MTOMKsM+OCE}Rxkp+bC<)${KvkCPEGb0S}Ao0IF}?oJUWdXDHM37I~e6= z9<V>><8X6wWi&Ro@Zn!(-y>E+FlUI{Fg;0wxiJ<qr$^VId5>0}D`*A&r6`^4zLvG| zAKQ(x&*&P$mZj7O{lI6L?iba!iZ?>yWVZ_q2U^TbGoSnLV!xHpekkY2(y7B5E`*Ze zgGed+yt!Ux`TG1r*I7FKKGGoR-d-m8Ha3IT*=APW#BceDx3clxomvV;<a*iV>#O!( zXZfYZ>xiv3RC%JAZxcIkoek^vu~RvnS;jWUongk6<|v^*Ea2$y$`C~^G4+k_zkb%# z?_-BT`p)({!y|H;DIL!F4R-c=x(T_^R1~-JCUOGd_>Hf(=O%PBPw66s`2*`<>d`jI zPN%W-hN<O(NY$F++2kjBpwC<<SGY0Ny2esr*(`~&+iwl8_l#ZVlwN)lx#ahvwiU53 zhe~JOj>REGmE53j9ry2pXXKlTF0Q_bR6#gmYYkP%xu#OM)i;EE-;bTFKxDMM5lqPi z%KTf*4v|}7n6F<la-GG^_an_U-Md0}D1eVo@$7&V#l$BeVhsFeLeca|h#6C$wK2tg zr|4tpn}$<SqEt%{sjbpbDZZ!SO<i_nDvC9FdYWHnZtLrn>O1l&-5+Z*ysi%fmG}Kf zH3f3y84f6x13A{-5L60#y-*`fGTI2ar*;1{4d-kkDThckNqv_c&W-`i&kL9Nzx=Aw zz8;ceD%t`>115>v^85G?8)D&WhGF3Q-%IOnMw@=Eg!?{J&HYvQ>uJHO84LLGh)QIx zm6OB$)nKmV%W&@ZyGwKo7c-UxWm?<G;SBIt0p(WOd$ZLCCvAj|LJ^VuoXES)HG%p% z`zOccc`(AH=j)e7x&Hs&&=vC?Q(u!_X}SF3c_EwLVx#736E=3;ju6TOWLwuWs(}f{ z46gSKPkEx11p^o9&;-H};Jhmr=xA-0^!tzXvgjV+3Y})7G%mGVD0uEhU$dPHn8&4p z2nl+>$EYkpaJaeiZaCBXgxr?!HF|UMo1mZUrktFNu9&|yJYu;DA|BKG$y#Iy(#{Qc za?+pQHt@d~9)9ZXp0%wJt@zjuJQOlaW25)mZq`7Dh#9lZFb{WjHrE)bT$vn&sh2<w z!3`;cRGlD~Z&|ho<t&oa!CEdj_&wNpHi*YQ)54^(0WE#$U^(~s=OCB4(B|sz8McTg zmeS~^9Fm~_N^X2Q$VRX*p>MR5zVvA=_j%v`AXTNg`mu$yK-z#FSLRAC!3fkQ7Q{0P z-X77rTx~0!HmJub2C_Z>GPz-Q*B_SpqBovfSv|~LnRe@Y?l#}EwctuKOoWC(lc+IE zIm??$ptJF-#$2h4tC$##Q1?sDVK*w@m@M63EZQb&7{D(UMAp;S$QorqGhhWVPG?r< zP~pc<z*RvReI~jAb{7h$Awd5RvS79x1#yf(6_pz39`*u)<r!V;d*MvTH@VgSk%kpe zUu8^wxOZo`vjNUpQA-HL>n6otK#S|uyecC*1{GG=NE?*&>#<1e57NY&eJiY_(IwzI zqp7{Y&MBaSMvFneIxXo-{x9Tg!zv{9&0pX1C*DIUr&#Tr_D?FoXUw{mSlV=lM}(V4 z<ZXKD6cis=IM{paU<u4-NYYQKx6J3=FBMSvCYbe0`ZQVifX^&OEzj=5eJQdmYVIF3 zFQ-<?v=UiEqDO1s?;Isr4BWdlSv;Mx<2Hb6)qypPCr4HfJSo{Jrk-28xqP+vUzx~> zl?W}Iy)Vq<Wtz%ldkJfmjC`BBY7z#Ddq|F1;aQyfmv#61?1NAAd%MaAS*)D?oXjnv zVRtrb&UxRcffkiE=f*TlwcaP0N&Z__fo_`ZRL&hboxSG<VD0r~C{Laj{<5osv<~dR z>ml}r4)n`XS4S{^;1iPY<t?v^_FP)N9521^SYLjr&%YF{WXV6F{BL4}DSy3kZz3Un z$Y(s+O@90CKB?|fo|jOYzRk~>l-0MFoxZ)+af4C5&eFbWm5MNAGMmlGho>kD8?!hu zx_(R35ST7R-|HUksO+p7ZrkZB{_veSAe+hJT{!h)r^GFlm*L@Km&<wl@3F2g-JAQ5 zjwsao&SlY;?)+c7{=vMo;h*bjd+;)Z|J$|J$4Y{caq#G<_o>2>ulbXeI}#&@_$Pjl zh0kVs&3`q0sTx8{jF;#CUv#}=cqL8LE*vKl+qP}nwr$%sc5K_WGqG(>tO+LOWODZN zedoNt&e_-1Rl8SLRaaHl-My;&UbP^(XU5G;(cnsMmU$A;1<xl(eG=&Xhmzyr@Oio> zljEEuSx-!+Cf$`7=q&;|n<G%5^>AG7p~wh-nU7BB0U$Or2AUM=WTO`8`{$yEJtgI@ zjYo$SCGD?GM~C<TUEY}}NPk$4jsqmY_)`hPBi1gH?iTC-)U#%zqxzEcvnHeC_LBIs zrlVu>lKk?(BH<;$<du+y$8+3aC@_^+OPJ$P(A036nIll}QgK|EV^FY^SVU!r$kOgu zM5hdWkrCG`#PFy8Vi(sXqD;SG7uy4)C+uSSL=-GIoZ{;Ql<8~WF-8FxtgB@b#&U0f zvhPH6%p5?wYc@Kb4#3?t9UaGnbMG9FLbQSN!<kF~2I*>rq#z_0K)q`^I;sWGe#=3@ z>%=McV<2O^Wj#8?ll0d&B_H&<6nWcBLcB-tUx*$?0%UbfL`QrAHoXU<!~O|X#KbKD zyuCwFh|I8mFn4^sWJg1IGwi7w8|!}*37hbfQBpFSnvjxlDmLX1T$_xJ8A|eBn~hFD z10de+?tc?ai>l6NBhVAjxI|cx)f&+>o<gkyX9QvN@_m$WaE&v=<EA>?o8)j<xfX{e zarFN7=@P+Qqc|+h`uxQHvs7@hF%}{=&Ggh98Ia`@Ek)=$m}!(Vp{f=-%D!ZAAb(=n zOid!ZLH6p-r$kK}=;ddVBO<n1npos85iO@fZ=+l8fJ<Eqj?a(|rXB${wP^^2z5dy> zC}+{ap{bdhn6%K_%cn$y#k4rHh+q;{%nVPgv=lMqag!lx#y~G}9_i>%^Hag0EdU*u z!vRY*!bCJoi-^lKKQsxU_wS>R1A!aEacS1&4}KwUoD@CO(8<y!hl9f#>kz0z=}v4X zMQU<1f6lha*7~>ZCS!)qm{{kNqX{T%Hk~W9U3t=1Yo^hnKa!>On8wg`=I~%1YXex1 zXVPpgud2+Z-#u4t%hT_xcw=`;t?|ziqX&i^E7I0w*>O2Dq>rGeb`|`Vrt&zEtWm(# zbF)Hobj3!z(PW@UAY5PO-W}A^sk-yP%<0OHz`Qjtj_=ix=COt4Y=_55VLBY5h8oF! z^E991tXQ=#`c|STV*XI#Xhr_=aCCiMtF$mZSlj-AkUUJ9AWwfbIgFZMPk%Z&#G0T_ ze?B<^o8Vt}LOocca(PcJZ*p8r(qK8EvWlA^Uw1k=j0S{d&C8TdG`{~_a@-ESPuECt zVlO`ATg69CAGS)%<hWRGveTAJ8`66M8QQ*^`5|<I{qFJPP(Xq{|G}h~P_7T$%4WO8 zv5X|Xyw&I!yd*#W7!1Q80D?OW2+mzuuPDn)=MUnXGBQ9G_jGiG8DNupJ~|8y(8WC! z9i;|%<DQcaPFx9VVx}Yz-KkT;R(VT9K`Uf2J%lIY6a)g9M^fK^K04|V@b(s(41%>B z*2Ka|Aj(%72cXsmg2Y0SULOc#4M}|espvR6fOpq?bRr(`-jGZf9_Dh1yggfI72ZN3 zNsV9{{y-#YZ*LyXN+?OaW)kj-FG<~P65f*YM63@`idy`4tRP7pViL}ZB}r`oJd7cU zUuPEHf+k7LU=sd-B1w%RgETx6?jCJ*ARvkU%W8DgQIZ}Apm9S<d?0|vpaI@*Nhn00 zKqM0jz!0IWkPL)`0jTp78jS~HbO6dVqtWp^0Pd`r=r|uBKyy%t7MM{FwoWK~Nq#WX z?41q{x?P5WMV#ZX+=G!}p0Sx8OGx7PjYh{o0=%=Pq7x$k5Z)H*a}Avz0$|{!5)IC^ zAK$R@F5qgCF`+GdI$2pjcq&Z~kGtz|XOX~7ds!SFTIjrSlgEKXjp4AcNb(2YHcFWn zJF8<-CQJ<vyXb6klfXe!SsWgv(evkJL?k9!pd6sNNYVo^kcx7jD_fM`<m;x!xs&;T zpBH4$ByLSgCIjSAeS)X962^IUz1p`d$)|A^vsE~z4BquPpazJt%VC9JR!0Rwbh`>m zOqQ_+CLT&x&$;Ds7s|IQ%bL5jF9yTZE!7n%gp?e%OWp7IrC^Q9a$r`5;Hm*Nd5DH5 z%y|I0OEWnM{e~2i{BBdVoslk8hZcEx{rZA<){^UVRepb-niQ)_?zxe6b&922`OjJ; zAG@EU;&3^W7zN&au99kbf&*)6`KYi_7A8kY^z{DZaCp!bhX(>WU7JjBJvY<C6VNR% zO^qLeWX~Q<02Hfm%(i}0g|?!bWXKy<bCbgWV7Cy%P1Bf*R7cQLk0e1-;I<U`i{+u{ zE{@{-XhmwSVE&N|c}m(+lqQbJIX@kWimszvKnf?TWiFx<M^EkZUy+vgE8=jilQt%p z-BHJ;UxEoS{DVsu$$ENtKqqG;7q&EKk)8;IyB5Z|BzW6Hc7PZzj`3kU$+w+`x){uY zyC^%mAR*)6;hqq1`8pi#!QsgLC??Nk2=rl&n@K0=gra&$;9NQCN?dvTd*_bs#=$`& z|M$r1{$>4e8fab26u35AY9N+j8b?Nth5IR=i<(6Q>==SbR&f_23?2H0`ddPC+eIv& z@b;jl8F6Eig|L(9WALwG%HuzHfrj=B@VB;1Cwg)brdotXPcG{rj{)C{cXFonnp$>l z4fXdZrM2zl-SHjRt)I|SvL*?N+1|XR>gB^$?y|rc5bM4EH}Q6Gcuj40b$jiqL@&a& zwm;s#w^)^kKf_#EqiyZw6rl$7@2XmFKvr)d$6t*yT?Bo3SlG-OjrJU|;Y)f9UU>am zF)=ZD8(jqYOCohRbj(+zlJYXxo@pmpc4rEI6ZuFw{d##1kNIH%l6+=BBcf3D{9^mr zJ-=3sFYq0A4gRy}caf1~WsbU@iL@PBd&Ij*R8Vpx`<Qoi&|)Jkn(|ph#*jBloLqS2 zeNDAk)=aIY%UY{90d0-1C1#X{(3;Q%7J^W`3l)P0lqGWsvw*^|7d0?uA{U}db#5>E zay80XG~`jJD)9q8sgaD*(UPOwx)b!9{TY@N4&~xix-|RSV4)hQAS2+`9*w9Ms0{4q z>PPgNsIwDeVJmHHy)VBVaFNE+?47xNQa_=;%tjiyZ*A$=IXVt4i5jce(Nj~ds48l< zi?w|4?tOA6`O1b76{H1=F>pOEMBWqy6lcD=$39Aj{{iF_%}E5m8ktL)m=!MS`e&nu zL;r~cX$dArJX{iupg1hxl+;MNl`R$m>PvZezKk?70pYa%`HLnp*A5}HyVZs`?zO$S z=;#AK;PQFgoE@V>0wQchOq^7in>lE-Hw_q19_FAF-PPe>D^o&a%w$4bqq+_xZ?0qM zVBlc+QbS`1WQK-cTuE%LCKiGD{DApbX|%ObFt*iK=XX>fRYE*pWrjwzfT`+%sm{Ay zAyxPms36gp$RXh#OGQ~4J}lKm)R|q#XtcWw-+V@lCL$Pnkc{Yhs~)mXX-lT9fa&6* zN)9Vsis_t|1aFni`HoxNIcmshsr6-GDH*9C;WDQqtktbbFB4&5t$>wW0iNrv>ac1t zovZ3F)n(+~rWbnyyRZWeSdaTM<3s;$WRAa{-Jw;74nRpwnX!r4uCsPD-T?X*%6;^L zIEN%;!~(D!rPR;}rRj*OL%yZ1lF;G3GGV<jU}D!*XZ2{jf7FiLg!h+S<KClsz_h8r zbH)JM_jU?w--sQsUp#=4g04gJ(aNZQ3L{F9TpS+p)(mG&Lf0qJ4<3CibwZ5P_Oh^T zEO3pDUBX(h6={jFybt!9`ufV=lr)PSG&I`Ybl1}Q7t?gVCx<38m~M7d>`vuA6;+#@ zxomrUZN8N~RaW3;G{hB@<Y6f*C?Mg~N-K`9`qY+wdl0vrwgw8-H2bgrp@2klD>cbj z_{jUOdLpVtr)~Ort9S9E+MD<s`flr7W5u4UcWvD4^wjbSu#}X*a`q<-T1q2@=(UhJ z`siu0v<uMb>7(%w;n;21kG{l$mhUq2gZ}=My2tuPQkDaUKnMBn2Nv$HGjxD*i$V_q z^52F5@&m-&!p+9Y(TK&!%hkl$*}|34+tl1lLmd(X{23r^McvNyRpdnk3c_Fv>RX(w zqk(KKImQcO#6O6IbT<Z`T#Iu;NR5vxDR&rZTwHlladPIH8IgRJ;+RB4Tjuv3uwCa( z^|4{rMzJTeHerl(jnQg<+Eo*c=v@<}5%jKuFE-BzwL_i;$qX3|$;S4AYeIgbF+gKc zJNR}{^!(*Dw`L!-Z#Z(h@TECHN%T1Z_p*;s;D<1=Xlg6;ia8&!W7n~9PcL+n(R}Z7 z#C6%EN9BCIPUo25WBeL*)_!}tJAC!CR&S)=!{;C?0M~N^H({%CPw%6Ggbx}mwNr|M z#Q{2pZ|}VFJ76Nw<Z$EXV!lU+@B961PqPyrT%+*Kn<-4>_wbQ1OzV;H+jIRye$+|U zU!v<v1qn`t(GF1-r;i&_)MoEe$2jrA*vc`k&|<qygY%q7vxoh3kIP~_ShJEXQN<o5 zn|tj(rHHi9788FaMR{3CGu{jeaY<h9x?2dmDXn<;ij;=T9<eD2^RNrFr30J$_`x>H z(&FNGA01p=+@#2FWSq;z<{q>;KzyYyIN93rsL$N2YQ&Ve4MJu^x!I*466_MygEqlt zzC591oE8*jBmBOfu0I(*!kgl!B<8+NC-VWH10LL)VlNbMZ>8%<ZHo>4F24f+;a_PW zI_R~{Qun5qTORwTO{?lnQO_b)$s!f;F5fd2y>MFc9{w)>g>kU5#YFr*{{?!ivc-u1 zzWN%CN-%vdzvorACq*h4$zn&@?x?rfFHPQ`ixWw!{#jL~tD`!t57eKlBXJGYC?P<V z=Kge3NL9w4hZ7n7KS7xHXr|fEa7my5p6O_&*$fcO*Pts`(eY(L<0J0R<GDGK+S*MU zwO5#_K;t9k&)E?}HCovsCu@kj|09}dobc-|xuYuW<neGsYnw17u}$yoy(c7@s+^2y zX0fxyg<4co74<_iG}v_?KrkAOhmBLiEc?tAR^a!h$%%k7{h*<m@-zL-ZcA9<VzcP? zyQ&qXLz{>U1yE9*UAs<8b10bp3Vc`eeD{>mUe8|z#*7+F0CI7i6s9I;(@I)({nz|D zl~&yp-c#(vLhYDUu7%@w5;&q*I7D%_NxeEv8n)|QYO?~ZaZA_ivuglFw$eBz7^Jog z&6(a7rCL#WZ5hO4y*-IcaC(~IK)S<2xJ*Vv$}++}Y!VR_5$Dr^iR;!q$6}FLBtExe z+h&!>acZ+0Hkg*!nt$?zR?hv@rbtfkoT{o-c=oAXrg@sFKl=+ZrKvf6ha5doJb`&w z>MJb+_i0rmC4A&Cb-h2Er`Lm^#3|X$!^xM_hjeaTxj${MMu|VIANk?7rs{U#G^ZA` zV8)GV0MSf?O#hp92g2_Yvn{42U?4u6l4!Aiw=~gWLiOh-CfR}F&VFjjh1phhO3G`s z{p!?b3TBa~#>l^c7TM}g-3~-BZ^VO8I7V))15A}*J!i%ekWOn8&<c(%1c1NF_CD;n z2csrQux}$p{giG49`<|s%Y^80$S)eK9q&Lx&50M%#rwsZ#o|-zdF$==1p@1Gq59(U zxQYTZohqTI;>)JbKveQ?QJWrqb+Y#?Xip8#3LVl@KL#NtKH2~x*m!79ss;%x5rLkD zE8lP~ca*YHy05-#Yd;lxGz=cgM%Tz#_WDn^#!C(;wAb~qkm9KD4;)7_TZC7i`DVUW zEuS0?YNVV5y+a+$ob!3$D6H;&*Q|BrpD|i#q9Z$AUTwo~eOd{KhVoqNSn1vfnUhSl zyuHB}s<hclj7g4($rk#t13T9Ch`XcS5c(U1OZv-4N5j*i3--0k-N`~X7hdafe!e>^ zBg9V$5I@oJoStlRzu7TtK90Nk{FE0bgVS>>cn_Ffb#L@L%9WoO<H8|l|MKwBCTDWX zXIcyI*Qezv{Zbb2;wi9bzkP}d|6&-j!)Z?AKky@Q9rmNY!P~zO+*j=}wBtRqxLO`i zp?!Cc7I%h()i!}o6p1i?1C80im>lDAkqIG+Z9H0lEqs)UFs25V+VEhqb~o@6RZV8V z%OOzQL<DWZlW%cfTBJQnw`6v0<NDtt(}nHq8gnIn_B18GRrfck+#I%U0i}b)1me8t z$cnHwe0e;LeOru*7{a;DGDwDDl+3(&!}0>Mn3FUPppVC>2^Y(zR0<J?&v`egl%B)x zuFGV65nDHhn<<suila?CJ}#}fG$L&TY7Q_~RSYFP0<+Ju!csmri;u%wn#|HRF0sr! z=j@Vi={qos4VyZ*tR`8(x>YwWu}&Sq#q4UiY`cF(B379fuPS>^2Ze-(o?hODj!VyP zuW7C+>L}1?%kcE{>8aV?-B?{w&JL{9by?c7WoiF%x;Tik$-VHOlVU*?E!2L3OSBu* zg~^2(L4$?ny7hi5t1-Qj$H<EWZ&$A?dtQPb#V6f9jD^(Ti4UJGl!ONMz>Q>6_wrPQ z38oG}p1R_h58qeYXA|8F9o(y)vcAxJg=??!G38hgpK_2<g5Bnqqn#gu7e1rq$o%6+ z77rFuz(n`mbRgsUJN9;fnq6k!ja%d4Klg=xI^~7-{mVc(p-4wFuiJ<3ru&(|{M5sZ z+;N3aR(7@**_C^R&6(4bewzu-F@RCdxt;vnR&KqLP5b78e5(J}YM&)<6~KRchBCq@ z<am3m`_JnjBfnQs*ZC#a#>zR~hh5wik?-M~UB~C9>(fsVpUoS0#@#9+p*FstAhVp? zE_{VXAuoOX6`lvPz%BsQdi`Fu`+H__CwMmt-_w9ygGb2jOC-WEIP^p-9K>tkv7lFx zBGMcW5#BG1gv;)kZ`az5UxE5Ty)UmjTv)9}jh#Kvd#&4+ShropZ|Qz=k43$Dy^S*s z{6dXi7H-Zvp1VH{8!IoLDZV4dpK}OV=k7a&)V&JQf$*PSV$PokC^S50?7gdVN4lPO zY={yZ$)Eqif4r-EO4u;)8Q2+!@I&bBU$|P~$hAAx`NzunPkO+Znpe=C-00Fya$stF zKm{Cug`ji!&$2uH&mR*7A`|t21#dfwy}~yi&VM?bHg@n|6<>MI-itRnhFSXOk=ge* z@xS<QM+mCE6N`NfPo1n@HGj(NR^xYjcho=UmVW-dTDj`X55zYhtl8f{us!ZNH@et9 zjj?(+TK9D*Jb$7j!HdG{Cgeq!xb7XOo$<^LOto#*1!^e=?UtX{9ZZ}TfwkY7y&^~x zj&q*ew;=}G`5Qsi8=VVIx;NRlZViTqn4Ur2M}Gw9936rZ3M-De&fbZ{+CO_b*2;&_ zz8CRd^}fXJcqhJ>b-G@=oRp$|LVND)&J5q?D(i6^mlqs=oWI$5=6^C=Jbks--+u1B z3|z(kLn$zCyZaE4&w<ZhTP%!k!hM&uBKzPye`CwOvSELeH)?odH?y33&*`4mx=hRe zE=e6QZd}hQC`I65Gy9X$Pye+nZ`6!+t+w@UQ;$)4=AX`i?KALC!irMP?lNmRkn%Hg z*?(wp^UBDY-7WIg_#fO&TK}?^&$P5!l0bNb<-oFA-rP8Tj^a#SHs>kNKRAYnHOY+; z<KvyO#yqYidlI@+o&mU<=eDI&1#P?Ve#yN1IafeG4_+Y@o)H@xftk~?eC|`w-O36? zeWPrU1Krg?OW(hwn;pXqM#tNS4d=#)k7HMEt&4I%Jo(P~QE}pxlV3u`-g<ymkr9#S z&zpKU^o0knZ3Nb4P6gQhKn5?($-h~8H&D;r`vW%~^JnuMHyuhpYx!P%#{zz?5p7V5 z-F<NM%?k)P@*#Mw2LyfbMizPo-Ew;c=xjS!c_tC`aU4xn<*CWJ<gZ%HJF7EmD6Q4O zu8|@l@1TWp)><}m+Ff-x#!Hy1D=vNbD0n{LawME*qD~ldnP9I+hcnO1NuJ{4Ax80X zu+m<28EfN9wZg4cZARF-Xf`keG%)Ou>g0^S&n)u_*)v72)9#B8On0hdKdf_4R{=`F zWxsrk&^2^%<cQLb5t;?aT#-e7>1bGM8tD7U#UC$9hK~F+EuTXs5gAB(fs#yNQ7gwl z*};UNnt}?oYzJMdMSy`{@_uDrSte!D&`oxO^3>Cvi24G*lJn8=g`(FesJZxkZgGiU z9AM5-Ij9P$_AI^>aAtyF4OgwK59!k#+FZZ=!i718G?M2Fp6^~={G4vn`FA;dO4+na zw%$}9Bk~wNs#-jd><^B7xq2SV!oXCKXXpjG?U+L#C!-|)7&4`JL{rmQ#2<*I>ZHfT zwbnhn;YFA(H1Q}C>j6B$%it$Gy<U+vu54w_%ZVu|S#=p#Of{dH0+OgJ#aErVDf{0l z98w)fRQU)TTJ=)0NE=mY%cVaytSeWUFImE>V{0tYnfM5u9q?SuY#NVhvTCTYht;=c zo<soT?^q2EB;QD4%X>mp`PKAtSm>Fq>Y^?tCGI4L+vB0IKG+@7Kz-Jpgimz9E8N6R zeVY#oIkJ99vD&1Ctl>)kN}-}(Z6GosGJVoRlh^nHxqH3}LTmz+bYrP_T4nBTE{Tmc zfQ>qtO^lW*{a7L)Pvue~f!x)#$XS_N(fI%%OU0cuI7G5Oibu(1TbeQzPiD&{s<ElM zTtaKZBDyxLwmUv4X=`2@ofZ?1Q>Q+;qJm0ZUN07}PF*v!q9XHDyh<EeS(>jpQYL9L z{L9?8*<>+`B<#D-elN_-i>bH)+eSg2!i+Jgw*vtVHq-<V9jg)|#6-6t@Y_&JIdeMG zlzghxW{%7!{XOx-Zr#B|{+Lq6D3<OU0pOn9+D7?u6>o5rQ?YUXPN~+VLSr<IlDk<s zjI9xi)c?IaPxndSdH6h;O!!HnrysO;`KP1tQvdqtX*Xnde)*2KQfXY@iFL07Nwzb! zj8Occi|6c8*baF%%ou)>=*&c`BFZ|;X$RxXum2^RW6skTObjA4Cnh+pwV8h+jndB{ z^D&~va?V>UfjV}ks{B7iE|pUuMs9;NmR$!h8WKRUJVENY-(F-{f_k~b#p@LPN0%3K zRlZHdHp`#=L7^g@;CL+N$DRw_-#<VF><Pd1`a>x2mSf?AiOcf_sh4UO_SNT?$5bX* z??WdC{)~I!c~(Hr$SvGCAym6YDO0&+uM$x6>vc(}7keuAhgUBA`u=&fd-&_|YNbJD zmDTiq06hmcoR|0)IH;`_F_)*|`Ry-b_k#;rJ<gmdeaiW)N0bniX;a(ZzFUi$`n*IX zIP0>}=P@P)f<Da2RG^6#(pUzw!EL?EyI0%KDry~59ZNNR!~;v5_kh135LxTyB1vg^ zJxX9F;=`d2BGE`vj^3Ks$;rjQp1WV1ALk>L*zm~T2<Hl?TJ<o<d~_s4`h`fi?P0(* z%I6^@uBEE+GIiU9!MG*H>2Z<1_c3><%*kj0&9dx6DJ1^*LPh@gf+Y?<oo#EYhEQqc zrY){s^343m3Exd`#NOtOq7rlFfZd#!o8y+(ZEm9=NvE1(J1FSr%%)XO;TPnuzl?Cb z#p*pcub03YhTw1%dqh%R1JT4f3_CcR01G0V&tL}49ChrRhSScBVN<136Af!HrF||h zPf;_dY`I&;t^?1Bx+Jrd^&UE8+kl#)5I;jdwO%EL$PG_pB|4oQBa57d!;Wt;J_{e$ zu3N2MjSfo@Yck1`RltG6Gqc5NuCL#k%`?NI5)(31R6dhe%miu(-h!<P0ZDH>2-egU z3RxbE#jKbFLQDk~oL5bvYz&#y3QK&BOhlF;44LE>3w}_fngUJ(e{clx0~Q%F2@RTv z%^Y+LE&n_}5`QWLjh_m5B}_yRY<?oIjMx<~rHga32Op3nlPmr}sMI(nRdV(}ARCJ= z&0&d{a$e2Jyh=-^Wi*hRI11nsCq?S}1BWo-$S~p7FmcLK{vx{yiUQ3^?7CDAnjF^v z4}4S{k)n&aKofQ*si1-Di@Q96vC_t2f=FOc-wt9Vso<240c<JBX^2Z%c|bAaFm4A+ zAWk$PG;7viKylIykdz}Tz|GY?IfyZ8h6%JeT9Z_G#z4?%?HPn6Pcbn|ux|&CF<5DH zVPQs^<bwgzlwj&qNL~lau)w?;!6eu{RY@w@9AohNArs)?<>+<VlcW+*%I)Hi%0qO& zVnroS(_vsSl&Zbw&|2WrO-QL!cV}Jh3Em<>SYxNfbA%&KyF|B`NlG8$twHop_?VL^ z%+)nXfq&?K_yB!vqoDeq_(Mk$kd#)<N=Q04<BJS{@1q0v?8!(?q0Hxk`JCsF@zd2r z7cJ?Xp*3SdlZKGf%`CJ-`0n71h9-+-tLIs&bZHfNY6c0o*-iaqfD2-&@L)!wpP$0q z4${g;-(Y}3rTv9Wn-m@EBd*ar+KRyF0<WdQGRLHy=xBl+BG8Vo5s|cDhGUN6L7|_l zn(Yyd)evzGaH<3LXDuwV)=*wqC}3K=BFR)NZ~DmFz6{w-0u)vWS)<SsQj{m8bDZ+6 zjV?*o%NIXed4;FY^Y5?Lbtgb81O@paSv5Bzs-P+@bmuWycq3P~;)_){DKaPr1Pi^! z{6r42D5iFW2*?aNjJ|_S9+5MCZATl@u&O}_S#5{=HaI#kL`0I^Ms!aCSK%MSK^#Wz z2Ng0ZpH>oV9g3rjt0vZdAk=MxVqYGsX^?+SS}vM|?@1bxQWeuZE2j-Tt{#2KiVo4P zp%E;wm44mT7t#$Ivb_jGMBUK?gF2l#1G*g<!f)d}FT#NnL@m@2@v<m@mGgkYH^?ri zCZ^ZG;4bJU&XkPV{Dne;ob&EqQj|Z`lF#ECnuz|>+8kgOnm8)Q0Wq<r0~BP?!r|}W z{7|I>u92ruOm<KX8+t4cK@^jLqGM7W>5s0}hY7YlHWhACT`|ZaA96sp#G)8kJFE)H z^8u<0CBBw)O-?BM)mOKTw$P#oEr=>hXJJUAJ1~{jqQTt+if|BWj$!Cyi}NPkjQ@1F zHa3QdjclX8+ASO%xeLaR`H%;@>M`ljR<(p11?EvBdGC@J+=bc=G0bK!5nSpWn~3}- z?XU?uR}bx9_EE1>jB~<+_$FPqNZ%sLrU<S|BCydS%%^BMDCN4e>EKf1vjO)e&5e)A z*&b=|tm&0cC#V{A9$2dYNe%+4k5R*ufYF-gnh~c_tW$r^!8J0`9DPHgGA1Q!WfmVx zg9uVcWlsiaOG$ys5<CejrU5FhiM=k45_$@=Aq)&B9SVXM&^lbePEV_rW7Cdk!;i#a z?vm{b=qCtrj7>qPoy&EP$f`B#Rbte0!n;K0l3+%Z&D#^+q)BFA-cD*<=#R*n1?lH& zqTi%XkVXB=hy_vo8#IL{tEx=DKq}o+qN}p;iR=X{qsiOe|H7Wb_`9wr%}L2?q(yNS z;^6z9hwF+6^B~5S$BJqlYcDvfLoU}PWmdc7X%VF<BGoEs*N_rvk;a{SCee4O<HW14 z<j`Y;n$RJtP@muZ9+_FI<T#4{ySvlAKM?P7kt@&A0eGE&j;e94A>_O%c+~4Hu5Q=Z z(nw!HtDucN;!HOXN`ELCRu_;MShtg_wePLD&VgzcAoaydCF*r>^iP$sXl<)wI60>+ zWt|KIObfBqu;nF|f@q6&Yhcu9bVJ6^vV4GUf`Z7|$Me?l+Q;*)*5)LdDfYw06;zeM z6poPzRHL)8u`#pZLV%25gMf^>XeU0hmvf<mfHONL0lzou%UgS^V@HUIuu7vtL4pZ0 zV<W?eg~(%9gb?OQfe(Z3S_yp~Q&}DcyZf7CpD!su14Lmr6}eIo;A^Sx1#3xv25)Rw zK}Id)ylYpxo{8sXoqT5)>mpus_s1f{BAXBV`6KI|*yB^X&>XwywppY94+G~k;nrZx zFAxNFvFOLrIr3%Vpcefp$YZUSrm~$^^6MtAxtjUdTDcsvtD3K4cx4<(GLZp+;0i^@ zem3fb4Er~(5{KwoU9DoMVz8rr2U0JzzO78Dk3%D79;rMR5{Z}C<>ZYhYeetMSmz^D z($%|ca--0T-(fxacZIqHrYO>AhbH)#x3BN_WB%tb$S4Y7XL}yT-d^s+=~UIP#&@-c z1;YZT>R&U#^yP>P4xpPcLe$3$BhUP=oSv_x7MFHyr$iN-^tX&(7VV7B+lWZ*^}E$& zd2RlZnSRuskaWR>#5C<g<z}GAer-SBVNof2HV)rG%H|Mk_fx6i!4>|d+w!&YBruC_ zXkb%hBHWCi(j1vLne@mClJbXvCoJYRq^Zi>F>k8&JpEdnRi>HZ1nkg?L^*Pu-23W# zdkFYc9f=BJoxCxqZ<sWjJdqo?8i=mN9H6P7^zkXRZV~$v6rfS44U<tlqG50Urt{QI zfntJpnjnFcPL)|xD`|s<iV8EVZ4r*nU-DG-H$=8v?<1Vn%PM%~mYGd{hAk;dUhB<( z77R44T!(I9@I92pNwFoThkxOZX?IvnWj&;{09?gVg?6Rt@u-Y>R<V~}I$;LX`ATFT zrk{M|m%7L!X`i{=iQGA~g6vux9q48JNL(|<3oS<-X2yG|D7K*JTbdkL)EdF#z}vNX zha%cNB7IeRN~HwD%R-(jH4rmHfzKl{zMQ18(es(O@fgyET79^4hauyhbc^2`8vnvV z39ty(GI{QUN5w<$k673I$E}l{K^}af+-3px{wYa9Pmg!e-E-m!0xGr{>g=&)(+hT> zFEv5o`N&3X7t-dv6z2SfZg&0$*D5<`3l9&~TF6E`zMKT=2kki1o{vwfR%lDEz={qd z4wW*63?u<lnCul9Y4};3Jdpt5FKR7vs9h|VplyNPQx&F<tZq!{CeJLKE5WX)FV<L< zVc7XwRk@+T$kce1)I-~g7_k%3$@}nj6G1Y;^-<LtP=tUDQ>^~jK$dT)nQoTA{vn$X zp}fERi1f&^en|-~9>>up-(%0J@nM&#@~xghBDIJAK<3n5>)qWV@4KB(x}zfRbI!%I zZj$MF#@Eo^6<rS11G?=BU#BU-Md<a_bRy7)?HE%@Sgvmy<<7xaMD1(y^g~Z7|7h0! zHMQ%2@B#^yM7<-=ar#O7h0eZ6tnE(uk2|r7Bnlsk|68L!qoo+ymTF(zoSbG&^CV7d z+c5Y<>i4Sx<)3k$badriCN2Y@m40tKgo4jv8qh`<syK~b*02*`{_YldosJn4i3#T} z+}wJYXo-G`l^GAO#k-{+ox9$xoIV$kHQ|z`ZA|!lN1Ij*B~0zZkp!KWbczibj$0lr zKHhweaKEKRveuAt`s@Bq@1ZlO48gGyFPv6ys&^flfJr!9``p?O3YLykYDKB%9g5J2 zLp)?F0)}*0@6;hJT6#MCDVWvCL+%;K^lV7}-glMKdSL=&-SlD6!;`aQj<khC2NiRy z=P?M>-x0How0W%uLTk7bI*V^LD=EaFwyM9TFaoBCJ0qd?1Fc6|0Nlu%<nnl3rUP*y zG~CW|j<ijp-&skfO&Sxj8A>AfHDG){)P>mBt=)5Ru=tQq>^ZvWVhN&#QTufHRyM;` ze>UY}Ig%wFy9l!aWEBpjb`VI)oBM)|rhd|_M?}Lvk;SJ?LNg+W+L);T7$EMs`{YKn zuT8smO_lSg<Gkt!;W_ahM9sndK`S0XZ297&3e2?qE4812MECpF9V_Nl8`mG$cBQ7G zmab#st_YW1N1!g*I~4g8re^epLoZ_j)10HlyQgXZVMk6KL)v?cQ(>3(`iJ~Hi75v# zk#UWHmKDesI%vRaNm5X_gycv;pr~mx&hUPw`^;6Lw(4+EZaz8}vngr%N`Yv0iD6W> z?P7IJ==8pgO}A=CydSf<Oa=CW-@oc{iWd(k!a%~sn|d(|h*I5U6=;X?QPcD~aHxpm zvrTC>H$a#~?k_A6yAC&2EE1#PMa$znV&RJWPI8!qk3(`(BgC&psAeP5s)|Bh9*316 zVO`cV0RDrvh`*}mtA&E!xd?QE#YI!3X*%gf-MWuz)uu7#wE9Sr4i1Z->jy7aCD9yc za1LL6b%lB8rpJ=-jnf$Ku%>xYRYwMgaNU&nEUK}(?JeJ|aXjH*3v}mKjqR0T$T9?| zC2!selbJVewD?E2G?Zup+cWYKb8M7U^bs;gkm%IJdp}~ys|(4Q-?AI-p5Y(v1nfW6 zVt!=>_>2`9ez(0Mx@RR)LDqcGon-)6=IlOnOy0ifx3$SvQx%--*w5H1Eu+6zfv^ZY z&}%$taiHHT?D^ml-vx?z1C{9J=2zSjG>I>1@UK<GG{v9_raW87Yji5SFCssi{%m?e zN6*85n<kyN#y9;Om_+nofYs-|&dXu_M=&$I=?r73LnLeZOM$sVBPGihlj3^N>0rGT z>r9kN=(5YRkkYKzp@d(YTD=l9aRL1n!rPgGxh%GnEyVr?d@DrTCW?jkodm|mIxI<v zPtOPp`>T^DkRSe$yFJT40RTEky<beDoH`wQA}lJ{>?WnjhDDrdY_;#~jxrL3h7?H+ zno4*@`>z7&gZD@LuT1-u9yZnvy1&B7l0CKputXKX<LF}Eze;xg#JurQu-_c<>+R@h zubZbcgn`jp#(b<4qvwQk{@(OL-410@HXi_E6v;F%{E^=U(IkWCibKGXEC!PIVU1Ri zz8G>C&k5Bs*eCAdKm1psgu{GjQb82atN;W~sjcX80S;t?LDtqVl{;_+-FGnGev_$~ z-$u4V)=R@N5Nn(+ity1okyieIeJ+g=x=H3Qd`4$o5fq;WR4R?*o>X}RnIBw%Dy(Dn ziqX)JiPH<WCPpcA4=pDuu7yA<?<2)t=;K!m(`Wq)p^=V>57F<2Z|db)OyqvrB*`A( zMoNb&bf~|R6usj@vV@HxwmxupStq?Fw;34eu{>}@fqy?zq6f0t3#8>ms~N$k>GRCd ze#w_!WZLp*tvXVt{Z4tv;!Yu%a-oEG;~|Wz8TS)6u%=N2Gf9q}X|n15WdOoMSTSY& zyX6>xUEo+LG`Y#uPzjfTw(ype!eB5Zv<)4{%+}X(bCl{|m&;E%e6!7!MI;E`^epI( zLT_uH)f08&&y|2lZmNs^Q=G3AhYP8o-%=KQ>cz}<{_Bh}`hp|<PH=LlFHqV<%u1a? zGS8%M_{>(F#(5x*pH|~OHq<$xq>b&Cfr(*M=k^08=@|62)O(%2)S~ET8C>!#_XEPp zEIt9s@H~HTO3iH)HBf$fnDZ$m<&UPZ1wVjc;C_99?9GbJt*{y^shK$AZGOfK6V1Z2 z%>~e8u+V}FKQk{Hu|qVUR<MFG-0dLKTqP1|WE<X-(}tkvsc9^G*JoW9=C-evh$ipA z6%A7`Dm%-MBA%%O*A_){G<JTsz$UbW?VQrj5nU6?I)e7geS_~iyX58dMze|RY0^U% zR64}%o2ZzjaQCuQRbzXBz<|zMynAD`r$h?km-L*OBy)DDvm@mI=x-O((1Ha-y0Z<k zocp*uV%*Fi)RAMjo<=}C5H|Ah4q35XU+E{$lhiV5SvgcA<?1=Y(n}cjPnm=%h;U;> zVnEY%fi~hN0?n96igMJqyMl&CMbGEee%K_VXzT5Yi&AUI$-QM@l1!-yaa;ih+z)Yx z7+(&sA|c8U_savtnA2`|s-j`^)hV71Fk!Lv{L4Q!h8s?LxU`N|Ooq|BKwBO0Y3oT8 z^;+s6h*H|lQkD`}=4BI!CmC0k4>E*ya4)X$w&<53_>0wuAEbW}^-)5WAHj;~@}k%3 zyN42{ZH<$Dk1@;`C+O1}9ZzP)+{V)GUF_KRksM@*D|As;Ef^5TRhx5u|M+!{30Gq3 z!u|W*rdqlxL{Sgp(zzKO`4tob2_$xlwTrMWfL#FW2Q2~30oM}g7joOy;b2M+FMveO z5TpwriI~arn9QhNKwxQ^pz6{;Nw+A7b^A_teJ>YJ=Z3u*p?b;+ZD;{I{qJ!Inw*|d zS))Rk6KSnRgidq$Pn-$;Jg<G<qUP$=C^K>n#l@Bk{(79R0k&kQPx#)CKjJzBS!O0V z{HDIknPHz(Ru}1QP**o(qxFw3n;QhYeyl+;m1U7q8moPNJ8vDw${mQlF}NQ8NY-(s z&V<(HXCEzh6<#|ILMpSfS$Dp{KxV|+8NJ17pwgEI`KW|(vn%tb-Z-8Dn~5VNeD(V0 zv_EP`tiRx{#r}ShO<~<;k%sD3e?p_P)J{0aQAs?z8JYb!+nwg$S^UNgC;T-_I!NS` zCws-&Y$|X23uVh#LwL9lm7THZorz=WN0Id;b$64iHT-)e1Vyx^okiinxbf;+`7rG` ztGfU7vq1*<*q}XyLM>YYI5!K-2=8Iz*kVDH$aBW&vV=w6GlrXv4zUAoJK+54wuoaA zKb@o+8sKV#d8Wx!3|*(;fzPKkxLq_^$aHcYsf#I7MVwXjbMSnI!|Ym#0UcfejE%z8 z6)=MmCJ^(92MFRC91YPKJ!W;6e1eJN<URE&ZasOQ2+Fyy>Rp0aC*+C@E%lCrjYF)M z+)2!7@^USWRf`K9?1H)HeLAf@UQe(VsbT^VALcTp9Ef%w<#Z4?-3Hg<*r3o6i{e*& zSJ!J4sAVxAQ0w9(Qky*{+e47bjf&gb47QppB$405`!iUe5V=`xR5_MZ=4p@RSgFs} z+5(c>-sf?-pOP`}?w$tzL$^HgIDiT!S_t8HfdFh*l+cWxG-S`kJ7lkd7b=IgXwprp zs>$<<dJax{WcBY!m03h=?W_(W7h+6FkL+e#7X_2=`~nio({P<*`~HePH^T9Of#1$l zdhr2G2Z<LUat-yP_=FahGMNs?9>vR}C7C5@{?#6)_JpT8KV()l=;REVbe)>x4S56@ zr?8XxTJZ>4jI{+OT=I10Y%92t+147~;@FWhcrtgWb_&@3wRW2&TW3Ge(P*c=$An7i zRsS{K$q==0Jz$E6$3(pkwNH<5T@PJJ{kDnq^gN2N(`L7c-tvbD6b$f7yTVG?vD`d? z@zkA=-RM5R`tCE62>S(UKGdfF?`y(jxCCTg>>OS}r+;=K;#O5_Hz!0t`Hzt|Hl#rV zX;rc1zbiNMKQEyUJ3*5jH=r{O0VEFFNK+L8#yg$^h?6hg?xO*W0fwe=ip)zx@Eb54 z`}q+B>&?sVly7uF>yN;AJMR0J%O!53v{a)4DeaszCUeIKa+)6LB+RK{-uX#_EXB## z@6$>nBq|1E>m(uh{FecWrSZ-~^szGrX(nl<i+bzlyw7lHrTUbDL2Z9e;#sM?sWk{9 zw%FfftL0~DPSul5wadph8ZR@Y8v*bfWh(B8N41(Bmb9^EVZC+Ou;_n!<nbi5F+3ya zd%S~6M5P1!Y0jy{njzMQ6V@3vJE&c}3>M^Q9@EYJ_KYiq)0AUy+Z>3|sHJ${RVU5T zBH`xSW?2`}Ig3VE_&*+2aC5~_?tSfH#iq}Bh*pz|oSLPZq;HV2cazqatFgBTdAvg6 z-yl#`XK8OWrNvA;Hr;Pu5wR;|yNt2%8XaX2cp=ls!ovCK9vcLe<=B5{McNlfJ@zoI zle%!B<svuMEhWukSO)u$pVC2Fn^w+RbEWDg-Cd}w&^|Y2hN=gd3-pgvLwR*s^Z04R zkOaUdME&0SR!oEtG3bzf4=P#>1))0<^EP}g+;dTdU5{EUqkj|ZHqooUcR>XOaml8^ z!s>I~xz^l;x=$YFa|WFgiHC4>{R20B5YTm8miLo-i*S`|@t;P`A`~i42wm288#vEt z?OC<AoJ4Ap$Ei0dG!>kEjR(%s^}+I#{vN_4+_hYNlaH|G@g>ZUVK5W+bmKNBi5?N+ z(y(ytKi4|9uxIUVc>yR1Lsj#Eg(ZH%OVakKpl!Ssxamzpx~WZ38OXOURfwU#r?=4u zw^_I$kBo~s4qy`;=zBofg>_{PE!5ThuJTeS$oN1~ddIPD(GBPzjD{3hlsSL?yxc+% zSC>j+wy?a)h<&ejU?#d=-bWVJtN#%Sijk+FFBy=|E9gYZ2r3!mbL*7G&uw!WQ_W|& zk^L^rKEIZl;tf`T(}hlrZ@$2eR6L+RMWC?g$K?`3BPNGAcu90SBT#p<|593L-jBZh zn!xlUiz-`=i!drzJp=X%H34g_9Iy-nw1Ym*q-)clz#_REkg@vVK#e;tki9Ia#?t?7 z;$WLx2d`BXD-yXQLpRi*DwT+8GmEIegnVY}jjgW%uLG%h##d0Ak6%X-?mn-SM+h<! zKR=*JE~EQ#6b<2l3e)t}g;Kna9!BRq8`^s!Q-<#XW+orLYpM{5pdZMXM9f|IJ4ODX zJYaaPoj&(p-vUM@0xi`+%UA<*@B*l4X@nc4cBd61HG3rEj~bbn++mc6tVSO2@%7+q zt##Nd76u<x^;g_leY(_W^+n%_S`dzMhx7XcjI{DBxys$pgF}ekG#?g<EP*kIJhAI3 zP(lNdZPG=z)SVusu5vEd90CxzMr?B7)3!4B;@Z?mY|-ebkutX0MI9_Zna~@NK5?kX z97-tp0SR%g4%~!R8@3_|sknt1&$dp^uuMO<ZPAU^XnJ25TANg~h~wt`2i^mgL)n|G zT}NYdG$w0;)<>{omZ6kiI0PHvGrFPD`b|ad@$+=paWR?h8z)^LchYSY?j0ug*v+vQ z3tvx8$V*t2b4bJ}p^)`XUAM&Kq=qX2t>9gJsN%&sb$zT}ur3^T1xbFKmqcotT;Gb= zM<rI0hDVxEQBNkK&X9;-$ckkZ(^M35M$uW&D{x!%dp~0@O|5^h)nv-f=}>D!uc&n{ zv*!^LG1M$%#ebesSmHtC)VBQ-ug?9Nt#aA6Bn{^CzLVcLN5!R<6H4XH`@La=?>PCi zc1MzA{IBBV<++B~RS1GdZ}AQ3GhjB~s49o7MCu6Em3w;*!c9On!r9-LDF~!W{9B7D z=EKslw&%;pZN-D8G&D<u&q(NGh}`zLs_&cSbzi5io>laGoAax7hGMSVo32ep!J)D} z?y!Tqk5S#Rkf)Z7<xb?!zt<T8_c@XX<)HsVCWiU{$i$FlmqCL-VlhAH|AS0y<7npk zKV)JDMTNLwVfg+}I@in$bIF~tD@+|G&A;54i}8C+D>MKgSN_9c&3B1EW$?IvV#ls_ zpc*zopP^T|-k+chgJ_Gvz^h-nh@A^c5j8FUpUdhz6DIy|qJ9`<L>Qf)#vhNcpKnj* z@=&=BI{1RGwXO(hxNuOHWJC1DB4588i{ZDctUBHFzeNj{`3tw;r({FfpRgf~@;?XS zn8#eqV`1F5B^*y8h*;9tVIuI~ua7Dgl9~iQtLot;thk^B1XBN}?f*ZKTGidLGZ791 z<i8F5|3;*CH8=U6rvJyIHr`=m&<C;g*2b2)YvF{R#Zelv+CBT}<*l7pg?qzH{*#|f z%1*8fOzXum=_YdzSfkUR-0OOY>elsAm@G|JU0uF;2p0*6?!}gW-)>rY0$>iH-k>(1 zSi6;HdOi{<p@N%kJr7xBP;@bY4g10)OX5bn*G}ph^ei!-nPNgnekkszhd}n7rQYq1 z-0tgmZw%L@)rD7o^(R1-gB>H{a6DX%4Co3}v{E&`YTJ0Qm>9_qnI@|NT;5A8Ol|uE zqw~hgDQ`3n{8?}_s;Fe%dtU<~{=R9VnC^CK5V@{wYg@iABv5d3Cr^^A9bI&)$a=$M zf2huXZOS3g)U>C*7LRG+M#Umqv6g-gbK05I#=lUv?LJWEBA{mVbmZT%v%Zh5a*1+v zta$OVHTw1w6hq968#vd#D|Z9ujzgD6!NM`n$(d&ak3GNLUZ-XZ+ijCaU~kpLO^WZX z{l&~PKK0Ksjy-^k8Iu?Hlo(8kN4o1XgykA4&Mxz`R*`<Wet*dvW}gYhpI^)~Vbnhv z>S;l@>Dg#iOmotdl5gyCJX>wG<WL^*a{SYiPkVcG*gS;p@+K_yG32aNt;1{dQfqEJ z(JRNr{9e7u9$=~zW5Dy26CRzxsJs4L-X;kZ>ux*@`Ip3cB|KikZ7#PB)Nhs8@Zj6U zQIhs)Lx*jgv|piyL&6CZYMw`KGc?a5=rLla#qGep$w_wf_nqt+^Nie2t~`i?7I{VR zd2=Bm{e?MY^vx*&V-l^Mgu5vAn9k0~P>JzhQ01(umpQ!gv`e4ERyCwJ-g{a7Dc$i9 z{d5{8uyx<TL{xQUyXiAabv81&LjI8ESpfZUWMX8)9m;zxU~E{>z8f;tbXXSRyOAkR zsLE`}?Mm=MEWB}ES7Zb<_Tc4f%p4Wi>DA-ST-azg-yL#mE@C9QX2N2}=Zdzq7H22P zQ>iDJOX5||MEnE}sBV(ON&O<mjYMni;VNN+O&`enffJn?>sJ#Y)%g)YspDTg1`yAJ z*CMzH`v?e#uRkX6J}#TSksa|eI@}V%;3M9R8$&3=Y{uaxR-bvE#>e84hh*}pXP>#* zC4>lH2rs5vBWmhv`6<fBk0fjkZ~n%XW7?e9$i4$Svm?I31Q(&t{N8z;!XJ_`4pgkG zT{@|pJGzctC3h;*e!80PNxTmPMDi<CCs!8TMqn6&oLV5=krtj`GFrFNJYWQx)9`cd zrn3#*frDA3j#9U&x}h@UXdk)iY4#Hv?&UkkJe+vgp)qW)`|VFJ>s0=#Yr&6V*gg&W zg?gR@0rwX&+;!Hgg~4HOyN_?kjJCz`_Z)A(PAijKF8R;kQ2a~?|8V%=kk;e%FQ$+~ z?u19dM5|!ey9!am^4t$2t8!%7(HbzJ6fasUxd(6~))(Y+`@Ne1%lO~&5hm1qWSb0A zEL#U;S+9=V<&va{AfqJ}mzhTDzw-XUB00fjdjF(MTW}Q8@O~x4<(sF8P^QmsCFq`J zI|#T^^M1X-kMqjpKCuz?$-q^$G2+McPFBmzSZ$%mm^Xlvl-f>vl=;2w-VLcDSc*T| zYt&N0|3do7h-*mLcb;mbuCP@k8c2QxNxP3Tm*V8XxF@&Y@n{=K(ir@xFHFkbYODRr zHWIoZ!k4Id6sDluHASe})Iq1^M5W`F81WBhZGud~Ukoy}cgX!U+ra=Wx?!(&>@xos z0|qFnpD7PW1GQ@)LsTI7e__9r3(9+Skx~1=rknf1(t?DIBJ7$T;9ClVA8>CBoARNE zhECKxH3vkdtyr+83ITs(KzleKl|o#ZHs`C=5DmdF<m7D0u=aGrbmh!0n?~s!uJv;9 z{UHL~kp01$SBpF)djCw@23)ad@O5IV2ZGj95)G4m&f?aarL2<Hy9NB_4OHN(XiM`P zlPk5^o;KoZLF)KQkpDNF>`@8Nn7MOb#;dly&Yu3$-JDAGe0x=qtva>Bh8*bZrjy=* zvvWPW%tm+0h^xh3P9FBXR+6)4Ns;p#D}EMlFRLvTA>^l}d45K{>^VHoPF{*sF~Q`> z517l-YKenxJ|-)9!3aq@4$k+qrd2L(DioPewJKD9c~T4P6dV*3nVd3k(jUkW$J)GC z-H)ioOU&@4)POMN$Rq61G_%NPcu3L^X=2iH8$?m>DzH@tFa;3uJ)gZ21gI7en??Uh zYp(K~!y=Z&n_{)3MW@WMc!~FazyE>9<OG_hypMWUxXy&dSx-+5Gp&O_pp!-^H-pVL z=+YrY`89kUVUVq6l&e#wt+0sbyZkMS;CVAiBTV<Vx+)JR6#PObUINIL?rlGpT2s9~ zSOlKuXCaCI8}5uP9fRt@ej>ZJSbTL5juzUgb3FK(YRczu_nnHCvj3eYTxjD$Eq2`4 zNX}SAk%^AJ>cj7W7Zw%gAA|ag5ZFElA%ydfk%=Ye;gE%?-`S9aVGhKP0X{b=ocYO8 zu@*(skE9T>h7ILQpDg-)%1*?EViV3H)hI4RN<GSOH@OI$*d=tP$nFlkYrG~XTiWKX zw@x6z(fcRVGh0H1!}7M3H=;#W9Hp&};#M@(9b@jrQ|d5luxkKASt%uGixu#ur9PDP zn%v!V55DxJGrRmWW|J}s&^yvstR1%lU*Y}u=C?pHj@B_nddjKCHOj8Os`<NG_x2c# zNB6kNxA?ybI|t~<x~*Nuwr!_l+qP}n>ewB#W3%Iqopfy5w(aER`}^MepYNPL=ZqS2 zj2cy=o_gn+d+%DS_MB_7#*-Wn8)G_ApfOT$Nd}6;>dBG+k_4LUVh4PripK_3h@TSh zZxk=fSos-}Pz%@%akeD|<0>chVdEM(4P_01P$xd@8S=iVmA9-TZjC6=U;^wpj=+>= z9U1bTm00rZ7)J5TtN*sG#@rrY#DuJ95toA14JS#8kWP36$XY#Ky1&&qBu*Nprt0UL z!I6WKfZ?Ah(xo<*!ee>$g}q6z(#!Jt%UV;}Qq^rPGm9hb#}B5)vkUFH)r5QEzO>r9 z?>}4$$H5^+;eq<co1VrD6xzm&QVYQ#Ms?%N0U-E6TP%X``xm*-AZop6+rv|5%}t~r zWN}ef3xEciozPcY9(Y*xpVu@_z(G$BIbaH7bL%|@(p?A8nqYbz$*<yXn1pcb{Km(? zWB|r}T<H@9b|@Ji8c0@`$`fy;mm;;}EI6Aa;rYmkUfl>{kn?%J>bzT^4OxfS?w~Ob ze4W%Bd|*f0r#67N<utl|QU-AJGrTxXE;NZBpX6V(XR?7{zZM(X;wj|3r#DF33X*j8 zBMRLE1#;s~vl~wn#8E1%kBQUvALSdGO$7|DTwhfftEQLQ6$o(5{i*GYs$?PxzL1c; zliorG{o%tZc9A1Er(Jxfn+*)i5BJ$#X_K7+YprD8cch2>@dH6W{v@y>L2~HM56?FM zLmv|O%6|rZUP*weuRa6i%m>&3xe_H;bgWkc*%3*xeief43jfDu#pI@%>Nb=#0|({f zbkf^kQNn{~93a%@qg9(dq^Np1n&QD*3_KbvDH?N9$W&PU3!=KhH8gR`wBH#rdPeq; z{0iF^WqSurQ>V784@=h>#*c8nl=^rv1{U2$a#9(W_>%#{!nfJTZ!Y{ptlPWe{nX|X zfLbf5dMVwbJ%o_SfO!p+&&o4_;wA2-X)7N3z8q#00<heTOg_Lu))WSTe(Uzbf#B*v zjlI%Ju5RNsxCxS82n{t*eQPyK_;wcIcEfI@#N$HGMe&4M0>Rqgm66o%{6Z_(3Kj>- z8)n0<*3Pv$$@?SxU-T6%hEFU_{N8CRp`87bWvSE#2|fhS-$Q)@K~vv^l$(bO5>&XM zOk@YKJMr=A`Uz9JP0qt?8SQ%q>WiYVH3CXqqVY<)du$1U1HJdIFynnqKn)V?vy&_P zgKor0kWBCgm<iJ8GLXib#{%+bRgZa_r%Rr^ofRqigsI`Z`OnKZW5B)8h~iX443#Z^ zmT`FKc9$oz2i)9nrDdJK!ppuBWiS7I6>}=mGExl$0H6Q^0D$?2nY`ZTOrF-#$<Frw zPv_4Pb>vp)Q9>>rsH3T5fWo}uLn_^Z;Zi_w>rkZyDIATGF>ttQGZUfiI_YF1u(~DV zT>Y8j(kXZ+JiNVL_qo0OuPtCD$O=O6y{L@CnNi#3f{UqC`(lJylW%FK_O73S+w3wY zuXQ#;^%51{o7AX!S#L1{a{*Cc!iZ`J7DHT;B<M8&Z|(ivrRg(f)S(U&0{Vs9bElWJ z7MRfjx=`aRHEd48(IB8Htch(r8{oN2WDI^nhjN%;EbC%8ce6$&;E0j{n+KvhKlD^? z_}{!QCZf*Xy@(^{TRr+y<n*@vY`t(>yQjWa{!z*j)-)q*5zBFqxFLX{j$BH30Ixmj z&a)$4K)Jn0GF1A6NEW_+1>w-UA5Qg?Ud6myJSa}|bK5S&I7RWFKG2vYZPLj%Sju;_ zX@nX!)@#&VTSF4Uk{K#0<H0Bo!qf-iyNX>`eG(HKLdaXVoFnTTqh2zqbgkS$j^=6A zgHET{0cweRpaxBLJQ3UMH<>DDy37d_Bi|xPL>nj#uJZCxO`=zK^%VC>LcT`A$6mBN z*Mmjg9=k?6_3ztv$y?;B2Gb<nGI>yj&}+Ju?b^*3Rpu}#!qf9x6c+Allb3n^IMeXw zW4ckJ2-HZCrX-i|kRNw$^y~?aRu(nnECksIP$5YX(fY9u=1nD0ZXr<0lQv~A<4; zE7`ov;<rLl37(&+QjUpb2d9&53lqf_8j{@JNs~>_AW;4~BL$ol7eJ4pzEKh9(hiHK zB-+sdnvHqv-D2fjc1*z_bm3jY;#zi2AV)47kP;wEBGA+_rGF%Q^X1;Mwtm>%Kq!s} zKCsAsENE=9*n8dY1Ng;x-6nf<v>To!)(YR<fJxUW&Z_nN<aNyFscstV6V<6nnOb(3 zKQL9Cj&-Oy2k$g<mfVN`_X8eHEej3~9spp84DioOS2ttR|2@`qR%-G&;k>h}ATds3 zJC9@q=h{X~IEyVieCv$Go4$c8>O+2gZUJtnC#l-w+U=a^{CIlM`)D@#xaLiKm*|x8 z?YxRnvG2H!seuz2^xn_DU3y9L@p=4$;#_q<ag9goTv(u7d$Z~#8#c5#w%0fk{9aIh z&SRU*mfS4e<C9a+H-?JUP=?`2dh#G25)e>{{9wMeraf7E{@tr;<!dLEIy|~>@kZgu zNE#BV03}qH=RwK)+Jwyv3wpT7Je$qk{NhH#npU8GYm!~m9nDGy%YCEu+x*H#(VLc? zFZNkgb*Bk-Q}?@U#U>U>pld8tGuW_Xlcl9<3BBH9d<0RSqJbUpC1L(5>8eIXN!v^( zi-89~x>y!hp>G~;Orda4*V;8WpjaMOxrN<^J}}$h3Rm1w@{7v*zVOeTLB%8gUo#u= z!@i66lL~#xUPB7kT%->A03Wwvk5Zs26wi_C9kmLMNCz4k(k_}I{CDBieI?vIuvC>W z9O%*W9KEKAn)1d3=!Z}~d3uR^%Ii+;oX#Y>aOfxr8Iw}l?itbTYB4L~!hSt>#_J}^ z^5*Qvy5Zc76ETM&Rg#e?=Xf9Qw#Zqd3qY|_FgjDmsuVCZR}$@G9!=5yFZf$@QAZzN zd~xfgL6RaKiMj7FZg>wJ@k$S!xJ0>&J7+(%A&+mgb)OO*7%^B7$emD}TCVW5xBBpD zUuA<68sB{w=^_?O^+S-v{L9{iutC`wba_%>Nb<L-w26D9km#<-{UTE0<oyTkLBhJf zC%h(lKoguF%k>3k^x=c!Q`}5>^oI*D$|6xfR%#n;Ze{__za7$GnY|4i4+H6QeT|y} z<u3>txDSG+bqBjQZd#-=+{0O^N@3Q=P>6q~zAocz5?e%#Y!$!=o_QU5xR;4O!v*Z+ zX3zO`-`~YEEKdR4w~B59Hs)iuXLmDLl4DhCG8*U*LtU+7zqQ#;(YV4Lv1dqaie2+& z+}{Xr>XYR#eNciD1kXLr>t&}rgOYpx+*85o3ST9rmUuAVovr^RNH`@wtI(7}3TH(p z`b?}f#?78iH=k8@z8vdk7!-{w|3})5<pkvNx!rn}m`Rov?|rc-5$$`uqL2GU?^o^? zwXOQfVU`2nHtKW-<gb7oPS*H<b-kG1;*s(fUl-r)yDq+mJ4F}%q#JGBx@9{@YBUot z00~>jHq-$4$_Edu-!l+cW|iOG4SMN%3o2a(JU?9WZGV?x?Y6y{gd@Pm4@yW=!QxSv zkC-6oHR7x{QSCxk=5mnql4O$>?&tSJhRY>=d^v&^DIWo5k0nyVXpB;bJ=W^zJ0D$r zeGr<3K}K5IGA4VZyd`^(dRWHFd@h)!-hsK6Ew~ZUADr-6DMCq8Vm`x$tr`ogi%oty zZe|T#kR6~IZF~DY8AL=6tf$3|T_SIk3^6ZwR%x5fJ=plD#uc*E=j0lgywR~!jT?<C zdn@3@49;AqMs|TPWl3In*$0)0zd${0bh)R3uAF{B0Rdb>t}iT_VE2xt5ePtbPWp-> z0K@MJlZx(K0+JtX%G9Pfo#=_0-UA5n*NKi(6AOsjTY{?t2qR1V8Ug(oulV%XOO)Tz z62Zwhycnr_xUst996N&Jqrty`HcbWm(99B<fa_NLG?rlBFu^n7f60`Ah&@14Y1T2% z8VGb#Jazcf7b^x7R64&lFTf(mM!@v@q%ZGIA!z;L-DQfr5T9Tkp0$3$tUUXLqYMqq zQ=f=T385t+?)<q4O3+A(6|k1C@~w~BI8NY8-n2p=n{o{!<92o-)_3N3t}nIA-A^)< zM=w!?!^zz|=nfV>rB4<*xNBede-z|`LPQ-}02(qaYx+I$bGAB}9yrL#7-X=5Co`zH z5SwbrtYK)XDP)Ig?<Rg#j5**AF6nK-$$F)DA!e6B;cUU!iB*xOScvO&AKs@j(Y65$ zS;SS#tY6~c&+R`qU>0^I&w7iNmpJXO>i%BZv<wvaGu@{M<<PqOlHHk2$elr#H<$=p z#$Q?ll&Kn1zF)e4Y?cw(O6xE&AbtYZbj!3&RHe7|$<(FyqC|3IXT0B(#u495B#nY> z#Yrpph941C>#?9!zs%k<w_R<qODnjY#sARr<ctqx-~gOb;Vd=^ntWaOf&$T_v=+Px z@;q7<^WGsgVwooeJ|LRr>t->}W}<7qaIFLO!5jE)=++xJK3un_u@yXP4WHKr)ry#T zl#^G`1N|r-VdL-tU9lt<t(KUj7q7ul!HncAknc>kzh+oFOTeP10k46Xi0ft_yG9rq zY2C$rL-~Y54W6r2_@4SWR@_SXv)3y4;R$(c1kHYz*X7RrOddGC=x)X|)dk-s>-Y=& zAbigYJTFer!yqd|3>T2*4i5en4@|AtV8wMa-!l|`Cw#CsbI%#+;0K>23es8l3joas z$hA;C12V6=jSU!{*Wm|8p~|}NFPn@9{8;>u2YfGfhzqfN;10X*XT){=9K7A07^X3? z>3dG(z|ziQT4NgXArp|<Y%hSiPCGqx?joYaK4162A3=4kxRq{z;WdSRKx+$0)|y3u zJ;tGg0j;|Qqa%DZMRo;?E!EfEqA`1fe0MXtQoO%2&PqL*Gkw`#GUu05{HYe;cJY<T zp+jG3qkL}F$|Rt9{_%R3+U4d9c`DQarF5}D{<x^4g~cqFW@)}LVIgByDtl6n?|e*d zdrv*^spOS2`~4l{Ok)986TBr@jfMzXclUAEm?b8;gy8njPR{y=C9S1ZT55!ra!{(1 zx>Gv>yWNRLZ>monm;#+Vn>2w*z?0EK>`Ii;qE~{K`9x@+wRxZ})EZ#}wEbxtZ!JJr zIgyTZ4cTii8`&UOqu5>4R%>R~sX=6uSG1on-jrUO0vBd&F+#!aEeaSet6c8X_7w`A z5zP2yKLqG{jSgyqXp9R7Ry%qM<~Ldkg~O}3kT0)u-yf^^9OVpb?9O4&3XN7LYes8{ z!fbe4RjRM-Sb2eq&pP8a49XRV0gv-~SDWwKku8?+oCfg;P8{d48k}EsV-yqx&nsIa zLFe;di&6ua6D}A-(}SC%NIUR%>cfS@7=L6z+s)>q&Cx+{Q5pK7F@#$(MyO7H=Ty}- z2Bgi>i&<*!;j&=;WfqpsD40H)Se>@Lms63<ZUL|5)@uNtIVcjy4vIJZSP>URm|;`> ztsGqxuSoR7u*?=dT>#YEY7BNe5v8t2qZ&W2t^)treedS9=Z)CqPMWYbCzH~;A(1Iq zaazU{uF!i;o($aBIUQ;r8I??#soz9|$;kK~g{j|Mj?O5uVQ!nlb2nG+Cv^*40_gWh zg(by7jO>DF?|a1gEvpD25?HEyj`RhAvWf^mBIHsG(V--=5X9QTZw9C3CxG=~E?m!p z`2xtbh?vQUHV}iOl)}F#j)d*BNsXARlDIcV_AAQW?=CSE#MlJqm8YVTazb#-7^QQV zDuG)frQlxT-1*?+`EpHZ)wNLZCsQW}!M*xXZU9RP@LA5hepzTq<Tkc!Hh=DF>)`Uh zc6b`H9B^HPIIKp#k>x}I-&Qay<S~i0OFhqmvmr$9FjnBxNyPeNttv(4<evJgokp$R z)XIF>#W?p)ih&oX1Vezkj#>V23#xr|6~rLTp&CDD2a$f`XCnK}WB6t;`mHve60kMO zouT5=8m13`)@B&wUie@ng;KAntOt>#godS@iL^d*RoXc4C0X6HH^D}TU<+bRQG{(B z35z+e4%03gdN2motiXi`0+{opFGDaW?k%yg6!@FD#!OeNmNtrEiYmCfaG>7lCPN)` zV^Js6^!f!}`=Q&5=gliufOFe>w8(a~QfaDP`Q5AZE*@0+ul{b&8x{jtk)acMmai3K zQy75$nJ?vv-hx+A$&gwz;BT((Q$iW3lid0bO2aqwBnPG61mo(7@WMJ@GQOpT4!|W) zj^JL!dbABVT{s~Wm{tb~#PlFx@qkI0cU-Ya4Q>{Nx(lP|+*_*4jjiT-^UTA=iARp9 z#CjQ7IacbTrY<k4Zd;r*vr4T{K;Vo`*W8YQj++MOFP_Y8l3y^C*(dO*?vr}b*)#5G zmz8*o$7e+K+%c00g`oh_OX@p2V@KG(Ts+c<)-pMv?@06?^bHCpVgH&#Gmd=<ia)M> zG7oqIB60zRNP#Kg=RW`?J*B_U4X&UW&B20FwC-<O7qPH32olqQBo+>FrtjXb_@N(E zP7_|WGz}nXHTspd_l(z6FIZd$9EeOv5@9lRD!{LT28MyT2+Ru}e!V`*g9nKd*{A?g z`M5OYmx(wgGQ`*qBOpDk9D(3Tk!N>?+z3207nWOMBQfAN3dqrot)M|cWgCY3N7+V) zgj>iAxO`5P@tCX6J?A3_;T{GS+kW{*CHY2{(+uZ;U4mV~1cRWM<?JORl$`MYG8Tsf z;<OWi25M-a543DT3ISpZs07nxpH2OU{)XGpnQs)lr?YO4c+?zqTw>nhU%FML0Uy0j zfM@2K{^U_!ZJi9^-4N{p^wA5so#LM-fOw5T8X)E$QDqxk98YQgMEdYl*mPra;O5>~ z7uguim9b`C*vP}Cs-jTb-M?XW`nAGP+}6`p``kq1yjqDOudF{(!p_sy7>SWAO9yhT z>68Ka&HD3w-2)sXLYV8Yp$2bNVFMOJ8R{IQ;>NS)DT!qu5$E>$h~Bs@7pS?x$&U&4 zsm)x~xs*a25Kxa;;21iN(+xsdRD-XqPB%CZQeInZA?`uk(Q}9Ar0;7iHGJLYgu-5I zPW;ud+wIMMA5z>)gDE_67lSAYyGYq?2cez!7(24+U^GX>>y-}hV@wYv43h(5MyWoe z^^wSuRG)p!en~?#+TW~uCEM<m6|h%Maeg7Mr+$iQR?R{IWsf2Mc8KPSXdyV(Neu9! zj-FGVa)FxiR2Ugx3G4i5=I<|oc)OH5-#|ECSVukIqO{2~#{(-p=wn&N`IhNe7svnO zt^lLO@^iY>-9gr<ctc6kX_e`T>674ax`%9dIL|4@Yf$m<=6hFz6Kpk2;e1N1p8ZWo z5$3Jqb43kxms2cg@*diSLsw$MtKg3ZesfZg<YLw!PH)%_7hpiLPLbt1r~W}9=vqSi z0sQxcw8r8CEf6*UK>DYl{o$V@T5~sJlmA^xyLf;~<E&qw=YfW!s;}yVw{oGFIfQFD zB=_Z-Uu~b;2KN~_gj+e#^%?kYE1upxbY74?tiNugO79P{B_xcd?i%yDkb($v0|58| z`N7{TxHTu*Qu+e}JZ}L4%yt?AH`G1%?w=jzR0M<YH-)|FpOqNwYWE2&W-cf>-$wHI z&gO_sl5k;#1STD{^Ly9``2}s#qtDhJp17o&gK)OBAR298W-;|JCaqzV#HaeRuR`PW zp}9S7zqHNe68sXi{!uNIIKT?4I0v0OdS#C#NTYIGwBJ_KUi`Rp7)lR!RZ+Dcge?rC z6<0u_y(l|^`(Q|*J4A2(6cql<tYlr<Bp{y8rr3%%6KlCw*LYcmP_^p)t2ey#7?v7~ zrE^@W*>^Y!cs9<i;=)5}VA#kEw4<uuVxj?Lb`U_dZyylA$uf)4`^iK8*0_UtR7PEe za38G0wn08K;_|-vauk0tiy(hhG$6nD2l!CZkyerRVDw%!&&mB5@YY+Xtvx9?=Qko7 zPwG&$Cf?MdthAu&H3d34;g1qNwI2qPY)hW4KPPJ<FqPMufYZcy%OaEPk&VvR`WY?q zsYX0!fJ>LudtfNjul>2TS&hIw6s32?cwt{<W#=Rt2Fr4%$Z{q|OnXqVrDK5E^y-N$ zv)X3uwku|7(%j7ln<<b>PZ3hRyT98C<M^0^a{GAEe4ml^jx`?4L{j}oS5gJI{unDM zrXSJfL;!Ff1pt@?bO(^4cGI#VN0w#9{t1f%fPJ}<zhvHHQ$bVWsaY#nrm>O<YgVz< zUjGty4X?TRU0OjYtZ>;!xJ0*(ds3g(;ld*C*;(GYp_LB-0Yp9~c*o*d6;lK}kmJf( z94IS7FWa}XVS^9;LcC{w6h9s2WN{uNV`sq<JSJ0ErX#buYK*dNz1*YA`U#e-qFNt% z1y%=N3-;^R0PYP!rO^`HsrZIlyR~WRiRDd)mt;HRAsGAJukhOHPdc>CwHV+_p0*7? zp5M`0KGy08-1n<h)4kbmRpB1%PYCla&0;PBGN)`M9MYRI<&@=rNoEndXKZgeI~WL4 z8o$nO6c6ZC^;>xv5MzI>1=d-_%cAAea5|Yk(O9v>d0!KyK8kv|966ryRgkx?T>|L- zXn!_XnJ+ezcBw~mL~y2QP|@P`Zk&4lp893_G~*bk<K|pWf<GMT9Gcwnno*+smBI#K z9+-5_2wmQERx;&@!oGI?;{=@nvnUdh?i~FXI4{{`KIs_Mg4f9GC)QM16qV%+@;Byd z(6~qMV6Of95V$O7Uh<(I$yV<qfK3dJd#PW4#U>=!(1bFNO6rSZn28!??l;8nqge@} zMF>HbXe!Sk*k92cBiN4XF#6w5v~AtRrCN8$D{rnn1+=W3{m5%Wxy|RoSuuJ@@W)Hb z7I|?^Gr9wX7>>ABpI9*6XO2PL0halm`{*ig0ie-58=XoLx!EnD($F3=Hrz%}qH{>n z3m(|wA4q$!1|HYYNejl0V{A~U*6M<<hoRtLg#pe&^bp=VG`UtMfm+jYWnc<fzxU=- zs9wXBzn4+aQE<z59-PRv)yv46nu%eMakItLwA#iHZJXpFu#xc^*{i99^tE&2()vo? z?y(K^X5{R6<t_SBexe+Gz~l)4T#Iu+V=qgu0z77z@pUd<sSJK`=lSU>n$P%Qp#NrX ztZThm#;q}XQmpvqXk*j4U^j>VaAw>6wM>8FnX_*#@oM}?(VNTn9@sx7A<y!-z`+`w zC^BK7z{=iX%dy7y(1J)y#a4uXY8%`JoT|8*NzA>AKbL)-MZ%Ea-W#8o%x$FImF{+H zh;p41Sr*`KE(^%=*7!1H^rM%g66WC^S}E*M9tc@8pd}vdJ*UIq`i@Thxo#vXP{ntd z>rT01y{Ti!2^?C4e>A=A>!cE4wJ)>4A<S^yKnVZk*jyr~cDXb~jc}FjASf3UA(M*j z=_Fhz?43!1Khs8Ge|(RIInW^AQ7UO!V_Vl<{@LC+5219P#$B*`JAK{Hf$sGSzv*9Z zevX`a%~FmGjEZKP1zlgHVi(E8+J&7_OjsurP3{%_mGG-7L>UV-Y_{!lk5m-f<R@hg z3HJy$I%V?P$wDcR1@OwKsUY&zpR}i}!rK|*w@_pbeR^G~2O*OiUsMAnnKvAB?Dz|$ z3Hnh8mc(&J2y)-ex(Y=bAR_uKb2dU5i@b8u^5b>QT#h`f6R8NMU;V#)h0o|&PJl5i ze3T!*UAZpbJ2<pC-JC3;p9+YSH*K$^r3*LoI&A&f1K7AvuC;vz1YF#r-m{Wu)8NSc zGpAUs#S|FDzHUVIy`NMk0so6QI4<9@Rx-`Xn#oRHX~4dhBd62I*GApa-L!F5^H~NL zRw;1r7nM6}Rc4Ii>MOSm>bKL4OFU!j5B}!-T#lT(_Au1^k~pTvcw=)ojjE~H=V#qh z$n-mGw}8<C<3n-vzSNa>!gLnAM~Ke`3KwZv3lQz^=&x8#5i*JRUWrW#Q2PMnjF1fj z6uum$$lpJ}TatGWhzJv#?*NLuI(Wm_c_c4z2mRB#Nm4X&Ui)Zw39{C;zSmxC7vbo{ z_pv~<CD?m!27TQ1@NX1-=Z}nnpyuEV@5SoLA9)50Ge9DD)~5ptRkMV)qs|&kQ%)*q zd`&3n0g<ReWD|o%?nh%|1AnKi8DZw+T*Ql&LpqfVY5ghlUSq;=>r}1xZnC(QWvMJz zR$@ddi4u6m4e~22zw>UB;QnS&ITaS;TroPV(Y(?Fb-Z7kzH^6@@wJC#IBfbS3a#=c z@O?<+ZnBW@BUa8aU@8F=VB*Q8&-A@=)?53!EE`PDUceTRJ{kcrwCGNRr_!ct5eBVg zSzitPD5j)mRq&=e!MVqt&E@@yGkm!?R5Uz?xRvi!-Mf`-8<O5Bt0n{`9d*6t)gW2} zr(za}yj>I2`}8oB94`lAVKja394k#qa@@7SW?QLuL?QnUySnV6T&6_-eFX)bKikEQ z(%Yc?;emi;(qd>2AHHagqDH?3460@_Tkag>*-~bKl-V`JBgRqCK>c1jzSjZG&j_;H z7SuziqT6sr;qRs~KMA41f`M7bc+<z^;@GfT>OvR7;A(ww+Oscu?=+S5Gy~PUh@Igk zyd3C)Q~S5Jn2;=@X?A+38|OLc+~dC|JI8?Jh^$&tp$shd?d3%+3)3=tk#@8tJhFQv zDRnz|>4?aD#S9jDk+Z<a;ei+TGs%jhA@BTVQ8`?tb}Ym|Jl`ArV+!*&9=_eL-n@3a zL~4a2>^o5`R))shst<vffNh?qI#`Tux>9J)tXQd4V%@d?>?ZQPW)KmgHy2QvXg`}S z%`K*(DF+vf2A9%cs{ifn77T}mb9m|Wfhd}*bg`il8?l53^a%eG!SOm@vIO#r1w5|@ z^D9S+-Vn<=q+_MN+GZvR41I!;&8$4XJBe=>P)4AVJ+{t?l=^fyhy&7teS(D&OCDzE z?ZGCJda?G5Pt45FJ|Y(hbzVy@&w3afmmG^qsCaNVAS_}sBVgE&V$&Pw;e1AqAU%Jo zg)NQEo<|CzFn_k`;SINdzS5NeVDZl67qWbKDWoF#U6@tUsc*4k!tQU}IJj}UUvN~> zomJvLO09IWl!l;!?J_WMQFmwkgam8Ti`0=+1;pGiwUwf7Dg)6}e|Z>ch2=ECr3Fiw zoAZHb)3fR$X&n|rq7snJ#Ai+j_vzDKd|d5yWr4LuARED??>)}fsp#EDK-YxG60yk0 zNbH=!qKg&&Oz74(wpo+7BO~o%$g9YQx*L3yCx?csyDLzL$$;Gu?gxd75^a3-P)`cf z8z#141nVgbobap@EnT6*ovXFo=HQF_@fwtfA$pak52Y7$J@AbuWXTdNYZi9ls?DEv zArno%0Qre;tc~uzQKo2oaAwkLejyMRgl|2WucN|0FME2b?WH$d6#^|OsvfsL_XnHq zN(KCizdTP|M9N_{U2c`rsLQAqc`>d0=S{vxJYcogZ*e>9$spMz)Mqt0{1LqG^`R{f zV|i8OF_v;2>7J+Q9y|fO_j~iEZ#GIrzHoS{C&UAmZjd2-;&?^9um#?zlY$A1g87bb z(devw824|rWcLgZq?W}Q(>+JPP-||=PRc}q2&VDDloLPR4-~uLpBqXA$1yB4!eDPO zLqyfMraO$;U|dHQBIIWW3^CWJc^&Om(FY5I+%q2KuhOzac3`?K;&2$#XzgCk7JA=V zLVMpUJJVvazLiMHs-Lw26Akm^Q{{2Xhzkuv4%8oriQzFqglHG7x7#rA$?MU)HdRT| z`l)Zc!EvFDGqEg01t3-HS|^I=xCI~mN~d}Ro4a%xNGa8y)M~;ix2^l!yMW;vZMcPB ziECFQ*x2K-y&o@Pxn}4g$X7XVkEid?IoCxEOy@$H`nOD@NOZa7NYS?S^kkLv_8XP1 zh@2@f#k*e>QMY#Y1v55pZBgG=h0wQXU-oTd=~^qn_sI^xs(xX-!RG53hTboOQ%frx zBw~ACPN^GZjG-JWg;QHGG~qT8MyqiRG{HFJ^mbM?tlkkC7MqhB$^j>D0oJ1HmoO16 zv}CktYzV3AYorM{Lb&J%fV13tqq}4KEOL;syfE<Y`XrcTiw~aoE^ms$GC*cC;7u7b zffS5ETC=k)yx1=>JN)Rz<6nY_CcFv4wwFs>pl>9iI-SGCzWwQn6rYKX`7+S}lt0)9 zmI3TYQIl#F=%lzwZ(@OmKO1?JiY$+BTP~VSRz}8ZPNCP7PNP7KG2%Mb5Z*T2DbWHy zz!sfBM{P-T)&DItYFWq!$%iDk8jRzo>+7cHV~vUGoQ<uV2jj%t2zq5(HmcCPB_4fD zb??M0x;B4y>8Ylr85zCOwlJT+rZ#q(Dx8VU7Hc>S*yM9yos9mnANkXTNhza^L&Z0p z6B0DnQW`>kdDvt}%${2WG@Q#vt;E&IXZ?^P=A`~+9tQ2_3Yt}|tA|hx^!uhSW7-#X z%@HdUC^eKq-m<UJ=HJb~EK&c8xxM5%Yoe2`AG{?$CYotPh>E@}IhEeQaE^}EevM;E zG;?UTW=LB0)-u_2!-QSFl*@7RLG8LVVsvHo61jvQ9GMc8WyQbMt;UYx145@U8uN^N zN!(-XPU5MTx8I{mK7LI_F0I$LCr;5kkLpB2LL~(W<(p+>Yq068<@7NW2IH`LdD>L$ zrEE4X*yN=F;+}zKaf{<y0QN2<8-i3s9RTX2WkR&p_EBj{2lXB=G$O&)aYcWn2dRU& zOK@9Xh9vuj$=qqKRc&KVa55N(-9vtV8U|6PGgiw>nbJ~WDQmi0oep0GSr#32^H$1} zz#`{HkD1SLES*w4cWW3&oZ?2`O#GnYLzxruHKeBky=VGsJENOAK-;X1zXkKD>mg!Y zbuWL{7zD%gK!H=bv7AFt3s7yV8tOXv5Bv+WCQqDU%S<^1jJyI$0)xrccR#a{mK}yj z%km$*`PT6<B+vU`6S}j658$3!bV!&o*HSbPQK72|X}vWp`U<A92sCg0Xv54)M<*oJ zA?1grW~EUzwnIt{yx$fo(OY>f*=&3+I5`|`hx(A65*Z^~@5gvEFfn?LypLqf$HmjX zG*ySl#AB9)Y0;W2GjMjN>Hqkm)?hT;Cz8nWd>4nSE|MNbTPT(8#aza%ocb8u{k(Rx z*yeQ&#Uyi5OHHm|>UDVdtq`{THnl)iB%X8uy_;n3ZBb6UG~xXL=reCVKdCk1)%up% zsS-yx^)Mlc7;xQuSz;KG$qF&*C%&%`TIsDxTMAjlU7blU75sz7d%GFqoDO0_5)gVE z3fI-F#`uOl2CKOc-cXp;*au+v2mWN<PaH~_Vg4z21*)5IEdL+^ty})1@E|`0i;2e( zuh?y+;GaaR$<K{3>B1oF7h$75Vv#DwWxG#(;r8_>`GRE~d=rryg>CrV6ktnHEczDD z>3vW9<tkfDG7R$`0^aOX-%lA%Sx=y_@q9lNjeoIktvJF&6w;eLFp02dux|}})l(7| z9SEv{>GoE;qG|YK)yBJ13S64bsc&4N?L9E3%J%)@EX611t?=g!{=VOYAQKw<WP*Z> zH+J<R9WlY1GZxTRWa!Doooa@-7+Yq&oC|RBNMwcedY0g-DgL4%ydFs=^kxxUq$ZQ6 z*KMHFLZllz$sV%u5`bk&&hsJ+eQRJ*%Lyvo^uX>&Q52@F=$8`j20;t`;MgiO0t)B& ze9LDV_FzK0S##pnS$qnfs!#n0kC@|@aKBKu$nnLZi`D*~%XobQW?ebjc!s(4S3S;q zS|&IFUs7=>3;`*io-Yrt%3Kj5rR-5(-_vKWn{9kI^>KSq1a@-__f~t7UFON=d2hUE z4ln`D@(n-@+>P3A-K?dOBxeghOcjR*55IY9B%Zp?4DQzS6H*cZZgnrSap)|)#J|Bt zUQ2L%9qK!#4U%t!vE->CB!Bb30v(Od9IwB%>Q7h=i~{S2-!FwT3O=UA-25zBIc+tg zn|{OC?3D*@2dV}D!JwQYKjP?5R3Kx{5~<oWeMe*BHrD6(uJ!AR#3nx5mANztA0Bo_ zZ~@YuakYJyf!;2*Z`EyV*jKM>)>^Q1;GO$qqmTWyFdTQ9xU-z&08{`>6IpUa9mU9b zDL39Bhc6B1nVI*D8yUQvw1RB=ym`4$t?Z0vae2S|EfH!ZUyI%9G?_PPgh>kal8#2E zY6pBjBhNoTM+|GR>&xA{x+^rl2YZy33vJUGU2%mDp>(CxmnKlj=rdFPy&qy{(tdGk z?l!3<+bw4p+qofKOQd=GtnFCs!q25z2;N|_Wi060Q?G7Z4<)WE;!NHzB|<KANX{qs zs7ls@iXv$bPC2_?E`6Dby<@skkFGL`MQCrzmk!T<eGo6Elml)%KVC93VWB%tb2yIO z?3|lsRA}eC9oxCFZJIVpiEIus-WBT<FkbJx=S7CgWi<5wx+wxm;TsEqYGzanmR7+f z=kO7vMQJ?hwUADUie`(QAHv%Vo>LJO2h4T2^NCSswh*F5+#loEzQ`*Z6_pGNDMASs zC|5p=xesG`n&#j(rnW`IX(W$m52op$TY#O3CC+WNGYGnAUct?!#C*w(lxTz_x-OZf z_{k3dqICRdH4C$8B4R3k``H^e;}E*91PjjvSZ1MA<S7)b3`<2@?r|7{3E3bjL5oL) z$?Lik-MWVKObI;{%F8NU>zP<3agA_Hc!taZY{~mxT*`69i!)>i;U&$rW02~sP!4Eq zXQuI|ode>i7c4YHS@j&gH*RN7x7u0r&DYYFC*w-ZAD7^hh~}u<O058s&O`}=6kr2H z_m6}-HZVszcQqSi=+?0Hc6L)%QG|3<>)8AB+VyjbX7$bk4~X!VlfKImB>8a}#33|l z*VYjYn(2<x0to^$RlRiWn|En)>eTBxfkzw1xRUYeu0Xs4Mos&Oin_Br$w1)k-r#+V zRBmb-M>a*wllOvh{LG@&#!nz}OG5dA-Um<n91o6d8KoZIsfmtF5IYAvv1lTJi$2@f zsQu*5v6*24SkZ#}5w-`;+jW5ipSjE>Enzp{^9==l0@=MkazJvo`*m+>G&agXS^6{C zGKy3NP{OGByrkvar?RaEcyCtVwfu#Ah2p!jQ#>X;hRbA(lt*wR{kyD1URX<%Q9WDk z`{>m`+1XlPg6$L$#`~hUt%Uc@cB&7tDwwSUC#8r(l>TQQ>IsaI7>Ls?=bb0-$uQFf z7Fvl5;Nf%EP&J<FhnDSh&~op|t#ooCMsq2cmkXVGTj-lvtz@@qIq7>rf_?q$JMJ+C zGp}bM&&Ed8E1ZoPE#!^QKAjf4&RDNVw&DAQ+h(m5j&tk7W;XNAzL+=aK9NQ8=Gqrt z7t{7<7W-eizYU007V#bx8ZLb8GG`3mY|`F2Z%lY%n17z2cGEREXwYr*@>}eV-{}cd z)vhtu$B13^yj}Swco$WzG=aAz6um^@q3$=>6<K|$^N#%i!h<#>dOrT6oe2on8(6x# z$7wD%d~P68DMHXURhQ3*Sg|&r!E7a)$G7*Rwj^C6+io2U9>9F(O-*TGb^6rT>KN|$ zEs}Eo7u>6jAgL(BYP@~15-0LF<8=3>X-bP?eZzNkN{cV`w|5FAE0uHM6P`}@9lQQF zX3st3PS2d$U!WWU5}wuO%?`#awW8T!P~JQg;}7x+*{XI5!Np5E$X(WIAbF5)$b4y4 zo!z~>x!#^~i-G%<MwxW<!V`dy1ki58iiF%la$pa|(i=0C+IeQr*Y2R#y=1=_9q_+X ztBJY9V;itV{fG@r96hR-7j#a5wnglTXngVV2fI}^eZEDF-;BtvH5w;t^{J2})LT^^ zKB4vT5uF1>%|81qK|U9+BRW0~s+|N@2qz@EbLW7sWE&zhGw|<wru`nYIVGiLO&XJ5 zB6&^<g58Ih6hNSOX&&7m{<Co31f&{WAgI0lS{>;^4X}L5R9ADWfHF;E!yVfj*X1mM zMn&vpiHtixFl_FNt?H9wk?5eqm*r_!$^Ob>^1EdvK$o!{c*T}0-uaG=2<GVLy;E6u zaZZ0LTuxc|au!ebB-GA8MHaOe6%cd?=i(Xa$8K08t!Ksk62wSDhQ&$2&b+Z)EmcYv zabX`ab>^TTl#gNP_j$}*==Zot0V~}CU8hX5XRvoHL%Fh31iU-i?c^Mjp}u4nHNq#_ zJTA*qPy{n}1WByGWad}Qgc2fwUc*-5mj$&}q;El<cNJVp(s1P|!o#BoDN0X9u7(5| zsEB;#_l!c0oy`RJ(Fi-1FO-kb+F3ITr|3~WA;q}V1zVz1MVo0@%6MNI7S^du`^)MX zYS^Wdn>s*}mh}oDPelrxrk7<^SYBy;<9h4d&TMiCSC<xceks3r-R`rlJ+LN;fuui{ z4(;-gT)l7anr#`+6CUNTlP?s*><=wNPO<;gGsXQVBJpn*4S|xiT(LP9;g$6i)_yW% zf@@2T?k<scA(ptSRbJmsi_uy~j&DK2;l}I!h{@@G%x-*hgQR$RojBb%q?+}eQu#Ri zh`8!RCi=ko2xs_Mc;(``-pKmUaQQgbkhrwV^0kuJfrNa@RtoBzzF*i!1_rPOfTN|+ zGJ!^Sl7SX+Q=?|`G0{`8QnQ6aa}h&B=fWm$mP^8a+)Fe$<#6G14;22Z7Y!f~3h-au zXnq%vW>p1E2Yseqr$7P#fCIpOz77EJSxX8~;Q!Y%V<UT7cN=RJWys&%X#VO*^Unm! zo2z;B&yUQ08v5S|HJ{vn?rx*!<m_Td>-rywXOcC~)Soog&(CB1jR+3%Z;9r{|5>IP zJJ0vAPnQNi?f*gla<RbQ3G@$8djm%UoB!&&BTiX`<|hR1Q!T;&Rr(w15B3MBg}k|k zlbpRXt)q#(wSmWf90X4LElqp~0Dvme|JD2XEuVUc!2f_YF>ti@pmqPNbI^Z?RV(M? z<RBUVfb!8_CA0q+?SRj0<bRIUf5lPmLG%fHiqZl8XN|#R|BBNyv@>ut{;$xz8||gO z&q9DT{J(DL{?_<=Ou;Gs6-w)5ZeVZnZ=C*JC#>xVX@v3#{2%)p5R&B&Kzn1ue}nsd z#!%ri6TIO906cyg^51ak0)N1{e-<tLF(SXkfg0$YU41(Fm;Jwp{Ps3R?+-L5=g*Sn zKjMCin<}t5VE7F1mrwgUuGQubIFrB9%>Rh{t?pQA^MT`2&R_QTSda((0cULL{$B<U zLnW6O@e}rWwEYt>^G`q{YpZ_?{H<_75lR$x1OVVK`@6#@e*!xG7u*;%*Aw^WMdmO2 zyTeTKfd6`e*xFj#I{ljw{2h=&#N!-51weqH5U_s&mMi}OXl&y8Z-KuZ{(Jkde>^>o z3xWPs;qPW*e+=<&fq&mY;5YC0b%t&F54_*|3H*`wTi@TS^8ZmE)65@u|5Ky?N8E3D ze=iOCM|p4S|BCyELZSb_MEhIy#XmC7xBit$`#-f9{{i>+9F+g{_{^W}>JPa8o00N2 zAn50>1polX=Ogj+;_eCq3_uGY!ffcFCaI<@#VjJgWGG>zAtEfGVkjVMWvisDVr0PP Tp+PTcZ)T@pC}^u@#q)mv(xP{L literal 295950 zcmZ_01CS=q@-F<2ZQHi39ox2T+qONkW82n_ZCg9GcV@o*oqO;3;{5NqPjp2`S2QxS zGOH8Stso5oiV6S#AOTr6cAD59QO<_I0DvA80004i0+<*((0kb0sH%VgK#s53%!gMd z?TQ#t!S|kysA$z#q89{{St}RR0&>IPIe<D`Ou6=0^N<C>7fkZ}7Q!kk#o}_Nr-EW< z>*Pn%Ntil!ZJFy!x{XBrsw}M3JNhnSs@Y`qCW4OHGJKa@bb;t6Vf?CGQoCl_61iIN zB6=#U3lTj`gzZy%*?+@9_#WTa@aJ*E`ysR}Tfk3+nNnF8{~QzQwi6VQ2D}SiZ0o?; zJrX$>!ammS@GuMg9;!fV8;P-%)C#!L)?HS?^C5VoPpkf#4}+@PJfiRT+FtIY9nW*^ z@h{-ARZm%hD?|Dm^QAYSAyFMpGM*ETeg*RM2pb%8*l>8!h>mX5m9QMnbFSW3mzzks zH^ys>qGhpSbv67jYtq7lzpL8gXz6Q=>Mrey7u$%EM%LqWk#2I+<*Ai7NJ#Wjy`5hD zlVOVb>*M~)LBWFO3ncEKq5=~8m+Cg{^3^_lG^^Ro*Hh{WfgVCjRo?)Vi%GL<)_7nb z6880=YlxmC=X!a#_?v$>B3RrV>5@kMj=G;_RZbe#`eY`Ig`r7ETt-QVxwmUN`NGc# zZkT~m`w#_@eN!P1Y6LM95<y~_nw8>Wr@28C>Mor)!8v>wq^#Pb6oY$IArxWOCb|&5 zA<=NnTj4u$<<9A<rpqDgreAuQ^s@^;{IR3i{7S(`VPIp|5QEOF+{rDudY>LxvrHJw z3-PlEde<6?G)|K4d6RpJLp`k)(iV~(jk;82j}SMTeiRJehfFdNp}q_%kL%%+><&?o z`Xnsrs}gb5I8)-UsIMF5P7xuqT&$*ifmpb_y`8$Op8Ww*_z!iodbnG`00ID({x*z% z)X~)0(%ep;N#EVc(80mfN#EJU)rj8h-|FcbH*F2fggAWjq26r_quodOc~9zfr+`>d zq7W4`{u|sFIjOqy+c#O&;^p9YAUkt6d-cZHO80QNHVU)1mzOUFya=f`MeRh#$W8<S zrKVvD0!SMEGM?63^jjb!6PDkIINk(Qx|?R7&df(8XsG6A&ZA-lfWLih&%m?>ivo>? z^MZsFMmDdo5nMY}E$F--sDP>AAfex)W(L}{^-^ff0@>T<5=;AnNx#_^Ba4a=H*`$G zghC@T1ciT{#?~FO^*M;)MhRGQ9l53q!0cJfEcx!WMN>O%dfv=(vHyNF&h_k`ZBa^= zG^3@Pis+^Pqp6z!L!wR>@4;xpu_;dbvjf!J_#$Kb<zaJ=LNpeJ{eI!+E7*Su^-8Mo zo#wAV+5g%(_WxU`7AF6{+55%|Sq};#hTgoPIakktk{E3skusqU7&Wv$wqDwmIy$Vw zb>Xmm_u>?&%|EkGc|V+ZJHm!qa!Wsr2){paMv4#3L-I=|@^204OoEn54ODV)Y7_`} z4N*rPJPdu63g8YkZ#dF51Ysnt^uxN7&VOPQOK8~fWTcyBiq{MsrgYbs+cfy(VbID_ z9GY}$94UruB;Wk}A>Lv}wW+<sY7wXddTUi3&I5@OaN8LGD=|bx@r`8W4|T%<R?*7w zLY*?z-~6R+Kw#RSR}1_LM8&MGCy)p>$lJlBuC{+DOS^+8exVJ0;7u*yt@Hi~tm7q3 z<RZ9gQHGMfO(sLw0C9x!h+Cj3$7JO;iY<^IW<Slv_qr1n+CToArQLuSVy87j9N2on zH<tGu{6A$aLN+_s`FD10|J(kntQ`!U3~m2oij5wtfMjWQa8&{XqdG(8S}@+JZ<g48 zyHoeLsjoR3O1t=V`(GDj8?D#vyy#VPjM-f%c_Pxhk|<>!$LuAWbll>kLQEdDGQ64H zr3&0%hYhb@UhALB<dU}x^jf7KC|W<%9;44_^M`BMTKPRXDaOC2d`>~E<EMyP@Xb-4 zY9%^yUOYx6`ClL7`Jz{r;rN<c*UCfBJu|M2brvtnu`u1VOv*B)UWp6b($=A;aW_pl zbsjvXPHh7IlXU`8cT0`GY{UOmHNyX<W|j&Tp3d?PF7!^O4mO6K|2E>osJ%w$Nm^-{ zadk=-W=7_DmL(P?iAt4n3F_%7NyV{QWyo<#RY*BH1qDV`M%D&q_9o^=g<DrBML-35 z5@7uOI31`26*g9=u~f_e3k<xL$fS{J$>e{K$L+AMMF0r^)RFx^M#j|8$;Ol3!^HUC zgw<$mI&E?y`_8Jnm_83=Cb{03nyY<?NUKa~ZATR+JIcyd5F#fbDuZGGOs$pQUar8& z-k38hxAP>qnC%4#IOa}q1)CS>sEcSUxA2oZ>M=zR9gt+jSzfbhRER$Gd2o7CUmM&O zI%l?iGc2sn2(FF{T6{76ju}>ZvE$BPk~`{Do?E}at?EfL9X%+@Y>w75&vbXPT<-j3 zteP8#p?O#Dq4KTia`*m1-<p4aiGvwmwtc-ftG~ln-n|QA>ty+Eh!}q}V3v{QQy_Km zUZz&*Y_(dzV~|I!9947qZTrQP17GyYF!%uHH*IBXa)v%l($~Y2r>vuBhWv%N%=6)- zQ8QjYEj6UxR%hamFT_&6`%|IhGXF)8Uv;DX%Y_|oAS(A#eDO^eS}dxYAbjAK$3Rb1 z!o2Cu2y<k<%%L4K=DQ^WJee_2uWxg-$zr2OzbJcMcSHQ=qkqfNQ$y3Lzfa$HCl>oT zzBXOG;!Ri0-YOgLgo&a?dDIU*T9Mv|OfQfpS>~hxo45>rEcmSA$E=(jr3KOh|0yVn z1u&Wg1X+Svmc8lAeZmeCVy;R4enEBbq7@tnjO`uy)7Lv^7z74-qA_<?b?1!oDGSVr z+`$72Ar&)Q$S7bRmT0DGR-Vi@uDLpoIj(|Q&jP}2$_t(#nRL0msp8<&i904TXhc(Z z&|%86kaZ9uChh$cdM?KAnUD%~M42#rxP#%Ja%S-2b@Yjt`?a~v+1@3|65b*34d$cs zr-Yx8ktqfiZ9*!b;L`lgY(`w748Mv@WlQrt12_x524KL3D6wLKEs#fY%6sTps`H0B z8a0WQ(va;7mf%6%vd0&s1jswmrjJ{Y$aZL7Xk&Q6zxE|4<UnTN`hk<cCnty8#OSQE zrZ_E+ik!09L*z575sOU?kDS`_TjQZo!jRl0fK(JRMsg`t{G>`7TSs_a(=T1&r1h{n zisMM<?uR_3j*>T4v@fUkg99*IClu+^y%AMIGlm-zXd`6qOM!2OG;ctP+YFHhNhRh3 zKo3EKGG@YvK=XqvaJOQFJqSn<$!>@;;59Kjs=v9U?1?br4N<pZ7mGy!yaPL7jvmhb z)JMMr9=Re84YI@x2Z6_reFj-G3fyIj)=`<l`lb#U2ZAk_3B-xyLNs9|v5Vc$XnRK| z7YAPLFl7ZA6`bC_!1FU^lrY7UDdEC@bLk(!n2`vw<8B>TI-tZBp*j}k@|g>nPy@)# zP>r<)B{^k%7sM2~hh+vK<Acxmrh++R!QBrD!`^gx^U3xFD#kPjJ2o8M?gnNByO4hO zMwF)`cNO1<HjT#eN>sK{iJ8E!ibw#Dv~W@~v?~=&RF^xe))u5({MhGaNTv%~z(i6> z4Sqcg7walkr}fZDE%2%M(eVi(n0>O3x}gP}5nZDp&8+0|B$O!26rfkZPV3jbR~eHS zN*Lybow-FRJ#N!j77wCRX!!#Mf;22ea#DB<Ei;+BO)ut!DZhg`VkVv|*9bowhBibe zwjp&2@#pAi4L2SM@l<Z=cdhB+wmt$M457NgOdiVWPYohy3aukrwFyJBRjt$bN(%>N zawv#4y>{e>Qcjy5tpbS2d5?mtff9Y)cH`j)Gb#Gm+Dw`#nA%?n=>yCP;ORz#3XYSx zv_dtA4y|0Ot(IRo;(6A8>4n*8Y2VU9_pU^$Rz2gw*+<N^2lZl#v=HqTHqTV%ZYb1* z>{w7T)KVFW4!>P<qtUFYmo9axH*z#JKrAxH1~rB}`JDnD$e}<Bs*_Y!Fsk91yI&}d zc?Q<gA?y586F0qiP>>}4M;~&fL1v*e{kV36RZ4b5PpPZy`jTgp)$Oodf^_QLrTl9- z6bwoF2~)(b?H&2hDmSunf!h7n3Yx3LD-_y~@oYTW?!lljGOw;SIlIJJh)}`%$U?|g z=21{|aS=Moi|Pbm7CL6?AEB!nm>sm=MiQ37Hx>fCNJov-kABf`yz?|osMg*~r07!g zlN`NwXliXp@N7Nw4o|VRP|ozBo6Qzxh-c`3N1{~yIDO;N*NK)o;qC)e)})(sGMBlt zSY=_aiEorF{bY75`btIb5ZLI31B&_TWp_yq9rEL|fA470L$b^0o*$F}{P8oK9oFiC z^-9UGV@X>wIL4`1k!c=Az#&~%U8jPx1~ZO7y!^I)(~H=lctjwTr!}g33=e7VKDszm zKXNF#<hHx-kVAF7;*dHb@uHJc<y^~{FJ<Stvc@UAijpWCm;W^Ln7cLudN67@HRzFD z3h>b#UliZwr%Z|+;)ikSsgFtSE(y&+^ve)iWYGZ<Xfi{`u_d6D+d_RLxP&2<NaB-R zJ?a*DXYUp>A1z9HTTyeFk}PxvUxFuP#ui6OOH){nLzJ8k<w``6gi7+A7)&*33Td%c z-_cWt&sa3!r87(>B`^JtT7bG;OKS6{4g6OT+&naP8@VQ_!*t1ujJ@O?X{4-WE|P^! z4Ma?BYbh8)5(U}H8MG*FDrClPupSH5*B|*WW5Z+Sub=CG3YhFlfn0&(adZUPlQ~dQ z&Hq3zR?@yBBEJraJkj}ma}RRK!HjD)=sy$_4Q0#GWA~rRb_msNUb}Toe*l)q<dO~o zpOE|6<Y6h3X6FuId_|4m>&Rc3gPnV{EEwvZ>3;40+`M+}qAlj7G7LR{8oV)=c0T>~ z^*oMOxGUaQEq=322pP9!mBL6~XAGGgD05(qreM&g<JzUP3>jzl+kYw=a~HTTMVHs# z`Na57_eUA%Q-BN|02tc`08syn`|}^3MNh7-{UK*#*v*SN;+S3zSSinY#KSzq>5qm3 z((Q&rS6uVp0YL{^*HONPnwFI7N7j=*f+QuIq$F`Ku5v(h?m!09Tl<(-G+AQ`nP*C^ zx@F^xe|@$h$GNUvu4sDj4%-LU2d}>r|DP>?9XjMMfBk#X$(Qhp<yF$z=dP+#*AcIw z2ZL{`_j)J!7l`r6_{(h*e2OafPs=olix-B!HrSe`|M2zsb>ja-x6b>u*Z3sesV9dq z9_|kxUUcj9nC^d1@!|U1tw%A*8<lMHW%{-$z>G$zJzMy8{}A;#<zGA={vD!A4sqC6 z_NMfQ#8XoJ;?K~rfd`M-`lGhe*9CXx2!WWnkjCQB&JI3~zzoZqYRtFuL@(*237@YK z^5KKk^!;eaI1vk%3A<Epk2w%4=d7Ot&2!FO;;~KdQLuFAu-O|#x%+PCi~FS~mo^>x zpT5a_As^ilGnyS{Y4u5u-ams*T!R@U52oO77kl=w(ccvObw?RdGjA^@m;SHzDKh5q z_aFX-i?cpfj^6h~k@4Tdr7Zl9t3uIz)9O^-QoUsIGCo`!ov$l0f0hmw??=Oaa;qsQ zV1z&F;%d4M&@K9EP5YU1{3X+ix8cHZL|{7Eg1pO@Bi8s?PA=wBeB}G^1}Q(~5|5zY z2aRRb^M><s+x=_VN0VVqKHT-y-R=b9&>!%QJ8HG0LC_i(`}D5Mt|y<qPMhg}2qW|* z;gk57-;~35yGuDf%>Byl>y_sGiSuH|<o!DEK2G2-liuyjo?UyWukp4mFQE7R>k7B` zg}d7SZnTdPU0Yxbyo&ct!Q*^zWl;5$OaH=W%tu6dMSviF#%sGueh|s9sPQpgi{R$q zjn-UXo$<FH^vrDG{&7Gq9<#0Ar^)G|;jeH^xV8MgPX=}gY5R4~v7H$q_&cEu&%WHM zSLwWawlj;W*W1s)j;PO<yu;s!IN+EAGhOW8Gv-^Gm;$W&KauiVTUiDdBst=mUsg$4 zGSEleNVeJN(Dj}8>2#tk3mp3~-LS!)_Im;gJyxQeT+xk)^1i`2d-32`!do12`A^@V zVPkxyIX2oGyYfcq>+DAc2w}yDy0Tn8RylN1Vwb-X81jD+n|r6P&ZnNj7Bvt!j(V_o z{}H&u0vA435T=j3GFZ{h5S}@pq_vJ2o;hAA_zmBtEM6FR+puFaP+@=OdLdTzd3y>` zZPt$W5T0K$i?mBl{^s=Y(6vK|-KFTibS37K218<#ZRldZ7lW|V?~S+S$#AV{*E{Qs z|7BEr+`o{>CKttWtz~5<YRYA{>+kBPoD*Hfjqye_B8=Ac(k|6{<k-fmCobeDgjxyt z-OU$OmUYt47z=T)P_gFuQE_6;3z9nu;aMJX86<vB=LwIRr!)c0KrJxjaM@gqbxQIb zs(s+n%1JE=L0W*^DPOyrcBH@*<4ueqx917HNHk_bVLvVN`J8+u@nVgWLIPXz08YKp zpsZMpAbqxFyy~<2YtS=|U)JA1SE*t%*P&q9a_{4WRfCVr5p}jT`9lKSp<r_LA}|Nh z6ecEG>fA1LspaIr@DyF9JQyWZP8>u#_)axk>hYSe(dPNF%c!ZKIn%kYAd(#uCl#<G z+{6@pIuUnJoDu)syYIZP`eww4uvMV+tKbyivx{HaK^+a9^a?3lm^1=q(rEA4-IAeC z%WBuq<fl%0z&gk|0=RNPK0myL1HGJ+>u+z@3Hg);pLrCPrn1gK7n-x=pu;~L=GE#G zX%d-7S2xMp8e=#KUH}ayL74_A9g6+fm;JTwHpushe<kII8B<6mCP`L+f-|8~k|MSx znrW1x;)I>k>6$H3mo)5!_hHP<7EIX7qj2T{6B8>VCkw+)_X8h_^4YoM)6VL0bki_I zB?vnw$c{W3?V=-&H6IEi_@pRlB@rQu!Cw-U;c&7!AVD2OfprM-s<|bT5fYSm&nQi6 z#sTLZE2I!h#KWZQaysOt6fPT5Ikz5it?F;5m<5(PD@xQW0+CLo=Ymt4Y6C$AGhbnp z@wGyyN?EdXy%A4J9CbqGPZw!ECLMboMm`@AwEnF^mkK#``@gSXdWV7cdKkibep<5b zt@P@=hR<`P)N=4Z$f%7dD?2+G9V)KWjB<-`B&EpnnlXfyyl11Mk?Z2dO8reipHY=0 z?$&+f7qV2>&kgXyB4m|bk_zvQdSmgV=ua_QCDH9v)EY*9YRMip;lfh1_LWw$;YIo( zpF$`xaq<ez+)gsCl#}e3881~42Yn}*lB$>Az)MBXa=HG2B+te?y0)cV!e@^WJ<1$> z`xc*Ta`V||?al+gA1!*u+*NEKMw&*`8bnxh0SjmIUUweF|FBBy!o{X^CF|nV?Dr41 zd3>eN+Cj?uTtM7>S^~9gcoObL7|jO0Yhdsi1Zf97FYhi{aBl?0x=K{k?wWE{kw|5O zCyS>TY*}<>zF06+bd2oORLAhr0!d&Ewh#LL>G4uB!F$Eqg*$ONkxS8Rx`smsk0{Jk zaC@E=^|mbD|2hG}ZgR_u$;lOiSPlv;&*K4W#Dt<igTU<v_|rbOo7do{!!7QtdUaRS zKy%Q=+PL(C4`!Qh7Hh8Pq6c|i(b4%3dIQT-^Jt)zFyeyJZWhsSjy=@d&)gbE(c0BT zC1Fz>#8@fu8~Dwl;l;|&Uo#`h`J&}lf@0KsJz!HW&Fq&Hr)7o$>yRNu$cx(j0Wi6; zq_VtV(mt?C>*JJbk-2GsxoeRyFXKbQ)MoMBvW;d04V?(J#uOaWO+h!%7x0WK=EJKM zY2}mze&N?*Rh}RYRTo-2-u9xZ?&<|;Qrm;+6yZN!1Pg#U0&A~iKiE~g#$GX0&QDg* zxS9rE!dBZ3%e|fPa;XFMz`$3Z-+f^OU)t$@dXWvGMUpW<>j*&iOrNRjKz2{=CF$<> z*?V8A!FRIH><R{+p6E8xd<)I_rBbQ0PRP3c_JUqwf)*u9#;trJR<^fB?V6r*MJq=^ zosc8j6l~Ggm~e&btuMZZ*dhq~I)QC)$TASyXsKofxEYmA8&ISv*?<DdH0shY-m}X_ zM2a=EWMd&dM@l>p&#QnwS0Q*euQt$;EKa!XW=p~Vc^(0_P6M-)I`L8@6yjGM&4fSO zWlJ}p;cR%RUAsXtShq2#Wc0mvsA9o_6{(K!>f2S;8E&R3M}7pe#!C_20FkRcO?o#~ z<{FSw`*uU*gi^ofagtSSLcwwI@fg|+fYMT{KJX=4E?$AM^n}8DyK%?38fkirpnlM= z(WFxDv`qu~=AL!=B5m6-3+kJr|FGA7FHNL^1XbZ7x2<DnC89>5zvoXS1P^qOxXNf2 zE)&IqU6w0He^=4M%xN`WIH!wn9;8O8y)g+*5bO6+dg|FyuW<X(l2DDmfNAjeP-d{) zEhw|RnUNFCXe~~1@uko#)rvv|W_*GItnBJDuk?o4xvGvL<BYEOg|3pk<JmO%3+48v zGvOWHO_D5xU^~rxQNMJgV(3b@j__#ny-_6GCQOQ0lc)qHKu&<ElW%eA3>+Gd{?()< zmHt=3Rhr9t#n1t-7b17(wnAiaRU>G^S(#{bHfWAa8<vb1S<0!ce0vJGqK<=Qlkv7$ zxlU559ydlwx>nNi_Su0+=d=Mc_52^mbs0F}=;U=FkHSsZRJq0=84N%sW5f@&jZ2X> zEU9Por_8N3%DJNrb&F0oG2?m$>K<6&m*Qm~kGxuEM-IoQZaR!2{8g+__oAyqqY^eq zDY9IYJBundi!bB~Q~xDnb?O2eaNrH9e=H*-FjwPz0-tA+Ao+<|s0=){t*<T~tIB;p z=}%%jV?2H<i*SdODt^N@EAUx4FTei2D;n~}8M@V73vr`TJ-Wd@+iGK)BFmDm@9zc} zt6pXj!&s|c;D*bi608gO%*Zq1d9%WCG69GITCy6X%hoGpaHd<=QhKJkhpdn_AMhWF zmZ!yjvr6_gPm?lyG*r(E$6ThvCWT0l;w9<s2RlH3^eR!`C}n?cjkxVxSE^{H7WasY zbPa)7gWASIpa?UHS-Lr3q#Y28WoBY<?5uY}+Ck95ijV2Sujs%qGsrP1M#tt(5T~yB zCEH975d&Jbd4LEBEdTk$%WBbaRy6H%Hgv`-<`6MWJF8HRu^qSgqERD|gcw@jR`d_o zt8tgpa&-FnR|gD9b!#ZFC8t;^Sy2!~*A$cEwCfNSAwbKaz7*F#J?SQB>DP*aSF{;Q z_HzJ{+!Jk7U8mi`^CWA1w+as3#(iCa%Qz_s`-|l4<;*+J&X|Q87*nlss5~RB6k9=7 z9-?9H23jvrH=_zhZ(7_qhL@r2eX=#8)X_fs?6<?+d(kBerDU*k{+hK=JFP$um9MW> z+YWm!hk{>EGYSRKxu3AHEo0C?a2L+RJa4Q$^t-Y9+={>P&SS2>j8dnCBzV$y!5dec zuR^N1rqMX@;5$0hl~^C2F&<!ZE8LP6VLfT|z<~wdCuHd4(7|g*vEp6D`~`+~vi6cv z!Lb8F-VN<M_sAVybzEaRpao1xXb@Pd<-4y*m*U;hQB-vTxS1gb+HTuT$b!TYbbh%) z3;>^I$S+PG&&dkM%r(j=u<QdK%h^VILBbhCRy87SQ-vpqMW;hhEbwZVf>w^{p0B5m zpDj<UX%gb7e_}<6x7M7kH2hG<m50RJ?z<%?#8zcw34m=|kxHadpJwH3M(mJQi?D>8 zH+{I7#m>|5ybr)`)2G~dDKVH>A*4`cWeLKSNt<Z_)7V;p&Ow=3qavuO{8`8aDTOw_ zB}}7Oms6Kksra@x_(rekSc(+#;#<R=b--FuG|6iZT(~~WWI*9o0J;=uu3vn1z-)4W zFz{90{A5>#Vr=8#?dr;7^7hVEA(J?T(q*P<ar_5Cr`PtbZ8NP9YfP%7IryET+g9VL zQ|uV7zkeToYda;+!xmy;Ba-2-y@3biYCzB7e{f{!-P20Lu+1hqYE!)F*XqpEZpuX+ z{1U74Zi;1{WGBQ<{U&Jjy~@IM`=kG9sDNd~jr5%?4X4lwiggw8Gt)7IS#35i+(_7) zixFvn;s?IwU8&(_C377~9sUo5T-oLh+mQW4RY&XskBydrg`n(oE<2n+4>vCuHzNv{ zzwEse?;sI0uDisl?mz1Alvw6rxZC16ql>ffu`1>Vp)VHNfD~$;Ym;oW+r~-3YJ1uX zdsZ;)8G8P<E$y}eO;W5l)7>n?GMa+{ll5lpJJ$MXprXYMWLD%@`J)1>gDf~+#Usr$ zK5Kr&7Ox)H?P*N&w~bPXDGXwa6z6Ea$x{9}>gb^Gsm7#!$}}T)W0G83016YlA}Sja zaQZ0Uj#;=qJIL9kNmHgB@S0ujZJqM%lCca9``=f*H%vz>ZQEZ<p<3|1To|g%^1x5E z*lD5Ltm^yeqK($X8y43KHbzn<&T1)J+>_8O(cQfe!0_jemImps7hs9D7Fl9<*)V=i zmG391f#~l$BUomjO;hg34jMS7rfMeq^qBlwYQOk;5jXjH{6<lN1}Sm85UiZ&B?4%P z@>NQb<z!tUtdeJSw9d~|+5c5vl#752-Q5Tm@r?e%NRtEd@|V(&XN@|9N;FO1-01hu zpo`3h{Rbm*<`cQ*TeGg6Z#})WmF%FOZgzcGk40#|<J9|C1C8vt@5ZR_T!a+bj1*l9 z2r7S?DkCl_eQ5=(*wJDOszz6q(OU}&MQf!&T7iFtXgpe;bI#ke3AM4fFCzacUf7n8 z;M0fbo6J#_S;|s@{?ou8+kmpjR#Rbz!!}h&7GYU7V#{dFG2$Ovp{2~nsxvH7V~K&l zYOH6NtcDuAKtGrwUnc4$97?+p7?ZXyL1Ii`A|or-K@Z~iQ_VQ#ucuYXX%X<IVVK|P z&L5E;n&~FutZEXP0^W}2I<gQKuF5kQqcSf~;LJ0~uSGS*d`y|AmEi`8Ant84oC;28 zY{i`B##_FDWZF#Iv^3=rT2WjdulChM35OW+gN`N*6d}+%%{4EJ>VweKN=YgfH6@$d zz)CtHiTdDdHQ#qla-6O>&rMyaNQe_DTtmh?NPLH{ky#xift5}2cp_z;X)%kDME94n zw}veRNt?Xvz^WjwJj)d%{^bj8v<@4~v(U(r32ofIr`&QJBZ=y-#kxMDrsTxUQw>wv zYou5^8L@SElj10kce^X!w%nMCamp*sPRA;@5UdK~JZDDiy=N{(%;;5pN2qmlc2%69 z1QnuLFvv{oggWky8tE$bUxee8vA)2|##DMfEumYzLOhV4l}z*LCY~n8qx=Jk@iSDh znoeq0k<LoPeCj`6_SqNmNYU!BA%D@yE~fo{C>E}aIIaBhT#);R&J?AsMb;}wmLyT$ zpAY-b^Y;Yj1JsKN7Qi9ZI_qc|07+R1tj-m|i7-M^)v1SuFQsug2|L0o)H){)xh*NS z*hn*>vC0fzU@qlk<=Tl(U&t8=v=^N{s|qtAkwLi-P`y~CLd^u>%KB51u?*}O)3w9p za?%M2wWCXvZUo1c&97nEyPa)KS+jmoXF?OO*;>JgrQ#HtMt9wk6gnZPzog<$F*?Ik zyu~;A6<Uh0J_)HkT;jS{VeiyOQDg^rs5r*??7DjM;x~N`Cy!q}JjzNVCQ~mYj&><b z>B^H=W$YzOPEJ@)XXqk6tTmT<)r$|&Y6WGe6v$ZwcU)-5qoK5rt2UGD=Fj0Px685t zDe>no0ixLn2~>+o^r7HyOUU!L{C@j1!i|_~KGbH`LEI4WeWs?jJU`6ogxhxXnDIOK zm6Ge%d^+$~j*)}Dwc9r1bX&pqvl3_hCak)12met@UYrENNCzdXB+5mU;d=zWKu?YF z5gUaxj|-t0+sBf?!1i_|S7aoR5l>wUCCG1=jmMP}1vkG1t{K>;oWR6})%J$7_>U@y zkm$z)7@a;;9yriY3N7Ip-~I0SfB|||d*kKJMtrF8)$O}j=x#8J7H=^|W%gPKvL*?t z&liEoENr(?xxJQrnDNwETp&fZc3F57PSoTgO;{#uUta%M%!EPd1m$L6j7oz{zzy}1 zGxhP=;a?h9s7=tm<b-;cj;eN5`+Zazrl>q-A~wbN@|AM7%QF!8vVN$o)xjK;fa~>u z4|=E|$zY^-*kQAoH~6Bs3<p_k3^q0!;D|il^~O=U)<;oh3q|zW8V-qxyqyyQ9N((y zOz9`m6R^l12c|;h)g%=4R>5DDlIz^i4t!i1U~L3y*kx=<&x6mPcN?{gaCp~zmKQkC zE(SbIkYF(_oEOX2qpmXBqQC}1LhZH58o`LkQ7Qr{SM|{p*+Gyh4l?k2!CSILpR5sO zR9{WNsJ&mYmu}Bj31iGLMgwx!M3FTpTG_B)G~8SM@P6NS=bbz(C)Ft3#b08uXVb3> z59T$In&qoo|IOPO4eJ}S$@xy+M`;mkUkKgY^JeMj$G(9YeR=ooBVa?AQsln>^g1m| z#$i8mtHaS^j~kIY`?~x7&-^mgG32n&-_p7+$p52$ZDeogWbz+b<`zXo=^;i$zZZ4T zN7vZpw(jXxoY00;IZ-4iOQ-EZU1j>SE!;2nMO)ZJJ~TKY_l^&z-BApfI1USJ!8Wo7 z-h(2Sq+1v6ti)VeS1=p#bThCo4bJ*FGmD*mP3%l`IxN#eqTGnV5cfyLx=`lkbGu<C zP?++HCk3dq@MZ;-z~$(T(@w5q9krW3!v6Qx`U2`lp-AOHu}S)%FO@+7@1OFdA~fj8 z!O#h0Lq7L1S};);?*K#X`d?D^TIx-4xuup~S>j#rXVu4ck#r0jjgN<&W$l*r=rjiE z>ztV*mS1HDePhu!NCj?x06snS0s`xWkCoEGZg!i1Z1E=A$Twx&#GVGC3YTrLHCAvu z>9X}9z6K+>QB)zyG>V~D*!knVsfjf0s*-XElWsCfm#a(rsh{y9*?QO?jN8w~|8s4} z%48aI6D$C*O#lF(|F;akvxT99>AzPGq-uIQ<fx+UcCBycd_KE6bHryaEd1n!eoWjh zwwx%cUl(s=Uzc^~AOjjQ-=Mc8O<73eu=<I10n$<u0G2ZV4<8i3(!Hx<`iKw}cle4B zBd6#fg7*r-ql$W$<9);5yOu_){M>cwKFinVJj3_m<KDCyqEm~Yhh#lcW?tI1GnY!E zzpJ{%+)}fZnzg~zVrV7qX{mmHZp&epzte4MuHMbFvYDEZd8Tv_S9^7gcYL*)%0Z`p z<k+EOzW2B4sP`wLge+FKc3D90Cq(2f!%8sGNwD!vpAT(4B7eo7Q4!KqO0r88qAvd2 zCWwV#A_pjcA|@mIEoQc!R1lN_CNjCJHO`g_T;R6bvZx|2ZeUsBglUAebsuWJoD9fd zaSbUoBhYTM&J6nEg<;575yHCBb`wJAX=0_+Cl+WzVl$ngKWuytF+rhTS*?W>goJ}x zo#0?dmE~}!5KZIgkdX?a(nN}ot2S64z{JFtA%*=HTg%SCS_(A>S%IKGCLBbozzT^? z2xDmj)aLVKP?IB7xJ?&SbFHefE8A=F6)nWY#ZlUg3}}<7;nTuKg6D_?4TH&0ivd0x zo}ipAQ9m=Wv^d22fst5^OXh*g45(vXESRzp27c_9(J3pz&<=VY2L0g7iDJj=H$tjj zg%nP&uiQrv*<-B)LJ{>Ngv}o~<GyPuHCloODqD1eTT6#O4p)|v9>gkCoi$_0?2M$$ z(X2u(#-}1(MB<cI&q)NMtxyXIEOclc-#0j0aN*6TB?~KZh>KVfNzF#gk<KI>o<FEm z)1da4o>k!$U}V|=niN@5l*G0VKmNg)!h#jTV;E|YT~%ww{Zm`SI&mGX8_uY3IFjbU zk@berz}O1((V&_OR#{cNQ3ca3T#rbJ^E8B{Udhz**NQXJZ)K<XF<iBMa`lr3V>0+p z=s9S}SHqTDV#c(yfCrHja58UFMcNXW5iy<|hLDlyp~xpokhXp>4aHKAt_nu&y+Lf` z#W8H8!7|y&R6Q7-BM~FT_6#?hF*$fLr;f+^*bmfDkt-i;aWGgF1Y@?0V(1b4v9ze6 zb(1V%DNq_<G*g;lusUEfO-yEu_Vq2VW049l@Z7*XA_6DyAc?fCymEviXE{(1P3b_H zC5Q#6p~4#cDl2D9q=5pJE00hjis}OqN7DSFRKqbC>+%eV{bFQsNf|QggU%=kSG-VQ z#rU;Q7jmv{wF;4f-~BEGREnGZR+!S1-$9IFbm8YXpU{I|bP35w?VvQ{9??`0{q2x@ z<;a2nauH{C`}lbH{mBC*Lo{YKeFl<-lZ#Ut;x~hV;@bkE<z{+!GyX;3R+fhdpTPT^ zirGIYC<9aW4!xfX9VrCt&^NalRLOTk<ZKhM4wTV9vDb%{h_ZkEtVIkoDO6CvNtu2# zY`Tp*g&BtuutC~MY`Bx@BPe~cNG0ek7q80Rmzr0hg@rH>W2Fcm{oU-)E`?R5e%bH9 zuV@ukQwXJBFVwCDC~WAx(_n(!tOPdu&Wb`D&AdV@zzD@dD<BBXmHHh?1G1_H#_FIe zN(i#54$ABxEc%;4%c1C&%8(S20}Iy{V&9DH@rin<O$ChgPs!iwkM-3iKfJ_&Z_(zf z!#@o(OwWUmqF)Z*8Mm}34r+HxhhL?lcwoEEMRK-lhEtiKsX_Hk$I@4=g&n%mQs~3$ zn2_cmJV+C1_o8hPiny1RXngSM_&ZTuG!Gd8y9R@02+0BVjassBirQL$U8A+W>2(?d zX^G7eA|H5o$@XAFjOy;_u!r%MN3~gm=ApJsi+NVp+lpH}a&ASw=&A3%34~qKL1n?8 zV9y6&HUQyP*#V9Ec&Hn|4!o0Mdvc^hA!njA`a<x#*h%<jy9;ZkZ1_HXF6~zA*8y3s z0|!dY+k8^)G?6h>X8om+8~M;azD(-QlZJlf+%EX8aS%nLrMJr(egn$kI<Z+h9Q@Lp z5!kT<1HG7ZYez%#fN#uB$_yyjz8`tksFStxo3xYN_}1Kha1Vq&H@ZVG1AI9D*D{RW z&bT|v7i7__KTB`^UG|%DjKHr~;xFVwYmbQC@mH1@eL`LSwWb#|txIqR$8;Chj>iDk z4&Jm)*DVB&_JnhiLhjhUc(+&Y82cjxmb`;glx6ZS=Me&h?;WFGZ!l`&%PIv%sX$3x zY#pmsP8}KV#Z1OtHi)9UAJ5+Y0RFM>nO9W*?7O0vzVTPlKUVms{-%H8sSk8xKHh*v z^f~Dr>BRVMu@6xEi0_m#e8VH^eu3r%yI8+szT8ey=?KItiWU7~?0B@}D>)@i@!Gp^ zdZT^6c3gnquE#a|7N+vgy@Tz`{!M=>+@0Px^6DJp{=k9B;6QLSh{o%mRIb{&&bzn` z7rs+c&Q#SD(>L{66w^*%-u@t9-~-kdn*N3Yi^4dbJ$?cOGvR$7G^q7FaD(renFdWT zHS=}9%1?mnfo!Yk@Vn;Y`bF`Xmh=Ai1*gfv70905`jOtCgJrnuAHRc>>eMPJQSoXr z+3o6G*9LFMo}8X#q59LiU_IH}em;3M$Ukt%ptzuIT#$NR&s@TcACJNxuz|~jLUTg( zlR~%W5Fav8X8AS9pxC64T##-&knsF!vh%?R>X1Qj$RT*31pM!t+(EhseiESge`~8K zQ|QU~wjJvW7?8G+TDYmp$YE)`BMSn!X*&hS8w>IR+sMuu+~@U=QfyV?R<83~26KMm zbECMR_A5F)pQ54;%<9|l>fdMeE=Q5)Sf15hV%>0^8<!4G`tU(*`E@C`AZ)<;!JvDD zujP3L&mS*4npFFzRb-b!z&E^*D$XLnyU6Z%1EX<}db{5gC<BR~%iAV!$gEtDUl)X& zIwb8?QhRm@{fC6Fzl3hZQupQ%s;I2268bL)+Yf`)_lv_3!~MO_{WgAcl~m+s#<0uP znX=B{k#*jj3A>KOJJUP9roZ9XJ7V_D8vfy=h@?EV*I9}oxegAltFTtBlQ##`w_Hvu zNh(cINt0s~-9M+=&QoE3L=E*1qKXohKa(pMTvA3|g(@v?-VPv9y@yt2yY5%WC@P{> zGgcY*!b`0M5uV}Vu0&)L1FK}Jlz<3JkLTh(kFk!K=^go9&%@ZwF+H!iEo;2=)SSN* zikpEGBd?0L+{`LAEn`^uH+9s_Gdr&st8e`HW6tLh+`+)kBCn{(jvBiNCq_?P9UVgK zMYk-jGr%H-o_u2#JAYtnFTbeh8V$SXBW!R9Y$&i}AgidT76!Y>Iz&(W1svietREN8 z6=n`|8@@T;1@G?-A!p{f9tY!}-+yP7g@AZhc*F?yh+418!MA|MF3*7Fn#3+wezCjK z#};fq4bG;tBIQ-(zr}LvlTykrAEVUg{&}nK^eH^0K`XB6m0T`VSCwem;OLtmw*+_P zE)J(B5$XwdnN<bOb?Dq;aD-qhvk@zxDo1DsWfA84@g6JKBcdhtGdC5;ICeq<JXY{5 znaDU6z8AJ=59)l8mPG6)33Ue&rE=qNb%7gs=zc--czI@l%(xoKT;4c4YPX?pd#L%) zOpUQ_%mp?|Z{~gJq?#OcK$JL$FJ#DL1-dMbd2O+!Dv6gDnjFLvQ1TTyai!RL0oXEe zF{|IAXHu?MY*v7d;13OVj$?(!Xb~$dDH$q$Zl0_<^|vzkI`D%Hph`r~C`n+nbRCO> z((~l#7{OyIc{X4+gN}?92jA+JVSLeo_b70qtR`?5k^lx>g6>v`s+b)*c|15>i{UAI zwegBr?UO$;XgB=VvnsZ)uH!8dzAPa_5P5vqN-ZA;4dxcj_1gzyrC+S!o~>nV*K6Z^ z_tjqQtNnVOGt3v&vd4If*=-w4-d@Ac_h#P8o`*|QODB!nJwYjI)yn5?F&`#gsc0Xl zSNCwoRjt!0S8GwO>@!6W-I}$T2-5LpK$%(bZaUT<rcV4DDG)p}beT2sLsH3M0lZ!K zaaf#`>1_D+FQf?Lsx@jEQA(e(>Nqfyi)`iob_)g~c?AOv+zFE`IAvG4M|Agp-im_? zhkikE&2dgnNaCkru=dv4C$&w$oF3}7J=e;TpSy>!XDcnzr>_k8f?p>{r)in5tdE2l z>kIfQ57S01Y9sABT%l!mq}+MosJNOGDd%Y;Bolx#But(jvU>*E<r72T$ji-=>Ql~I z`{?>4F(g&t=woCbl}&uTiHM$p6lu}22*E@x@=TWCw54{e8h5ngAbnP8a?kYmMf>%g zpY6jMelCOTlTY4P2w=xM$p3<#lChUaGdF97tLM#BA)3y15G<MH<LtliKybeh%3mnx z*}L@rKM^P3U*855`}DVN{*8D3Y5#RalY@!TzoQ+>7KTp)UX@rgK$PnrY&OJtbqGe- zq5r+%hR&pQzmiN{Q5AjzvF$)6D!m^i%gYcKwVHfNE+(XkklukOQH>`9#Q~KAO_kg@ z&b#lHaldQ_-Q1JYbLhMh;r<IbFSyrN{{r1rU&sGOOG`_^XX{@y?V7b{)u>f1PmGM1 zJ+H5=txfQ2|F0fu%~W1;bnxd2{rvOgr`1A#xQVCble$*0&&AVxsgkypKHJl_>^`%v zEImK1FUyJiw5R!3aULEP78;r%DZfUho42)PjEB7F&_G{Lq8dN-$97h~+VAi0(Zf3r zUhG)0BE>XQ&9vxYqXx`TN8C{+na6vl*L@>clurt;n;F%~eG0Ex|5x>$=1`?FPnh>5 zC-zKF6))wrRd@GZC*u6m+MaR$OGCNind_wQwT}{J7#h#e=6CTTofyNyI&3A`o^5w^ zcoKmW4%@)SQ3T!Hj+>^N`%*gkrB|Yt?Wb*5-7i(J<`Vxv=hbRA2D?ttVzdIP7rI&c zBncPuRo4%c=|IBp9|N#Kq0V8M35<4WE6se?5dg#b+aWNR)pZ%hAz*MAR@(lV&>%Q; z#Di&kYFK3WFU`b|()QXMS6>9abDpw8&1?6EPumARtEb%P>6ec45A<dC-y6;o-x)WJ z8flB}JCEDrI@DbDTE3+>TgTFcbqDnBT&FqCGhFT+){po9K+DbbA5ay|Td-nJAN&jV zd9w?frH9z9XP#f$|3I7)6*?3<fA;jDg3P=^wxms##gdM$FfF`8vFbN1w=u=#BcuDs z?UFtEVa4tvWB$7=aq_qcbEaR14t@G0b%|1i3U$xv2wRg9I<*2}4&lIy`y8|-=${9Y zxzV<=^zc-$fQQZ6qMNBi#_uoAqpjl{XKLDiQ2qBNGUPp{-=FGjx{Hg2ti6WW8XqtE zo*8}L=N4P{X5V9#4b_{qXPGn4X5SJB&b!fn2YUP~(TOQ6Qte;Zai+FH$vzGbg+_&> zkid)q><9h~28Ts|{i43rg@62%4cH3^1P<``1ATvee!RbJb$8p~?z{v50iD#VcW?q6 z?CosTTB%)SZK->%vgCw$SZGLaP+&kl?$4u~-mcLL<mt>=Tvuz@!~M6h5Uav-sSh%C z5;oec*I3W7zP63R#jut!RWPj?mULvaB{a8aY|y^8?HW{=qt0k^Z;;s|y+Ef|+tB9Q z;D8Q-!{Kq+Eyffg_JjThxjyc?tH+y*G!CcX%MU{!IS(J%?~+hkzU=xv>NsOYPnDi3 z-7Pg6+e>nlJr|dqrA->tnICc-;l9S#-Yf3zwTpTU%A(gF05+gSz(NO(wZ1hMXfqHW zEjv7q_387U(_WDJ{p&{8jf=T4U;l^g+?z%xp!4R{%9GptHxh`0-F<<shul7WR>DsV z2>=d;#jItEhZuJwJ+@>RD+^24cKMUzrG}asdCSVPl8vO87<*09_H_Np+FHhjR{9du z2`UPTcD9vlrv6lYJ>z+7N$I0fP+*{{udPpKQ&+bis5Lk)Br?1qiG1JzD9673>I*Wd zSOg@{;7ct6zRx!vr_EeK0pbwYZnB$}?Pgum7xxX9u3G@$CbAbIV@U6~b(Cu|TjhHa z<xTpj@1XJ>Ll<PhKhSBDmZ=81(uO&G=8(hbcz^wkersDO<<DGb*T%|>T$`(!m-1@4 zTUu?~k`0nvCo9IGGg`tIOt%@BP0J=`FSQ#K%kTFOBc5LS-pB9fJmp9q%ef0xU(?Oj zm+lqs1~gX2jG$;qZq3z}mo58G-pMJ8tlFzm5eh_hkNx&!%zbZ+M7I;3(f*Ff(|=Uy zdy&^sq3MI_+CS?h$7<8j0;z`J&c5#5BJX$EdC{4y<<n~|F!1B}xQkC=Z6dKq7$g+% zQFuH)uiNjjz(cXNd21JJU$OLgV;9U%QTKUm7j$1y^?79%)K3u(#i%7p#R5U!`=!W5 za@kBq-A0wj1yadGLO!RZh<ResNJKnlm54b)p+Lar-D3DG{&yM?OIY}sez(hhqo_1G zt$MXe0kfzSDrH?Vxl9_RWYAH>|4l-jka1Ydsypl7$!`0FWp~<#;UO2{&OSdMV(;|s z%_D%oes<T3I6r<8*#F{FK^~vi{c@>*MO+S-(|)Vjut`i7o7H?Og@{E=29xpsezJTH zt6`&vBr>UZ3@Q<`hy)^`fX{0iL_8jMy)#hAMR}1>?nWk3BB><xG|&SMAz~!uC~46n zI0|4;j@B><x{xwq7?ucF7%VD51X+?WFane{`3MN)^E1OREt8hR6nBB3x>alI4GIg< zC9kx}i)xOTSW42~1*HgTs)Ja@#(W8aap}#D4r2NkZrQ352LD)5KusmmJ0)-Dcfg%l zvNe;k?{QTjPtcyqmXyU|zqZ%OO)0>K0dUwD9pG2gypLB4^>`N8aEe-_o!%>dg&A{` z<LTi3fIWfM(?b&`a4X#VVaBV_cEoMbRyd(rcZT(~n)O_w469i~Plv&W=fx>o{c9d2 z%9X`<iy0h<FFzhV`BR52nhru4p9FV0H8LSUL57qZ87ewDQpAY3LFGcnd;LCfrQ^Bq zpzNCboQ!>wsm2KsFF9n=Zu~^DdO0i-DUcp#nrShH<<wpv!e|`JIWE&IC(}H~@MNvQ zV0C_@zfGA3iaE`b<)s^ouqU%@2ex}>%F-VEY)3{{xl5yyZd2H(!5wR%b@5nqS$11; zMOr<sc{UBBCa)rohM<!F;(mS9p%Ujl@wJ7rAE7c`x~xQZC9N|Z?DtHjIOewplLz8& zj;*;iCF(tLk0Fu2HBVwKj+y>Q=5Vn$MwYtKW|)m;W#1Bu5UAwhN`G|M?PO}gXBMdj z6$ZMVZ6$0=UF^Wv;wkTxcNF0>?S~7f+1(RGDbGJSdJVgIz8_a@+x#C({63jX!df&b z0XrvJdNg_MZIi$Vhvnl7GA8mT_)NMZI(gaco5W>No~l4ZwHl+KqLVFPD@E-(O@h4L zL+E1ij*g~acMjp2?fk>0sbGNzcufX;p_6PhzlM2ihaXYtY0x2q{`##K$~v{xgyh$( zFm+|^)dDckIqibusWf-P+&c^NPm;u%O`h<aW-6f_cD{mds^MBx!$~ew7@-3xCW$K; zPoVVQ_;@D-PiNP-!}O5NtQU$y=p27GK3qm?9h7as;2o$*5Tn!1X;2_=M8LdDFPY9_ z?2Og9^S6!`Y$!nZ7MjnvV9UvU1_xRI;L@{IrPd4)ptvDr&_(`gOin?{*~*vX<$JtM zHMYCi#nn`O#1a97)uj6!$W%Jb5V+66$^k;2AlEDjaL(8fQE(k*fJ#CQ!czJ@7oPE^ z*<>Y9vh;Mw75(Zx&{~mI1!S<1^aL<8-<8_@jVJR9VlXLG%kO!(IOvcgOOx=Li3!Xo zZee<3#B<mVe4$F?5fif6{03(mTo;>o-NEs@1%x$;-6Rn_R2>kVlGHN-E76qTfq6jt zWbc7VMy`D*NQk`U!1to}6R%nPT5MzEVHxiIjAzSc=fkB+dv7~VIUYZEVafWhO|n3o zTm$vk;Br$PJ+&gj<xHE{U<Kjl1J$ojT}b4RiaWS`=DONg9tkc?qne>7Va06nuyd)^ zV64!ejO0_wg1B4f5+(HQ33mSCjO6X9Me|7&rY@}1jl6wN6c1#QISq*Z-aWU&b?2(! z`=qbkAHZAeuO&gF@|&_AJkFc8c5U08Q5IyA6<}zRNL<^INqvxF`NTsiC+B)?vxNEl zBo9u7YgHbulvaLXO%sw#0Qq+gCqQor)o0p*L6J}MfmP0vW1A(p>lwcrQhcb!8S&_y z3}{rs4xcyTQ*LPTQQyk%%h#-%lqYNh0u^-oZz0{lxO$W&3L*RwP7e3Li0Y&-+n=!4 zv6H;gN`vLS=%$}tlxMr8l4aNYP6K-_RPt^wDncW9XC}Y3M(mm{>`?-F*9#`AFJbX^ z{wz^gyxm`#2R5Cm8jzr{`UEQ_?k&>pxv^2!Fk5>J&R})d8SUtlR(b$mlqmC&AgoEJ zW*iF|0@H93MEE3yfZj0#IPj3Ay`o@ZD<qyIyEL<+Kal`6rdgaI?I+VZx60p_P^i^F z7FMeGftRW+g!Dzr#(y~i;vZ?6`#|wR9W9#<7}`KU`v6oo!7b#aSz9h6T8YZ?cGQMF zR&sY^s&GWGN4K)!IDfxQ^-+M#$XnnlCT^N-{x~a?E~>H*?@0j_iyy^|yTgnz#64t+ z8DfN2SI2c#2P&NFV5C~Rf&M>H(f|5m!nOupNevzVQ2w`}{I@EQhuyz}BA{|S^#ctX z4>cxE(I13=!Oq2E_|Klngo|-3G>IhfBAuRYIKG&b>mG_tEbA?cxQQ%5R<e;nDWz%< zr1<N|-&LPMr>8P3;vnS0>I2}nf9I?5YZw_3s*j}PAX|NUw2lO(nm968FwqxQHI^wZ z-5eK<9pTVGxu`p2&l?ssrca&zawHlmE=?glecKB@;fmph(PRukHZVx(BHIQ1)b@6V zunJfAi5gP7Lmsk%inkW|qO)1NgCUJ(k&}$1oRJ6=mxg8-#gD5aZqe{RJPWKM=`oTr z?=@?fnX}A&^IO#1Y8ma!j9v%FE^hIJ!k-IOIxukmA6xGn+)4DUd&jnIdt%$RZQHhO zeUnUV+qP}nnq=ZTzjNMm>#bX7SFfu6r>k1KtJm6}=UEhWCcwh=*=iLe?-Y?7>F@$@ z9JHZ?jigl6UCyy7AR@w9%R`!&_DH`nuQ%_yNygTo%~~Y&lHJe4r@lqMBUDZZ`iV>Q zO<SXSR|in^{>tR<-_~X7fo;x2<Ma{c8J1X!#RaY$cdQeHS~68|hTY&qN$KCMTL=Ne z|Ft2;q4Z~mspt2j+CAD`;YJ>TmV1NV==6|<sY0^_a@-iX>L}}1dknB5J(VobUAl@P zX%DVfHx&$4zpk+e!4e{^R;MT<`2q^acNLkI9`j6920*dZ2B<=J7rM_4rSNlhNVO!2 z^>r^N*!t{VQl)sH)Q@mLZrmSs+z~GtTz^?UsmR9+WF0+NSB*8s&#&ffPNA*s*J(qK zd-=gP<408|1qKNOQ9eZv$ia8xC*wHhWz}X$q%=t;qYt{hrUJ^q!MUv9zuMNF%N`PR zURq5EPxvEL;^<kCyo{aIqxWRcnSYO%fYBY?M-Z^=EJ^F*Ft<ngiQ9_~81wmp#9O6< z>^K3*!qd<yQj~NlEua(pM6@>tdm5^;#Og%z6U8&RY``pf#C3_dR&YWu6@A1p8NBW` zlsC0(2mLZ>LxE8TL70cJWedY>ohoKJ^9qTx?+iv#y<4+1UHH4jdOKk@-Xc-LcmT5s zcStOTc>aeywr?-4H~V+PJ*r#in+j5W&mId1!{}i><E1x=@yi&V$ZY!`)rs;~`88T3 z@}rKfiymy%6L$m2-7^}uZ06J`EX|*7N#cge1E*W29uTV2yOQ{p)4IBY8l6$6E}bEf z;rLe<*W$<8HZLa~?_a!g)HAvXQ!kR5HT4RC6-c?)>}d6enWl27>n9=VJaU>c>y2NB zaPHXGNS5&!0i=A0R+)b_SzOlUl|C2`X>?RzBO!xP7hdytZ_<dBT3hFeI%iUtyfmOH zUvBgt8uM`Na?g8e<D*QXRvby|;m5-WysCxcnQeG$0+nXZFIz)6vdJ&uk(JUehiqV0 z41UH;!n`48sBD%~z7<^iX{gfDc{f!tDVkbzTGKmmI8%6o(9J0DP!V;fw}#|ufS8FU zj0;h!1N(Cip~Pdjp@^fl$CWhQaWqulUJ3rN+vu1mR@t$1RN!G=`R-=co0<A~ay;`P zqr!0H!$ORS1MMpB2>fxOoyB5%v5u09x59)EI%Rr4xW?l1TJ(Z@Ux*dy8NC5U@IDTu zEV59yT5kM-5==15qiIjhAbQJ}R6)7$vjW5*qI~VjsfSLUG6g01AOziBg~Z@+(-_$) z68|7g=x;fyO8$W!6$I7NeZh}VU14vvn$)J-okOa$75nzfKk<Ufn6>Bq2wJxr74OBd z6*KF>jBgUK0mF@rI$EP~8vUp6O8yfSqNI}OQlp>~<pPcz`2n|18cj&9=yM?cVf^>U zv5V+b9_%qqx|m1%Bt~zpBrtr=_D^hq+9rpYPKMt(p!iL%^}~nW;fGUm^EcTO1~EVJ zLpe{_x7bbpAt)wY!Wz*J5bP+G&;w-ta{gSiKg#I~Vc5)bDM4XfRu5CtxD9Zwbwr*y zDkCm831SQIrn=CAXqoATbZW?)j_dVP-==qD|2uscbe+PRccru{CS!ydR^_khzW@#T z5wLwl`;<VPPBM?qis-6Y<EY{Qe|rA2jh!S0rJ$vj;~2I&?3jGKG2zE!=x!lC8rjz< z629J{U3fKFwT-isVV_@I^%K^pJCL=uBcQo|TFvTWjxWxp+%8&S8;QSaz`tfX$c;Wy zkG?>2u<b88CK@-T2=GmhV23TS0fGEgn9d-q_!CjqgXS>hDBw<4uDqy($mE;q%YSQ0 zpjEl#9<`6nHyywZDN}n#@{!#3BOBdA9G4ch-i={Lrkx)NaW9mk*o#1_ygC+Goc$KA z;CJv<j$vSn@<h0#cZ;W0&uaFpG{KNS?2s>PydQs^23m3=bb)eywD8fj2(Z|U3tHV0 zIq4RklUZ&dI@@e&Bh4wgnK@mnyHjC!YYC3^mykAEwr!^qd(u_&-6u%pL$htu&rH?Y z$lqxboQa`<f$w^TvKEjVN{pOJXj%SwFD?!+W<ScyhHDKrkzKKpA?J^=M%Fp+S>9{g z(Y<Bdfl_>xYix4>h$;6|yTVIZum(F>I$u%+nH5M&xRU7zB2wSGz1x47U;b2;_8e^< z<Xr^N!#X_|7Na~HtOhm;Y8vFAiSr>QrwBM%*mqttT6bR~&3ey|7e}La0a@erFgn9M z@@U!Ll9MS$pS=)%4qCjsiz$l?>0dWWa)LwSH1~f>Ln<M9WZ_$Z-HK1At@TD>R7=_) z=RXW<C^gu`i&lx2#laUno>>L8dONwWqO<t5;3!2WWsG@)LlPJH%k?%<X+Cihyg()( zvamPE!+oSWYOPXPOxRHZX1qcS=?ZL)j6EvTVeb7feSbAOY&B~#K_oJo&s|5*ED9Jx zAdL=P$q)SYY$Q&Wa@cQXfr`J}^DxY0$yq)<?=3~!E0~x-r*=@=U~ln4Z+VQ|ap}u8 zNl&iQzU{L)yURBHqUu0fjHpmr^+~iK@wF3({9_$=MZT81l;H--zk`-Ny*w9Z{=vF- z-BQ@JwHcWQiUqL_uiFh1VC`Ep4U`{pjX}-kLZo#lOmj7`*uXG?z!nYZ9u=w81jkwp z7Y|3L6z{Nn@3cr#BHZ!gj4~<AL*VuhjcQR~8;f)c<28phM5lpyCi&|_Z~S|W{V@cS ztNgFH(lbzTH3-XZ91<E+k(&BZhC_{e8Ov##Sk9W^A3v1$qe$~S(!gy^pS)>EW}4Le z3w;<gCkL}J((YWzUd|Y-UKxsjNk@0o=3N0teH6mQjkMUaT`a7KU+M3?b|nMB8%}GO zHm~Q;gZvJUKf|Ms5CYjf*{bE|8k;Mg4%+Ag#>1Xsmreu0)O)pVO_W_<Kwq=uYER?v zB)}d^2;yi>d4Fe7<NmawtRVHIe7K43S!fG~c9($5#?71~DQCUUTM4Y<Duq1hKL%&5 zn8qws1Vn<4TA0<98uuKU<Yb~&)8<5T;xc{_FTpR7woA=dX1X`P<7Aucki*&5h|ew_ z@ymJkZ~Z0k=rKzr5fblLLp@yFKP;!12lvDj*%w$(ZR%xgnAUQg7?<;VqRK-^MwtWg z{k+u~l;59MbB+PhT{Hcg`0JM7*XG|EWaASBTivds6KN0qq%l+=b4~y6G+$3rk$OFj zr$jUNN#bfC+VSwDZsCA3w4_-*rN7APyLi>|@o!+wn|MSQTVW`=TgCi}070Xk0h<+< zxo2LAOuH83$k99#XgtC{(we*Xmy5aD<fe7ijf(q*o3kLgzd1MRYfI}EC5NB*q}a3p z#Cv6s^)=tHu>~=>eUXl}fx+K#?-En2RPV}5pw8hTg*0*xsYnPumLq(hIyL6FOm~mT zcXrg49pcC*a+X~4gNAJfq8`nGi=I|%U7Q=!rVro?FVdn<SedA^{9&~bNQHxF@%?0y ztOZd8gw-|9LgdtO&?kcJ%5hK)ipRuSp>(O^@w8iIRwtz+SBD|OA5AfAS>3w)1AQ6# z7n2N)sKBJXuF6(0q^+=uz`vzZe-iQz4>CvvTO!{8p>}6A#{WtTN2PyFN~bTtbz#FF zs4PJErC1IUUvNI_vqusklJ$*(gWg~+lFyC~!=Pm=rq1u%3Dh8KiL`Zk!t&>nit!%b z_U9c`Y7>>5Dyr1K@fCfZt)ZyG4;*Ko1THR(HA>yr&_|2xSGP2i933RkXob>@TOPm< zw4+o@&L4V~v1BR^3;m_z^Om%p(^530Q>|(JZ6!R5aeUTV4u$3I6FFiC<#Gdnv;s0M zoj`tu>_aq<_t>_c>WhoR%@^RF$W_M)*pGkT0JOC$+LIW79rK7AbzTp{jK+kmcG@HH z!Xkj`bEEc9xs+s>-zayI;s{fwjxz#&&1F&81O<iIHi(u{RKa%FX)+=Q(vzN=rVC+z z?rjG>=en`2*|i7eedXCHTk>1mQZ`_-{ieWdJR@G8j$oty>3_B(15rkY8}Y3Nv?=zv ztft${{sHkPqXy&Aj+ut?0eTS=pg=r$(vR+F_2WGnj=i=vAdILCQ@j5;$uLYkF~WB; z>p48BuT2In-|W~l?d-{rOd4JjEE6>*+PvuZ=GW)76X(T=?Qv7MMPAgV%NXS+i2I^; ziVHH4)zB#nOuy_o2!oR7`8_U)K6+|bsXpSmj@;XJL=^S~rpCet_ll&?j@zZpvBgnJ z*BYBCa_(UP0=$Dda*nH7W3f1qOEi&^+Zihc{f4>-3;x4sZ1e~bJ`zIYRMOr`Vou!d z6Y`<fL+Gh{Kfj6ixLT2ek2rqcpoZ{ZZ{G*)>^w0ESFR}gd@nLXZDHJ#ehzx2p;<5W zrp>F=r4!r?jdJl}(me%cbI{O{Y_G0`;i0ws&eo9-aE)$Kll#R1NW#l7l_aWR{rs)^ zNG*Q>TFa*r(;H!=uwT3RH0!iLj@2m8^OuOXx;!Gsg+$vqmn~AG3pnz`U?>v462U&d z1H-dQA2_ggBJ`eEKU*O*k65@iH4SL!MdKO*hiP;nqE)G0(k3cqNUV6+`$3okW3BoU z#cF;Mu-g0%ZRapIr8Mr#yo}5$&&`6@)y!vqZELA<JMde@!0+24=)wHEt$Y)Nu5tUp zw;8c*-vCjrTF^m2+S`SbWctvcUGT}`XzB!!VV+#mw|>*CB?f~-4=$xIGQj-6=soMR z2SsT2j7*xw50cCusY_Pw6P8(*EGls*mc;aHPrCx<(HudG7CNoEFooaG-`B9OK#*35 zbDllLzRXHcxS>btcR4Fv!-g2_;P2mTjFUbi!#Y#?)=ZzabbGtIc6v`NNvdYWZ#{e9 z1v{Ct^a;(>FJIdW-uUg>eh>cfX%1BJ{NANsj$WDL4km9i#>fts^)|MZVtYIm?rfjx z5h{%3(|YWynp70ynvMH+JJD|Us%Ty!HdDO#$nb`^07b{=6?>XG=usfqe+3}c2?k=I z+h07gyF9LGNueNFptjZ~8B5GEn-Pi|H-^j~O*1Mt>`bm!Gd;+PVM4$7rgM>&1PjH> zsdVQ|J)9=swF_{Y7G5R*Ed@5zsYIGS0X}9<D=qR+CA8_?Suz7fiIg9Hlf}^IQ)x9& ze6)??a<ni9CZFo>sRS%ztnK$)`p$oYZ{tTa^f%2LN*{Ki>;@``Q+dvEyIsuYFjm>M zd$;7nWO~B~(Z6TN8VJsf?i|?-#^{RJi$1*`wV@k^iCnrA<_vlu#SfAd1A6+3FV)Kk z!<nF!yP`_bBQxh1W616zG$GSo59aW$jUv|qmY#Mz2vWEmlAe>V_O%=8OU(_b2l*W{ z;a9;x6ZzU&xaCi9w7om5C^!Z|rp&(XSfOwZ1&&^5Y)oHWn4&qiHn?3+4;O4=UQzYW z&g|Xf!4LuElwNPue>QJ6*a?KZa604`lS=UDJ|vfnP#opi4&V3|c6X~kc;7}{tgZ^; zm3qAipit+*2s5nSiBY7I0%R7Ut4)sbZhp=#(L!+Xe(+<!A+eYUe2WDpde>nMM=aA= zKlz+y_4uBw2)1xZvk=Hf+UhEhfe%LFVaeXh7lp#qiEdSYpZJRU-hjUz#UEe1-by(A zO2(ZLJGDH8_29&0SA+^13iORID2`*GOqY|tv+Wx9<ig{V^n%Y&Cx@?w8dq`0C-XSD zhoNr0?L_m>K`=Ps@kDJFIViWc&5kI(@$_z6{@l}{pA-RoXvv`-45)a_r#VumIL$wR z%Jm@Ig?s1gj8}=v;x_7b(wvm^hoYW608Yao{zV({!zypix69^PX-XhnO^6ojgf;V- zmoiSjEtPEL52x=<l|r~@qjVBmTD;D!tbr0sS2zPk+d+b`{swX)pN-iE)YeA*sH(f% z>ydmwYkWk1oNKthO~Ji@o0I?bLf#gJv=TA(Tm3KLj`Wum)FkVlhq)2lPEVNXqCbIi zz()oYi$==+uw1v<+B^w+fuC01uT`Ido~*Igbty4aGKEZ#qS<ykDrVp*nob)4vr?ks z1<RH$F@YWa17G+=I?#d_AfACW{&6uib6>ED^#{A~Is*hz!&CMG>~)@YTzQDyGrjy) zD08>^Sc@ZH<5=%fnNo)6faw74ZD7_bu`y%5C$q=Q^n}*H3rYUMlwDx-ncJVz9V9p2 zw}{u`Wz&29($#-Dkv%S~d`xpk$MI<(hvb~zRpvQ)R`gL6pNMtBM~VBV-sYC~dt-Od zMgZulgoeLzLyWI*Yz~u_v3C|j1U&0Z&R3NI&3k6jB`9E$ww>(RVb%&Rtu*!0NJWIO z<jMNxgi0~}&t&C?)M!d?W|U#GTIwsT*bULAkpirGyh=xxev)7mNYv2-A(tQVYF1~7 z`LKnMvf6L*z07orbDfumD>zy+?-N_x%$%I@yK#dxE`@B@^rPa}#3gl%B{dYSsc3)& zimHC`hb!tD3H{7-BN9?T>dpzL)i8TrQxJLv{vD-k(T=EBGm(8Ds{tF}Ruv(GQZj=j zeip9APl@Q<ybw}CYpCrXZY5vYX`03QiOS-8#?2amVW#iH*Wyl-S5L-61-NVn3@;iZ zS`9dwKyohcz~=1MQ{X<DfJUnK(q4hoq~3GFmsPddd&kxR3Dg<2#8h=0zbQXN=l8c~ zqj0i41JhcG)La&!y|v062*6)fet{P4Eq5N#0i`A)$&aW#C|lA}FGniSOGn@y6o}yo z9(<5#IqezhX&bi6`rhQY&b=XWz_FQLrs;T7>77_bH}zl*t=HISjI+74(*%ku7;ZD_ z-1?AL&OGXyZb~*ZI(ITYNza&|C>2EWwqM~&0R<j2rr=B4r#oSlC@$e^<<t<C>|4TP z8ENXErd?)8oEAktqv3+#!Tew&QCLd|B6|y9DAdFji*<@A>8$DH$P-IL)S9U$<5S8= zE`^+FL_<*gW<O@?(h~I06kk{W!JR_mL`?Jf0k&44^>Ku6HY>W>ep(rbz`=vY<0XSn z^WGn@M)!m6izBcqVE2t>{p`ImTr(~>`M&Os&Hd16YtyqM;|y>psAG)7_Fk13oh`Dc z|7ZR36ITxn4RP1ov|#DSiyXhLxs^F)#b4BWoD}X7b-)u%6SvQhUtS3UGWjvw$(KRm z*cgv1#Q%Vzcl*ugKXFs(xH{sleF1#chW^!2P~JL{O{T`#{=~8fm<<9%2^tWkFbt|s zX8BG)#zJ7!@uZ~ZwInR>`+tXq!l8or2=rV7S*P+|Q*eB@v_hPMd_aJ3UR=5ZflkO= zO2`2lP=)jz6*30f4zueug5y6ufvHRRGb}ycwp5{LN8}3S0)VYQDs=>Zt=qeG8WG&? zrp~zjdU`?ZL#htQ`b2cNc)^%+Bm%bMJr&<WX|)QF07gUG6$Py+>A8l|a;6ktjhYv~ z1pzV=jdHNrK3p3MjFh#|dHGue)=@x1yFH&Nsg8ig7P*=FAm-0xYwaPsw%wH>9*}|5 z)?a+u(J;o{dv6KuIj`XWCivz{&KNT$$gwLP{JWnV0Mi*0t;0b79!d@iDj^3A&FhAF zn?EF@rH=#UF(1vM-aL@pFO(tu`rQGz1uoS*l%9_guTDTlsUDIY&#np;$EwB$!<N)^ z$tRTjD-}<;+9o?}8~*P@6K|UzA-TWjFHMmI3)@L2B<9JAYc9{_+HJIa_TruQcWm_Q zXTe^zGN)WE)WB=pzL6$DiKF71_I!+6Wz&tds%NUGQBQCAqs~X&2{Jq9!e_%9G5s34 zaG5vZM3!r35fQ?c`rZq7NgU40>ed%#-uvexrbZi8#=@T@@nDHnJ?{-^os(xZO8L2+ z@}FAEdRg6=`I%XT?bOcuCVC!xGb;?n)+YkPbmPrW9h==_V*FkT5UalX!eZ|Fhs?$? zU2SSVXSluKP@Mtce$D2{B_rrrnaaEbxgzk0CvDSDlMFEf9qvMPEwQ_Q1xb_)&8IL# zi~vZuv>p>kqrEa|KUY*JSxMZl#akOMmULx=@t!fykjya^8Z;`-JFP^HkoP{>J1L1~ zqkZK~Lb~JT8|7U<c{%-0<v}G9fPYVis&mKpvAIY3@WSJQAsM$=Q-@SW^U45hRWkm9 z{)VB|xQw{0ASn=OYzmi8W)e-yUZkl#1;E8UyY3hQ*;MmohRd?YJs1y9<uOS<c^6J@ z@KF#Sg|4em`l^2NyDTiTqK8i*09Lf0tl(Z{7XQ8r36{==>6ey~ulvPDyg?#P*j+#c zW&JB37u8J!lnw7$y_OEbb>cT*#<ljvgmUpllD7Yn7O9+yK5J~!(I)SJAAFJ6Wt!{5 zwl6hLMd>1pB4kyyQ0d;oh@K1%``G&vbsXpVB)rUQ{HqKpXQx<WU5XlNsc$QZG|n^_ zIawI}cT<>h-epXo31q)wy1!#{YE!DFQ(>T@i`Sb>7FQ(e`|2{OSDLeKJFfT=2CvL1 z3~sCnLAeUMq9yu9LALgdr)qdQl1m@>PqdWrhuHl;Q<~OWKF~jql_M@{-oJwhq#e(% zm-91o*W1SYo~KZO*6@}Ym{EvT_oj4}jR7*r-bcEwHDOWugp$l<CA0AR*sOX(L_7&# ztu*c;!wTt-3BbA??CF{?4g^KB<YZ#&dp(mb^k*7{wR}=khlg=)IKsAuahkq8>5oDY z$C@r|kuj~4Ib$f5<n#v*mZ#E&Vf_?JgYu>bY0S}8+pm*wfu`d6WIVjYdZ@>&Q()RB zv~K`cMQ77pupAz6^{eA=?r#nm1OX(~eu!Q9ExhC=yb^!p5wm>l5<&svvCV!DMi(5v zUlyc<1|O60zz9I4c+Ap;8VSs+NV)=H-cQ=A+BT#kUP&~t2>^^8aXO3n0;@6b=H#>Y z-Strpp;(8LDD18+yy!78_vrkc7sM;Gyl(#Si8hwr$EhY|MCilTW~kTq+FK3_ezcSD z8nQN!CqXLt41M2eiVb#lOkn)vWObSRCEen=p_Ve<sTDn~1x>_CxE9043?AS!H){9c z$LT)N3RHvZc_VSC_G0B~spii8ufAEs<@X&wOG{0hDn45VJ>uWS6+UrPh8US>Fh|8t z%0g=N^-lTTViMob)Lv;OSJ7<TR6nY-9_GDacs&MfqFIez;_b>m3L5AjV=o_$GTit- zf4$4OufZrbGs_r74olnsk(o5mw%E)Ef)%lw*lF2@C?WD$hNQ1pefPY*XRlk`D4n-T z)32C@@U?aeF=rT}mqk9Bq<l4ylb8G$Rpp-=`vLG5i?LP&QItqA)_=nsk$!%$ufL+S zq~ak78vJ~pnXwV81t{Unp5lAckC=@QHEyuQdoY%$`%1Q;KYa01G~(I5U=~j{^K+4_ z7BL4O(hrC0ozV7zcOopE;y_p+D+cP`udCIz5nMxTCaJZ_b2>M=UM+S<?Se&q)?J~* zDA}%*B_aEn7kd<dz_x>_Hl6mZR||!zIBVNSCi2;M;Vf$Ha72f`m-muC|3uy<)NU^s z^l+zz9O)*$-ZX0LQ>mHQTOVklPg@16k6&$z(&)90?hL47dbWXiy>jhF!Ywgndz%=7 z`GU1pXYQ2#J<&gj5_Pn=cbp*t8zq52YzJ;74~$;IEGI6Z4yG$C8POvS^wxwx7I<** zOy4FID0=q2xJhcTSy1GQH%8cgO#*VBQyI2YS@XVYgc(k9vu4`uW@BkZJ}HqKE&4XO zNrQ{81o*$zXs9{H+tU#o`KwdE_OnJ6_X(9qFnw*^dr7LI{A|z04)ERVhY;uIP0~fB zBZNIv{LeD)f5WeWXC99}@IXNSiT@RTb#XQNzw5mJ1;1+jin9UQEbk^2NAmPq1fDi^ znqFv4!26`Cp=8P$kH<=aj}`O2IW8K<iMW$(wj(LdVRgXYU?!YUea3=EzGq4<scqrr zAQ_;{DK6QjF2T12fdDxNwh4X3IIugGJ?1^u1-Q)$&+0h+#Ttce!c~cXV{VB}Xc;2` zg}Xl>4Wu$LI?Z&F%{XA@fh?)MR+TGE!{u@9tULlNQzM-(i_&mxjkdZUF?^f^0cERi zR}Q1WJx=b|rrWKadbt5AD=2Q@%+k)7*`H3>R*KP3L}_JYsE4mAL!2v~2j8;~X@*Lh zucaAu1Zhf;YHFM-t~(bmH!COKL4vJ*XAl1AA19-T;&q}-KXlOBE4*4NQy?tUsV?>J zr6o#~v5dPr_Y1N!16Bv8KH#d?1umL=|I^&q2vlWHcEDX5sPt893atKyEbf_z<Q8*J zq_0QJO9h3P%xyecm5PktEsb6=P=$s9r(Y7Ueou2kdZboKIa-B=7y|7(dj)ef>7{t* z0e-ybjP4GuI;^UJg+8c~sX?cq*T>KpS>f&F=3H1X738Ze5hz|Ctx2rKHQBFG0(m0b zvj?U>tV-@kT>3s7G)huDc}#Za5oQL%spe+(XUKVlTs5_bqyu4hE`*MukFKAlpb#(Z ztdo)o!Br{V`dCmR980S}5{ZmRLco{bo6k4m-w9DD$tn4(YxHZAsT1^)Uafst8BIKP zHj=~)XAjvd&!^0~`FdHIePxTXalLU_`6)r8N1;bt-M?X-Klql^Nfc`cM;(GqQeRR} zqEU;f3Og>ZhlryW&{_U<rYMQ93;{t#^!3v7Gujw@w`v424^j$KDnYrF3TB>&Sf-6h zC0|2NLxF>h1|rP=WfTcWkW7dqtT$t8A&&vkc2yOf7*ASMsuR70zxWeQ^yb-%Ct65# z>1d0KN<|~BR=`_<viJES>_&6Xafx+2!ToY?0pNSlqa6EMy)f^;$?oR3KVBXVwTenE zA(KqSlH@in4x%ihpisz4r_z!R7L5ujCKVqgk?19uiyTk<CpLdwKBrD9Bb}SFY{Gq% zG<6ptP4IwK?c`+yov!T#NmJc~?x|hGO(^PIjQ=c<K3n>3=$r#=+_>mbc1-)Y+FQ8m zpW)65%@(sHHu3y$s#vTQ%IAybMsUJ9>Gx{^pApUSSP;!ZbN)Dr_iscz0nhT~%2*K0 z;)3B2&3+n=Mi6+e51d^lf+BFN@4MI(7(rkg-%kkXVd*>m2FLKdg`p`{gaFT%IR!%< zP2Eqas>MPX417CuIqTN2_W(oog&Wu)3`OKU@0T!yKWZG22w)<7>+^kfzI8tB&zka@ z(wfqWVVUQOd4ibLn$?`u%&p@z;4)F8C9KM=$SupQ;rz*Eb4+)h?Z*Z^gUzLPtUc5X zVga93npK=t%`M|p*ryxeie^ExfX+==rsRLj<bdt@(uxi7+cY$RXItD48O1hF6&l4d z4h0TZ`2HG<VQ60$j^X3~(ny$_8T5JbWF)v78T6^U*Qf1ngSqQD>T&PRfVrzV>QV8m zL)*m-dtH3k<=Ukk^EvWl#JdX{^x5;Q!@ctx^x5*PL*3m9ePurAvF-MVdu2H2k@l>^ zxsx08NxI)9?FKd9^l~M}t5c~;>1C*yeQ>jM<4!C)UW2bXdm!4`P+?5FoX#6ntEBaL zSFeb_w$dr-Y$&ryy9`xWqFIUJ!&k3_<!7sMo$7tN&Y9$cSHC=|(A{2Qa8Nkd%<HV- zGn4VDWcQ6$mm+*Jmzc@RQ%hEs4pZ>D&ROZT%E&k9?YPbv{JMUeG2UCg`3H~Je*FW; zoAO=cX(y>asnsj+#yb7#wmHl`e$_0hedxD4RK6Uozc%`*G!urTwU#v0e3gf*v*XSA zvsTHoLyR>j{Uwd(`!H|46Z<H&*t1S!*x;!1Yr6M2>*cuh+3MxE>8f62`!H|5Gc2*U zwzJ){u{0av|6s2&O>wIq^S?}`|HP<Lt}Qa%Yr63%^iycOYq;?d{UDg=)%bg@PL1y) zUzG~eceeS;`;j+z=XB%4`#}&kHzZBD#iCW>@)xhVd8+H{82>5$h<_~pAa8Gdbun*+ zW}=&bhk(Bbko60D!i4T~L&f=CtJ=K7KK{$Q!qxP{ugVqgyH<@m{tJQjrq!T9x^LR+ zE$s^B^#%59we@96U8;o@%$?^`tm-&ROY7@kCwZlVclSo~OY5J2#YLpUxNmUN1#la2 ziS^tPuX^oM>8H?iNBzQA>6c)>SG4X?=hwF35d*HfA>m9mQ6qbcm6he?;pNdL=9J;( z;~}Pm=HcO&*f2P)8$`E*95j{(9NfMhl6WK38CWY=kho|fDwj*$O*RI{YGv9V1g@F= z?{77>P9~Q4mP5zBaPnF5kTmNV$&e42@UH!MZ<163-=6AiKs-=S1vBBwS42=sv}-hD zoE#P%y`(}aVOqG^<~Uu9k4io^(o<1MiNy2J^xsGwA>|;_W=kHcr;<;GyeJ--JUMD7 z8$lEiHr0E`SPe9ch{t0UgsXu>hL0i;MG<Ue>6k4(mt9r59xAK-DTU_8ReQ!1ONzn0 ztN+b`o!<J7Awk{vH=71VFto21Qp5;&HRrRA$jYeWIjBqGIXal|>X%P@hQ;lzCgM1( zfW!_@<w$})DoDl9Tu;ZNKp~?!?DH&-LjR$@PNB$RNWVmJh=T<|hqDO$J*k4CA1DG= zi;W7&0^gV^&q`$QwsUlFx8|#3ZDpy%|Gz2yw?JvAcp#_PYL{#(ku3I=cOVq|jBjAt zE2Y?TSZg9n)|mox92ya&esRZ}*^_wPHt;z!^T~2!Q2NLV<QBn!3#BD!h&F}+2t~qz z-FB4L)nZs)8KggfkjsO^_Q8>UReN9v0sHpL-@s5u6+E$991k$zBxaGc5}7M*N+{Y2 z435wQ1{bbF5RIqH-(?tCC9%!Z<uYtXT8rbf6nPcgZpt3p?r?}O0&kzslw{K&O4}vd zygZD}khbkKkeXCZ=7B`FL_tlh>?e(LUT_mn6)iNJ4X(LD1{wTNUg(W&Jf0Xi9vMj{ zm5jg)4`rgtRpo_31mcxQK@x&Q3?d9ABuW%CE=d#w#!4beT@2c_D7@d23YHTSqdz{c zL(jyBfr*~;0Uqxvh%rE6X^mGVRWP_R%}Ar`c_;~XS|;{&a2CN+1(Wk*{DwsMQOUr8 zXpvDVuxP$E0en4-p{-hIs3FJ~_8oEJJY}K=YhL`W?8K9l3u4dGr|dL9Jyu*}nreiz zMbbE7GAX0H6{(}JgcMmdQDLK(3T(=wWP#E|mtQ_Q{e9<_E^i{y`FrtZEBAZ+uB-49 zO_2wwjK85q`n^^bSX?D(a3k%bVr4|t%(B;GDmfFns>_psk}%OkoOsq$B`e^{F2R{* zAlZk;rpkkWe=K}Cl|brRMP~uI&E<sQpJ?>c?+)Lx1dxg8-=b`%C#-Urha08(n|mS) zHv_?lO*T(besL|>U(v|JReDl9a{f1_t#@+RwqpjkEXfzi%kMKmvf|+Kva(E%vy*4h zZ1^%M<Q>~MO`hRO4s|o-?vWM_aP1`HQtN-tvaT$}4JKqQ;^f0$z38U~(+-q7vVWdO z!)r`q;YH1x8}PI(rJ2!c4KF*%o=~)cH78))y!rwd$mRnig6r(7uvmf@tqHfMM2AyD z#rRe!vEdE>^~xc9?seJq*$X(!B?XRDw;7W!Jyb9a&$VmPj4G#7R^VIn`vF|_`s{w} z{hYNQ<+<D<*MoNYtOc$ENbXvun@@2p)@^RO;*d%IS)8F%MPXcn^_Fm?gWX6i?u+#D zqmF?7$eoudeEBAj==>OR(T;mo^o!w?&?f*G4XQw87A(=W?`GWH=$voXDX^70Y5`Ve z6r5X>fMZta2HtWN!M=#ze3yw<n{?B(?#dde03j=+XDn*lLEH_wu~>r4(WQ8Blmv)F z!~lBRDj`Y$_=MCn9&?J|2Wk?%9~``Q73Wc|o#_h`nQnFz*t9tgu=O@p==^A2gb_l) zfliwH^(UjWFgE${b$K^V!|oRg6F5D!uTK+>wpJ~u`ob$V3>8f0)j#RC>#1O`><36G zAB%8VKCn0DHr6ovAo<$w4@db;onLD5(H^}rk@^EpztqXh-3qc{;yKUx!zyE#=kFIG zy>9rK7`SJ0k8wHMKKM5y$mENNQrmJp058bHQEq1@Y^+DXRgDs%b?@N*&GCHAo~v<z z;kYmx;Gnr3Ao|8jV(Q~>CBF+3;b~B_i4zN<`m3{?Q$L;%`29{gUB)VVPdr$n&CGkb ze#!Lw!e{b%KY#!ELoT?VDnOuhzqXCs9*?`9l)ub&M>&~dG;F(|BE+sQTWI3Uepe1( zfn?h1;A_S`(;SJ5ci|?}hr5mMO;UHRpV77C-ca1|_q8(``$P;%3b$xkehvo;=?w+F zuDzctf8Uq-DBgA!xrDJXuR4F+d+|y^2Wt@Sr;vm&U#?jM8H6*p(9ngv$S#sY*bHbo zYho2~E`|StC9`p)r69x;2)`gi5^@dxi>AxUv{xMN8U=~#66Hw99ReAN{(rKz|Aun` zYOew4|4i7C{>A^AwV9ck|KF?)7zyL<;y-jQ3&(%cxh9+@9REe<vYB)KS4uZF=2i#9 zU3X7J-!D1ojg`dd0{^d3SDZ`|61u9rZ@~VBM6RSqr<y@@VR_1}PA&hM8XCNcD-i++ z49G1oE~=DPVG*^=yHPU1?(l2Q(A(ZYv-wnEc+RRP0||$0RwW6PaU>00RMTQ^jhj^3 zB(MfT>Nv5<$kakG*ntf)m44U*sTexf2{?2%b36u-G<P8Fbp69GH0XjL9Arh!2dd8( zh^sBeU9eNW)^gWLsG1n<M53!gl4Njb>;8oFBr>IPunF|vf{G#_c_^j1H8I9|s;zu$ z7zy5cF1aH<JVOlrKeL#3T4{7z3(q{gUpofFr${klfzIf1N`qUuQH&iVZ+2U=7<X>* z0lRNzCVlid@&?_|aBWU}DK~wdcRj)OBKLC~+b*nY%npMlyMrYlqdu0{P-87=L)6QP z4sfkT8>_75A66Hi@)GcFAiTmht+IFUKe(+uKpva4{iB3<kV+msM`ow5Q4GvzNbz!0 zczBlSA?00wH-t6(=Qt-#5{rS>kPyjZx=h!5GDWhwCwv6%^KbFMr__+Wn}h>qNS`4y z1T@5@j-Q>w0m;c^%Al_vEwq3A>NZDtFrY&?jFhl6Js$}c0|5}0zJK^;YrEw~nLPnM z0Y`wy!>?WrTm}=WVp8t%P~`?s82KS0&yYhqri24ti&cxWoQH+K#=fe;Z=AT-8ZY5L zBR=4MKXZP=hv=?=gfDxg{&miE@h9Ek;Z<8)V;Q)=`zduU-A}lOgDpLtb^Q(qkWa|m zm+=QXtGi(~KFB!M5YsQp5}p04?XS4OOSr2S>!lB}i8Mxqm_*XSI7z8YCorZi6uqrn zc(<=m@=N{_jwppr+Ya&Z01;N5(twDi9C-&x6!67@>Z=7<WV#?rxp1xK9!7Ym1C-3& z9PlgLAx6Md>zbc%$UgJN88TqiR_p9%kVP+lzCFFa6TVCE^E;okfNST?+~YIivzG&Z zh(q=cVaoCOmOHU$p!3_$BfjZ?85~DZM6M`&Q}`T$Jgae4NlZwAL@|_;x}-TUsX}I~ zFd(8y*ZC7aei1tuhw9fMHSxeMq;Tx7K`Kf=u76tf+-Bx$AAcQopQd(O#yvA1JC9l7 zdQUiTU{Er_0#BUpT9+({{X2fbM)hI|<C8HV6^1JB>gd>c;HvR|8bECnHT9B3^cW#i zHKn1{7Bn?iaz;r-kx`Tq!+ue-s|s0UGvO6&>Jk@uYO~m1+L&tO=Rn1Xnrp)y#3t}H zG091jYN8ACN?vGG%-V}=3!KbE3XNjF(dcsV@1I?3iCJZ*dhGDPJk7cvJUBxo0M5#* ze+;9@^%BSi+r96fHPK=87nceUd6h$3j-!t+gPPftu(AngMG#Mdm@?wSuGTxur*@0< zt0Hk4(<nO%MN>i3{R>Dk;>8SDbw&+!Tl;ML?0C9t2^+YEXSrXxZePE6GU&P2vgwyv zDUMqEx^8IGRwvIu)hD&jic=T4Y|<7-J@qh0nMR?{j*KHo`f)MIptuWx<wotYg5a;P zcCptTvqTD?;$(QG?dR3e)k;5cARQ*>LcQV71M7hEfnm6VOUt6kCW90CLD5)6RHgJq zn#`2_%SmXnc~?Xhke?+h$Fm#5k!CGVdVZ;U)ubhFYM<q%DgQIsZTiP2hANGEVv|&B z?Rx$We;-6b`<=R`&TSU^(8(bCG=k*=_-HdM50FV!-u0<#SzXnpElHi_Dobs=s%6AG zQd_~jid7!-*zkAAok?GJlJ?Z&x&VE3$XeJfKS|>-Av)?=ozx~Rah|0z>qe5E`!#!K z`)<%~&#aYETtE-4<|WDuk$`Pd(=bYz%sclasz8<`NtPT7+@ebv2-Uudbh+pJ#~})8 zX>@GFR=|sbK8gmANDHHHQ&gj-E=>tYk*BUQoqs94nc=r{P}<6A<*;*zer@jg#q^(H zS^mp>AMBsCZGk7EP3yIGxiDIGVkimNZyQ<wv%1oiPhE}cr04(tq7)@$7wUuW^=)iQ zT;-`vmYw-;0nV|?vr<+ytD{hE!7)HhJ?4tM<$qQ(Z+gPt+bmn*Y<yIB?Hm#y!%&2I zWfwyZ-*!mbM@*<N6a|kUUGb-O+hs!`fI|+!!DB(hQwbxeI4t3nQsRrpS;U~YnL8-> zlsc5f;Ioq{l+g;Lqc)fkNM-lUQC37IG%*F$Qo|0v>@Apd5A@30>~Ot#O!#KKd9JqZ zrfOlZuwz~MPt_LC&<1=Qn*ZJ7tN*-87HP_xSSG-ryFNuN>Z{t6|9MnZsf(kNL528K zQZluY18#Z^!g2)=75kkGvA;&KCSSF6XiHqAu}S}QeRnZ*kSvSUGe2HADRJ3|juIy+ zdU~TZhYW_B@uql9JJbSg;Iu8o(NyYYmjJWDii<>L+;G%vy^p%0rcIyO(goRlp28>= zIv@gaxZ|6u<jOe6ikEDY<jPDC+6Q<cjx<x8bcS6BpEAFER#UeF?{~3k(i&H}s7qXD zwM&{`I~m|+pilf-SH~xsq1B{HWtH5=enzg=cR6Q;l_<0{n424<xhd1wMkR&Yp%DMJ zKWJNBRi`d$l{GUbqs{+?7Zg6q28Y&8EV$}q8daUG{4?uQ4Jn|`y1-dk2%7MWGjA$} zm+;5%+C;mJl3Vs{#{^;X6!ZIIm6_ZD{wb4S;NY^5#=EdFt&A?JzSI<t?|33y1BHrO zLkZN>q#mKv1SMj9<pmE69~oo=*S6EP?savc9UknEtL6>@VGRX-e2yFEj2f?&9L<ty z-cmG;I=HbIvp^Eqne`bo^mWt{G@PMaWQ^?`i<y*}l!WwOeng5P7|6tmg;L=}6#EE_ z+7C1={M(X_EJn1@kO>Ja40wPDDufEc2r2Ra3yy@V(Y4lo-yxGMYI3+YCyiiPWNYf? zaUC=eStOqdu$SM!$;G=Vsu@5lDB=#S?0B!w`&qLTGx!_)%qJte4ZUg_WC}a@h}??G zq)apieQ23F;BFSFWeY{^IabXJZTG%rLM!1fni{X>M<{<|XRit#>MH;5gzDNmw6V|@ zg>zCHfT;ebS3GFIV?kzeL3A|C8XWeJvn$zO{OuuwU96+73H`RW%NrMkQa+^k$!lI6 z5t@Ww@KOf^8~^XlH!S!{3KFtw#p!a7M7(sqLLi}_$N*98F^nuAm&b+Ry-XA79vNxQ zalbU}V|_J>r~n=h<Hp-4+@<Z{H~UG7P=d5jf>0O|UaT+}{^g3w{+ant@PR+nIDyMM z?#o_X`C(0W6$18N4y-CUiF1{V{vJ0w!-)LFu!7saZB)VasLb`Sj5``+E;tq#eaS?< z)I_{3nj$ag^nsou`b&l*0+GI;=_Trm1HV)XcaG?tEg6ARQs)RPWlh`_ipk;<>qJD- zNX#XI$ufYh6L5Eebg=5(Eq&$)#AY}ShKwGfbRx%qAH$Htz9$CgZlVoN62@I24}ftE z^Cg>?uP;vr1##ZZUkpzpkYQ-U0z+S^&T9lQTX*U7sl`@Rb_IBw_^<=oc*Q0AW1;`5 zapuEuXR<nj=?EqIZj%7haR-t~2aX)_Y61F12f>y>rUhl&K)F&#=gG=Asfg1i3J6lH zCxLWPvQb{5Y?mO9C@P|ev80GhYE0o*M;EKgFG8aF*b}?>$_Stm@*OuZ>gDgj#CU&L zaIdIzbcW+`*Tw{-gV4!E_VUBfmdc}nBtoFI61K^c{73Y&fo&Vc!K%ANCF3!GnqTp! zSDC^uw|>q8+~S8flI;gh)XQOLS|{Te)a@J!W!EqDkqGbT#UG~hzK(Ixh((KP?zV?s z_i)>nyVeNRNy1bJY%@jQ>ed{%xvhsjnt#<-99e*vbjA>{pU&Dyv{8o_Or@w|l0nKX zSiF!<5%pa+S1F!kMBN*HR}q;gK9Ut$RR5WxzBRY;#Mou-v=U{ok(jTgTjysc!n)1H z#)4}-gM;aZw}61?KTQG#b}S?HfixEjp)9E>NB&zFt**IV01~gz|IhWKFe2J7wUIkR z4A&^)phNl%x!8fdogUkhmzoQ4Xv78AF0=;=<4gkLLp_|IYG7s=W5IQN`}spe`5^)q zn1WOkjVpL@227oVFnEVxisIvFL6lg>*hyNnsS8MAFZk((AR$hfx8kFr@g1UA?ZU-J z4TwOvn>@l6_X8JVyDE+Z=`1|y?C^y4XV}8UviWSinLg4>?V8%bDA3rvW-}g+Bg}d^ zvYr=QYRC}JX@Ll~)xyk3u(b#6>w!`=5D_0<dz|Uyceqbt0Vwtg9_P7>R-RSLC3-T? zU8=!vgSD~%tx=%XAyMOOF`}?>W?i`#;i5WJ<#J>*wLb^i#lcqa9BhsNYH^jA9M@mg zGZ*rxm@Fn%mOW7jDkowr8kZSbPAblbOkDC*WY`KTrshBA)VJ)zj2hBI_b4;q6gkw) za2PWs#z&C*>ub!N^%u`%K<+i7@~<C6MnWfW)i#9$JOGq?*l^cjkp6t+a<f4N>$fNv z$YUX>lI^VFBLn>FBUSpw6K9ZUabV|DXtsvP$J?a{&Vb6vLZpmyCesquP*QU#ldhpK zbuGJI^P)>pv!I(ov>6rjMn^j&7+G=|+Ws&uYla#%$MQb{lmHa4AO{+)0V`ffs&zL$ z78Xe6K5FW(G`s*w@W$z_us22w8dp=4<jZY3D+%S~<sCYyv1GF4Jyqo~6ta~bCDx38 zt&9rzLAa-l{13#TH~u)zDmU2Rph<?M;Y@dC%b%O4a|!BIceSudk{go9$gl|R#H=fo zU73+Gre5X}nv3}vFPBq}NM0v_x@69c67M#UwT^P&n*Tr~THb=aN0kKxHrnkyedq-* z)UjOvso#O{q_>;sv33qGB%9xA(I=1*V2dW<?bJHatC<#V=}`0&<H=;9kE{n<N8$)} zn5a4>)w5A*GKHR-Yk0FPi10XYVVM{C(^fE|s*6l%0#p<b09&`nQ`PJ(I~2RDcfR5d zV+YMq95X!m3tLW{c879Lvlh?cDgRh$<aeB-HT%}nd-;f_6=7hj4v7Ys{Qx(?x6+w} z#`Dl-y>PmQLCWVIlhVw^nW?q72F|w5enA#s6CT{MCf!-w$pxT5QtwQ6zi~+SkYzz& zz%Id;lELAGz#~7kD{h2^V9qUyayjimc-I2B7*kxMKEC9*q6ATP*u%IGF8}vXY}$g# zqYGt%yBzi%c_1jvcmiTZh88{p#i*7nI%NI^BJo9tFAsF%m!0HlOoBoodV-JyDP!l9 zZwj`0p_@wWnb1?QsjXg(!)e*|KK)b*yV_W$h(PZpO0L!h;@Dy<Y(76uUR<ULDs&;% zEDxx*WW~YbAIaKsdvk$;EA;%WP1H3&7y{WWa%>ggNDMpRNSCa+?*;-HL#!|UG|n`) zTfdw9+KC&!5Q9Uc9@qvPKZrar%#olWOC|t$M*luxeY&I%sx^yz!uWh&AXjEjI>U%% z+B}PQ%XDAP?8VDUDxsU%OEIK}+3~bbz4=mq#|ih^zuDb!XYTM1#1FVI$d9vXMm^y~ zljQ~XB^-RH{tezED?d>Cj+4SDNYKWJW`jaO;QR0nd}>HT7*zY6u6J6kQE3PkBvB=n z(IO)#T}3R1JnXxC<q1M*1Y&W8e0CLKitHj16OEGtj4grMli_g%J0yETr5DqqY7VSu zS?M@g$Prj1LJl*qOU@wsJ>`DCs0mF_fpX>xho|iNf~nt^^misIe5F~YT3;Vu-)d=6 z_6>SI-?l<&jxd9pQqzv7<^80p9l3z&1fK>D2W=f~n&eok-14Zs0hoa#0nGd>5o*io zEO0>Ml^6MjX;O?i&U9qFK=IQMe=eZaD(P4E2vdJ3HC7O~KvThP0iCCVp!x?lk0Y7i zG5mc?kvDmuYPZF;n=`>;a=S#?5>R3V?|Q;5Fz+$<!u0Pa%>Ez<aD_*ZOxu#G#g|Xk zKP|;|L3v7WavuVjWh|Avo#;tKVV_bP@qt3nNX?1VYOP3KuGd|Tnl9KIQpMa`Z>wP> z+Me1|O|f3=F&iKZVrAJ$m?t6urfOqk%$|Z=vDD_z`_tHwC9b|osw4qbqMeb+XS9tm z-z1jjl*vmV5lf-h*~)XsVW3ASb3MKBAYIJSRI%A0ZO4jC%xL@cB-y6Y;oHSM_gGa= z+#SzfkNy36v@R%KbEuEse_J&@KcPm06UyLydn3$Xa57F=S#r`^1(++%LFUIQ6@<{F zNI<9p<9e$SZmVvuct?L!OMkeKQCdaaH%uxV;i$%wu_ugVH3ho!?gd2GDs*o+>)PqE z|5aDrFC}OjfLa1ER-s|;9Ze|*<?Oc_P63yIBA!YT$siPxA{HtUOY`GKtwbt$rAlA| zwH2|Cvg$Z^cUXPD#OLYiTqLcHUBB4)Bc#>HWCH+3@7;5J{@yk^F^Prrb+{nrc-e!& zbXnkZk^B=C<DZw++@($72i=Sm#MdAz4&9w!V<uBDe<bJ{M~bu>y-q=zHn>gv<GT*P za5>RP!(8Wyu%U-VzOhy<Bd~9b*tucYQ}2(CoyzZFV{$x3{NdvTo5>lBqRM1btdgcj z)vP>Fc88fBIRoIAzu3eT&ez@j+HzT*u+BbF3(jvs=Af4jjO_)tWC{$%P~Fqn1|Cq& zsmE2nitMo5|HSZ5UaTss6_OzEe0d~KH84rk*y7s?Y%KAnd}ndaBu#?ABL_i7DH*R& zZ>7qA7RO$AoOjH+r0eF8_3;1JxMC6Ran9Z(5tA5@Q^$ocmfFtBqSY$&qy@8s4V@Xx z*ALd(f7(a1RFIbmRjUGivoKC8nF!mAK5-UH{Q-QSPX@jUIzbb;R(7OEhI>j<BpI=N zLF749((7@C+cmTJ^?-4?9BCtWo3h^%uf@jsRdo2Yc0)-*%+PnB+KNK>tYCo_Knb_q zF0+eJ?nMpaRb9$j$CFK7%2a{SD<`De#VPrp*-HEVYn_#_)JfDkJs_uau`oPjc9k{G z!XWaIHaW;(bSsV5{b$V++4{*3cZDORDAe?((I+$k=l1@LGvwLhsbfTj#AK^eBFh8Z zKWxJ336RpVCx7fw&y}-}An21@l4Av28OHhxw!7c1akU|S<3mj@t3Rcq5>xALSl2F$ zj(}hnrI}Ot)#}DSdgECZe`-Y9;MCw;nv7haQUjE|z2|9%WuRS|n#(CE35aS(lZ4xe z7>7<L|2eb}@pR|WXD?ECK!Ri)J-!EfSZ&Tv-wWHF)jWAwNidTItYG{Gr^D79Ij6b@ z^UQ_glwRB_$Ru{bEsx)0J|$Lhuj_JF<9i~N+WJ0BMx#@QMyvvDfYM5IFcMmF6NCVC zkXSt$Dwv+4B=4$EUKvep^nKu6&6MUwgSaGl9t!*W^SWyPS;IOM&~{9QPVN^|@l`jK zj2f(`x2YKu7Dv+ANYlRW#eIfEltg{xf&4%9<o~9CE@k##x&HY^{3rfb%WqS2&;Q2+ zkBB=A7)TWa0e*VAW)~ge-+@^F+M$C$0YgCTbp0Q?-ZQGHEbRL}C!LZ)5fBK3qF|wn zAT2-=g2I48D3$@#U>QJK5Tpcz6q*efMXW$V5p;lwBT}L;0a1}r2*pA*AWEo4iGU0+ zPv*YY`>yB1`+msvA#0tS?6udmfB(JrfA6dDJ9=UC-+v!3H+)AczJdZQI=SiSDb@ey zt^d1<t#g2HqNaEd`~UaW6H$@>*IPe+JhvP(@8V~NKp>P5aK!&x`22s{V41W_csmjV z{`>rYu8E)dzkch#Wp7^cuTY@S47IR7;5l(8!8G`}S=15flcp81dB*R({_XyC{&n|X zWW9ab1CXF=sxlh@yRunD-NlU)=JMUO+&TY7ntO$Zr>pTdwSxTQG_OUq0)wwowLITb zuI;@hx-J|=Fnl0YyOd9p4}1<NENM@3FZ0;x`s@elnFoQ{!S~Q%y7N77%nqIhis{bt zFm;89O>l-^r_^%tWs9h%9-6LYJtio_wkfq#1OAj+ios7SDCc}!T1T<R`xJMH31Ikj z8TFTk+=cT+Ej3@9>ON*7Gl*M8J@y!I;Vh`d=NF{9kC;ddzAm8}J?dRJ^J<a#v{d&Y z6R|<u66&Exq07W7>Q9eLE^c#bq4_{+$2*fJ2EE@=bskYJZnJ8?<cpXcZ%k?o{2t}L z-6OC(-fwJcVcc(QZ86bjd{8?yLhYIsUzj_vhi5sFpmz77`4QN(@m_5sVXk-&%W@)K zt>mIK1U6-CZgD(b?bgN45ZGs9OYNT`)QT=vhh$dlp;;b3qxPGYQFCtR9<t>`oLYg_ z*KoDGi;Vi*y%*{AxzG3P41#?$*0eD0HP+JRH=*1wI@F`67tQNYZWndxQIw0Q`rM8^ zKoD%gcw^@TLoHn^v?;fJkNg+dxbe!)<2}amoyI-J2yJ$l8s}ouLlp5MDg-7m-q0Fv z%x&Exvp62B#=O`W3>!0E&<btL748vR9FI{ub1^p<Hex)cHQtchut#8VJX-DaMbBW^ zka4$GXhZIwdqT7+4Y?2Y<XRX<snIX41ZBQ67HYLU%B|fKZebj$cKBjbQ05!s`<PxP z>Mb?ODf2G%q!a8eHN>f7#%L$Ltj{PA`x_HAK)qwoF2_~o5L`N>M($WOCQ3|AGiYDL z73WBtVU^S<1Gh!o%^Z<)$0wt$`1WtOYdHl8s4nV01LHm;Q><DFs*~z$0O>O_z_)+J z<zafIxJ#Jc<lH?ubmz=6s;N`QgpnqeEyd+vLZ!GY3`L6LVvM9XHs-fv6gh|N+)+x^ zH)!uMQpNfu=ep()oMENZImgTr>ZD_b#7L&^Cc`CRLZ@)-9KgBbgV7qMHwo2Box{6* z!KLJgh#jLwa~QuQl#u!g@3w%8%V7~ahK)ury@{v>Y7O3P9v6{ACUy)Oy~6k<=FS>* zVtz_QJ)madA#=E6IWi~rcScQ^2WL^W)RTC~EbdT_z{&j$Aqd&#l)IlRw$0tg71`!` za}VP)D=C4xnKJ}`B-<&spK=3JmVm3^>fke_1UDq;l-ol|#+1e5?r?dwxvweV7~^={ z4Q`WuM+N0DrtA#v3WDO8`;y|Wn>k4^MuLvHFDPW)4n^e}OnWau7tK)w<O3<@7C{H8 zsGM3#P)8q+!(HH#ZF8wyYuj8mF2OdJ!o}I<lDVEERFfAJKo>SKN!Zv2iE}`ak_1m) zUAi>Mj;fuvh{0uZ&Gp?&DL4$Lhp?pT)<gKFdR(RnE1{sqjMQ?8TtPh2!_#0x$F1H0 zY0SW}2pfp;#vC&499N>(F-DLhezQkCp^Tv0V{m7=JiQM6QrGO9GH!vM`v^gT_<GO@ z)kvwIZ`1s)e!>LRK&e5yMdKp4WWA0-!YhOyVnT@A@5SR1^g7-XIuL4hC;=r6-5!ZM zvg2n0iW7+o=8ARQ-x36fxZSAVDS<9;RyAh>ZkP1Q1MgN@yPTcA_oiy6%Yo@_Z>s!D z-OkO(xAj+fl^UNrE#KB#<yHzgH?z2{r^=~xBJDz7z-`|M*K0pCKL=d*-Oud$cCV|- z)Md|)fysd1O0J}B|F*5O%Ak}ZA1JTVFFhj<EU(gWd9yN5R;5$wFAprM(kk^&Q|=AO zDcL0t<X53wc1yQWeBsRPU$>Ecja<J^-FsTK=6q@;a6I5#iE-M6o`BSnzQw?jDnx1f zVxc4;spQYaKuG}0cirXFa^Q!6G~aob%H_bZfMnlMms87uqX7xNuU)n*2aW_Vd|O;T zEd>q-METabR4xS$1%&$+yPR4I91M6@)mfsO>NR&yP$eo^Wp>TptE*}%8De_P-m9q+ zln9w!GxzS3>NnWmv9~vP)7YCEtZD4^4Z$kXJEK~Td_$_(0Ka3aGOX9B(}te^TCXB| zNtqj<99x<pTcb`HI{ZtX#@@~luTei6TK~)Vk@ItgV2%38&~%;s4SO{sSB`v1a^C>I zVe>Os@*Iy0#u~SrWV;GggpJT%L!EzlQtbH+!3y#jiLm;VPtsYX@=3VWr#upBmC7TT zZh&G#+`qCZY)*!35&4v)xxw!-MExt8!lrKUrm!g+)+<Qo4BXn&V$%B`REZ(*uWT9l z7fHU({(?=-5dT9RGn8#GmXVK11MBPsY<xz+KhzOJ$%bqR*+{BiXU}6JGid)%hYZCV zj3wklQsMgeD)LX#rFF_2HZ%kH=jl7cCmZ7L$U0KgI%O97ONMCm=^MkE4ceoew=RN( z(0&8kd82*<>-q6MgM-WT2<){bo-k*?g|{%CfW4b)J_?;S*t<*+=7?Qb3*+(Fl2qvk zbjrYdJ~SSCE46b3`q{v8**XGSlv+K)t#F|&gr33vwnS*o>2x74jK^UMmSo}Byi`Vg z&fZjdea>^2okP%%2AcCmy#`v#ye6c3szW`JnrdE;bW7E#M^aKz^*J3bzz}r8U}J8a zflXhcH|4au$Oob01}k%+JqGeQqaFjqGAj(rNo{(FB&MQ9pb~?PB}rpWtBY(tG#1ND z?Hq=V87wT(8*_v%;`z`R?3vWuVd#j#*pj3nr@=)q9~zB4o$5IZ9Wv-%qBrFH=@PO` zZpeAyk~?n{g{7yi3~}EX2$w{Ua%x?|=Zzw<hf|w|xNi*ZFNm4Qx5OwR_b&0I5PFvw zB78c7-#N<f!v}sTU?K;IcgEV}n93wV%Tp=d{R@tX6cf|N+7>azNs=efN@CO)Wf5~T zN%Z9DC;ZmYwr`kgNd*bWF2$i=qdvT;V$4Q%5}n7uKD@zb+gD89f>?^Vv>;B-*^@+n z!Yw133ZG8kHNUW=n4AT=6qB_;mTujX8K`zeGX2uua}(5;wl7;Jj=76f@svkY4Ej8x z=@=-+<G#qIFgZ!Gc;r)}<`};RkNP5-!lW+nrZ6cB*2zfcB;1py#l-i`R0$sVB1=O4 zMU;=SzhF|6#E+?Cc-aCY3Hg{fFv?!Q#3vOzrjFnx3$jFHBe8yzJ&%b@qCKV#;l&G# zMC3za;pjLM`6uzxC}j>4ngl$4`VRkOL3|cjM~oV!%wm2?5(%Ha!PhL%cIUix6m*C7 z>)XCI>esh^J>I8(aEcy*x%Qdolr!MS>mE<Q+>JLchED76ogz5ph#gto<MEi1c<CMJ zl)m}v(0I(P_|7}f&-#{A))APZ`06{{3P)OZ=o!p!p9zjRosQ)0@i<JuXIVHVFP>qW zvp1e@oAcap=Pl?*ea+WKz4}^HJO`wEyn`*08gFikbc@%qMN;BXwmBV+z%A&6{>H0u z1}6P8-65ylQGOFTuD|jsv`1h5%BV*lF~thQaN?V6ki>Y@9jHWq<Fmv*r`1vRIy4r; zjPJY+9n)X<Ot;SwI*MP1#$e9G=iY{n=#PDt*yS`h3SNgsV@}6=-i8k8cYmha<^1Uw zGDWt_dEl7)+9(P`k6*dPeWx${EZUt@>lpspC=zoxzUdbCjsE>H@hal2eH4>>*Zw3E zde=UL`E*8aXA8eiFYrUbDq_I?PHUSST^UO__f)Fq{sFg&5ZkA<wk@KIV<l<OO8cl* z$|Cw^tSIg2C%vsLZQsz>VhiMmF8h70MtypwA8<bqo%YVHV4t2rOWRj;-k4a5zBDFY z$=(x7Pve%^n=+qH=xKgnNfq@J=u&jn7+H$ujuE72_E^CRf*eauds=F*-`durr}}}m zlI<ExNQ0Kz&!uon>?c#6O7vt;C^B@?7<~%Ojs?=5e$ZPR6E7oL?dMu3U(hMBqSU9O zdUIp6WrWcFRSRVS9T&?=eLAc+GA3R^G}za)Q0CDQvE<aJgL<#VXiM3%dYxm|ONa;d znJwTP`dF-tNqwi+G$#0tsI@=Y0?wij#R{0zH@ZRZM8fR-k>dL7eUYO2Z12d!N4S-{ z1B19Ty8iE2!tDOtH|qEa=!!_4BV4Jj+dDv*-LpHnjvtS{6UnR3e!V-q&L|#zBeE&v zX~pitb^J5vD{slo*)Mmy2XQBLjo$&y*)MjJgPxY}cBpIX)zz(KE5bWLIQmi~tv=g5 z@^A=uLKjy%F4k48<%@MuwV`5NSgny*SN$Cjj?Rr-d6@ll_sTEaab4wi;xKe(q~u|? zOQiT=wsWLT2()B3s&2encdo{Wfo4Un1am*=uD%sDX1DB?)*8j4lOvmgxud!>Z^@0> z&AU5mjbhM@$mC$|u<p=XQA75l-PN^5(dh6<hhXlYZr5AJ5$K@q^S6YCY{70;?RX^m zXrwd<`cAjuE$>lw&2D<__-XXP$Z99*?B>Hgf@#%T-38OCH@X?qs=tQ`60*I*XfD*5 z&9oi{6VY!|Si+X8mWSb7sM5{k9$5;a$0nhKy{KB)El5GUwmDe>E~;J+yODtS+a|Dt z(zn^X$2uk3GpxXwI=Pw9BTGhjh9xH;Uf4J|QOj+tov0r-YZbG<s$S?Wkg8q`qd7y% zZ1kPDd>c(CDBniaiOaKrIZ-Dz1I6H1)r@XNGJ+Il?Mxltyn2T%Q%&m@OsO)v3#L@L zVWN0Mo6U$Lx7Y?&0?Je~!em71hs`V9f+R$X&15n7MKvi*K%|atmUdewA)0MEiopfd z*f0i>I=uOPw;&Pm$flwgoL3DCvnEmpH`j#`h)>^d?&!uPA_O*R#ci{yN5UjdPv35? z?=CotsIdttZktg(a3UNJoz=0sOzGFzbs6l}vAi65R<-CkEkgDF2~Rw9M#tv`-6^~8 zr-HoD1l6(=s3V@&jGfbE)nz9=^Qx+#;nadT8V5{JEj=OAr%vfOydX!Y-aaALr+(J4 ze!)0j-kD!zrr~wN^q%_n$>ZXRpAP5o&!}E`PIk<G`IEc)$z2_}%BojR<my8wbqrnr z5vuvmB@PIW6XCXqy(hx;pO*b(ok!`_QNJ7-r+VQ8**2Sc!rJz#(cb&8K{j8kgSs3l z)`49%66>hH0K!#sPpsHvKmBQCGk07^`Gq)4HS>hTCfntN*e2WggpNM6<R?_#c(=~n zB@Pm^g{tbjrCGd^wda_0jWeaa^Dk?MY|&3Z-gvBP`U$BX^n=dQbDn+n6DxB1c${kT zu^VfUPdW?F>Gs*epTw6#V^q(a$kl_6=!`v=*kw2TB)A+Jt$O-|Cs&^3akZ7<h_Kyz z!a<KasMGbFfrk$2Ja3h(WO-6rtR}yn<9|^}|26#B$|~fB&Y*VPfn$R9zSZ`F@3fyZ zSO*E=0Zzmx-4@9);{gdOnGLjXmF%Tec~oeR){1=4ZCpzg+b9cD$vq#8s)tkfxedIg ztUY^z=_BpNdxOI@?GJ@s?birQxHO=7;QQ=<(+7=Py0`W2=ojPL+J3)z+`#kY&p#Jk z4?c1%^h8<3rkRCrOXfGq?g!1tLplg{*@B-~m&YSjkDid~Lf`2$Jm>AsuK9_6dHl5M z!4uW1ZnN5l{}N2A+-fbDR=Ls2m{$4ym_VN8b&R&|Hlt1Zi?Istw<=6$%T>y?-T%U^ z!o^l;>1~TD#m6LTkV>nlbjqU2&10gq_D|Yd&$WG1xpu5T4)3zsmu}RjZTc7P2fWkD zIUVfNHaOSzRVA-gELFMG`afMnU*nWnnXa}^Xlwq(lB(pi(xoa{tz@YRx0N7OVYe2n zz{$tRYwe|0`sr;w+NyuiR<c}=5!N83R&zf%C03I^+9leuG>S|msg*vZ!afG9wSUlF zYZWiUTdn5KQNF08925Q1KB_&}N?V2ttzMm@EU3gCWBt=UtUb~yUV=AR)tsZut3(_l z|I<FG{i>C=lr^i}*=oH6e_)k)4xCdtc1*VF_D;L0Rq!2NYjyG*IID8#m|)fIjaE>j zNSL)hSX`gAFIZHc<sE!@lv8ODIK-LJ@^54bv-&M=#PAbTDuQ)JIZ`dRMnIU=qv(Bp zyvm(mUVYYUi|`nuc$FK$O(X3U7KdZ_XH>2<kejn!TDT8!Cbf(kf#$3i7UZG!atnu; zwq7mWXtp9W3c^(`1=H%Y+=CB~a3-{H(c@w*)o8w03l$wI)`CSFiM7-lfpC@F;FX72 zPc2pkIpbQ&jp8ts%wWmGESF&M!z|}uoe@Zh1uAB|TWc=Lh@rv?UK!?m&{}N}HD<L~ zNTZEnRg#07hB>2JGY#a%tY(YOXrmYvMsV^lXIN{fLDZ1-$f7#hC|V^v*kPD6sMXcL z7=;XKJ#Qd1WC<)-(c_USM}wt9kat=Q4ZKHLH5T;f@zW{?gR6yZvzre8A(&RaRaY>r ze4~yrt^E5TK|+?-AzF*u%qH3&3?{sPN8wSnT)F%ZuEkBdiTsBw1>UnG;V64ixv)-< z0)M^Z<WX=@`TC(73Glyn1RkaIZ8HDEIwi~VP{9+o$xVbmWXW*PL&*v77dsq;Zsj|y zg>D}=X&qsIRlZPHAXUD2i1q|hwnJaY;qTBCLijsWg&f`vn9yxv6L19ls+>{BNQRRR zSwC?b-?SRSmMN#z38s{pbp=z(+(V*xc-xMVW=`=A*ilfXoN-9@*zLonl{!HZyk*Da z5%7z0(jmcPx6w_~I_o5O^Nx-q;DU1OA;x33;Z5)B1c~rRJ1UNV^U7g|tRK4#ZmK&( zc-;PeQ%4;x5iZz~cBE}q`N$!Ou>I|(`nrO%@R}VVN7`nT4+Ml4LuNJY_EY*bckKuJ zH7)mto>eZoON&sxAK+OGnbGvAqB~{vZ7c8zO;9ciKovuznyyt6$E@yc3?Fu%rd<`! zG3(Vfy3hC-<*Rq;j#*vXJa0ihX>P5;MJQhh$h`xZ)HJ99B9!y*N*v%G0pYgry#e8O z+RL_C`%ro{)%S<SDPIU6+h$P%tZlR00tmKQlmMJ<7CFH44urRj;4|K%iK&u=DQ5?m z-*GG5hVx<fXfExe^k{zD7s^mhzDsb(A_fR-vP9bepYd4b^!*nIU%$HFJMjWz052&q zy<{00sT6WF)mu_7wuiTFo7+!`RZa;I-EJS%oV!c2hYPp8+E0m5jtgMjZXebhxhuAV zH*BlfPl;BJ2q52XAJlwxmu8nWtJ!(i+7A9;TjqW+O8Hp12Vu913uH#ke_K9b8g<P> z;M`te5`qD*Zheb7;Gr0qC%!>6Om+~xi4`|c=A2Qrg*;YL<`WYsKJ*JJ%|lA$d{EoS z1CldEChr~Fi%n1lV2?!Yo4YtZ)5Sv)4{JA(JGvPe8IWC)=43BFB9KNgbqkiU*h`#u zn!>xH-C4EU!uK0RDjyDLy2W{;dA~%w3VUl7#pK*IJIRDxQMz6tScQ4}R?A^;%qm>L z?@Cp^*5}-&H9SkLS7E(onXaH*snl1N<~F6_P)eRwy6r1YbNj4eUCQ{8`LnMe&Fzzh zsSEp?(pBGFIqapGyDRujDc_eR&-CzRq;bm4Y?%;6a1HI%&?)6fmClz4R$$M}2+VfA znGVy9Z-!&G^UP38H=dcPD=5~$mCB}+a(rcru%~94uKXSiRH<l6DYb+*rIb=)y#jOg z#ig|uo4rqQlV|{?vSrv`W^xzy7o}8RajM&xhOC6K40~)g;KE)|iuWx@bsN!;l*pD~ zjb`;O?0Ka~Us|f$kcPN~u>^Z)R_HRm3j5RSk_%-{DbyE8ZGWfnq(uB3R%aIFLYY<i z#aF~^f1^=TLVJ|?c8kC=v|ruU!l+-}`t=E=JiI3)WJ^d;`;7Wd3x1z^;PnC_Y+%bB z%eDliN^ioEcB#7ib(|0;-jZh77Ozz7EeU~CZi%v_#4FwO7KOBbQr~LPc1G!%cR@X@ zYs)@Mqds-h>$qlE=N4y6uut8<qAgBwE3`OV=~9unDRYlEJ%m%X#WbjWLS6GZD_kk3 zh#sz#RYVR~;uaCYmDoiEO)#=IIi$UGi@s%BkGkr0T2rR0Hz5R4x@GPcPRW+ZU)m+= zvYix$Qc@8;Oo{CcgtUK9Un>$f!dkb?Sx{n?QoKdM?W5{*MYKkkaLX$TN{mvRH!HY( zSbd~O+yHCXQe#1hR*LW@2e%KZzbc|NWX`I07FjpI9&E|90Hc(SdCP*_-l;bg2_C^} zx16*9Bb5$$3xeF<s0Aq&<TLksh!ZmRd597+y*&=Qa4Jm#oj8i5$Y(J#`%P{b@a3oq z4;>edRLw0PU}pB1BpdJ-QFlCe37M}=!VQcTQ8zrA{>z-h2K;ZRD|zIU%$FwaPMk?K z<9r|`^Mwi7slD99!Jw^IO&8BrgnWS%b;*O4km>Gm*qJk-hQp7G)l~6(u^I{=DprHx zjl^o|`G6Fa>#-7_`P5{^ku$EQoG+e2Wh$~tky?uUa`w=1hLk8WZoFG<PTxp|VtK6m zmyWA>qNL0gMTQ!EK_z=M5fw>0lSfX<Y&Pk{8!ez19?3+`u-Z_bC^7SqNj2VR9u@B4 zK;#Unb>%T!AcJbp^9YHV0uvT~d=_=oL+S*1r`C|iJDXW!LdTEKpbmOe>$=V24(A9W zP`9!RB2YK784;-8sRG+fFDec1HiM((Fq~lhMuix50;-&f!@Egw<Q$nJtj8z;!;VK4 zW(yo)uZ>P(z<AVk>J3}i-$sELN*~TV$J#N|lUktfHi;wT$Q)pv)MQ)O3nK?zw{jzE zUAK=oEi^k0bs@VT9CeXO(}$E9>FaX%Mw+@1zLBafhi3%Sb(_EeXfO_yk<D;`k*L=C zZsWLBRW<{amMsWFF|!N8P+Y3W2G(XYvYAtC1jB#~R0dV1=k@`&k}a@@wHQsJ!B|uh zRiNiKij!tr+ryfTI?!MYDwfL7a~sCJ&lcFh9vM}j!Dv(%)mqPO5LZVf=(WGcb!6l0 zU;?8wbXz3q2vwrn{uWoCU9cNgV-$jJJB>O(3SS3jv3Bd^e(bJwpdV|wPXCT9N~cXD z?~^>&!5OSi27Q&=XIQX7mm|wasC7_^b@k}uzEIacz(Ku?le{DwUqP0Tq-)?5)_k45 zh`dGWTmwI2Ei<gAkwv8HHFkv|ZG-*|`CB^S2e;FZydn9DEJ&A0k$EJBoV%Aqmvf&R z?py^wVl~$Zy;!Xb-U`&6<RFJqN#=5>8%akFrI1i^ZigYT3Qk}*)+91ydOCfD+iocT z0ghu=*62N0`5K`Ii^yP2AvvU`MJSPkS_386jdaN}x7AR#PXB^rk~;qZ$FK|O^kuHl zP`plGK%ODx{sWF+$I>NB+y+CzI(;5_n&kNpIE3v^r!R5;Gz`fgFL56j=B^XwkaW_@ zD*GK)m@fLxtu+i^C(I%blbTl9Z?N|h#X{&?eAE#8F8<^Yco!cs)HZ|JInV3E1SS;- zp#%6k3!(&MC6O@NCdIfX;e=2zK5ao1k1QriMnJ{22n*zR<V~Vzr0o-C>%8a;@*1(A z9@>T9w?OE_C{8IhLp$-#3qT*nU|tl5%u5u9BQGV2o49+3^bv&}+H|OG0;8G43P<K7 z(!-HiiR5r3H<1vIWG5ChLCHk&NLwjhe?ioPQB9&Xab1ao5wH|LH^?r*PY$+8FtRx^ z1DTXa4>LNDy+)ZJFpw<b$}sx_W;IdN$Zf$(=LxaMWMb1WdlWO1NN(gd<2&aGF-Qh6 zd6+$n8A=p2a3A5T=LylsaH7L7dl1v5a0Y>cnCFRv2Ce|lnwLZ(j}oOr;5$r1BJUBm z22Y=toJJlbRtqV!=)<vsX~eCVf@#E!7{)Z>cY8qs*UO&PLYYC+Vi`<mzh2=OOO7bF z$F)$TXmYG91=^#RFveO$6vhZrps)2#jsc5^>-IMipnvNHj*<J&=CRf(Tu=LgCzMGv zAy$?Q^|Vh;fWFXk5K_wZtc8@1XsuD!SHy*w0x9C6J?#ltrl&7t^Yt`^AYV^a$mZ$6 zgp>(1FbaG{WW+F%p(K0jCzNsYs>11wNQ)6nA($}*QwXlTC?49TH`2^5)`N`!GDL>G z>@np7dL>4X1Z~lq90k50lI#VKDWhm<jCB&US+8RhSU|+uGagfh(eGmfiO@%S6{EmB zBFx_UF=Y^4XHR(C_8#34gG+=8^wLH}vxp=15@Fk0bbU<0S!j)3$f#%ralj_L7@SqL zdrj_lyN^=iP(3kKW?;PPuGc`ns^x3?8&9<@`Z|YiQ7SiAcN5Mcs%;#K+0&|CVPq$6 z@8;ZYLg0&hqg^_zZb>|%#724toKiJ^O^-+1vgy17epa;%vyMO%*;L<QS8S%GAI~Yk z@4fHr_YL~;iazm*L-Mya`WeJ;zY-j|otw$sk~l=cud;ANo(;p6yVr(pt2pGp^A`9~ zRr58WS5+&F=KyuLaj=C_vyRf7pl&ugwor-<%9h))8Mp;bsBXNHFc9g#(jB<%o8>pb zan+Sq^d43DD?*PdB8(M=;Mg?TK#4Y}JD@~$<5!72w{^4ZH9Z!=wCTJJj;SvEO1I|< zH;Z4>V_<QNSk=kB^z1G|-h;RrwSiw;Z|H1>I>0hi$1KAn&!-)^4K2Tl?6{9EYx+SX zh3p~KzF%2(+=rWQyyitA-fb>;O^-qxw?W+o->bI%D%s7g+st@Pk3<C7blw8rs@DBV z-_5<RUEj+34!?brHx0jelr;^%epIju@wTd#L*8gtv;yDZRaVyLDAOvQ$E;T&z1o?r zfE-?GrI_MQsW==XPs49piPI>bRjiLOesF%a5~NW+shGB~zQM0r<;o#1wcT5RZ}5C8 zmYm~Z#Ykh9YuhqGMQEk>s^}c!N#W;@3RWP`v<b{MzP1jN!q>(z+j!b2CWWVM+6stO zaK~g*@Ej}IBIK#IW-G5p1$9g`1y4Q7n}VktwO)ZZTjA2$inZUTP$VkAG1)TYFKu}X z>kB;9N}Ng=Q;{8IEJGe^545lr;PF-ksgw~F$x+!7q*1%Rg*6Y4w4$X_hE&8y8B36d z+J!BWRmh*(ms-ek@K7rtwe6kClcVDAkUH(C7V<3o7b_97?Tt#!QQ9NU+f9NxdcU%5 zEuml8x>nMseDDxG0)FivPskbA#H*7e!0+xbKLSoG?>$5ia>Schb&_~^$qs1<IHhb} zOOJ=&+R+&Tepa?TWE}x7+EE?CuGmDYqo0BQc977_>D)xFlf=Ob4l3?u%iF=I=j`1< zujf49v@;0&sH|B_=vCG_#A|}M?{KJxP<NQuL)>=g)I%scQ1zUSO+XMhp}bKeVZhT5 z(wjK#o8-TM<H{>F^d4n-4WUOFaflTL=j>>D2qEr3g@6*}jf0X#PU|LFEj<>_+|d~f zjwvr3q&ISeo5Z#B82FhTxxwIw^4LL11E*n=pq3sDKfS{<7#vdWK1gri{JAOQ5V?W# zU{h`_Aqr04u@c07rz|`udc>*S6kbb+gdg6~6vTd`eBVdRguK;=a$?`rIOzo5)d+EF zn^D?X%<EGM^etdQ1~l%Jh~%(JGlEN-RLR{J$ApMA(n>^&uwpZbGgzq+RYG2b-82(9 zw|!FDS}ghoyJl990O``$S3>AhGWEr!Ksq&?OMpHlgJRKFSe}nq3cKVZPUh?}qdT+9 zG)$e^CX_UNSyEVz4_yk&@*zuMTpxlI#`Y;lhLFw3&TXX{`X!<sB~@QqGRM`7;0%^( z%sH}4G$tL}BucV7WEm{Uhdu>kn*q*kAC%U7#7U4=jk#j-7g&m!h}br&H0MK0f(SKU z6_XcWab_%H+pyAzk2n$1pixsyo`*%4k%?`CO0RrqiJV!bP9N(;$ODbcVqgw-%uMD) zd8gFmBRC7G)i_xU%)$<t37jZz8eL~&nfptpe_F3RcFz0Q^a0X$zklWX|C0ZlA>Tui zQ~q6a`D@X!v@f>xbC~PrV;dEXYhBmng`^+99sOov_|4F;kHmbDB)?qJYrjzzmbRx< zs(tLM+B)A}o>d~oGAV{q4MVZXMky(c6uXl3VM?jA=Szx9=@iniM`fe9agmK($@sE- zu4g&*kL8s7<)ql<v%bsmR?BhN<=8Jv(LGC%e=J4hFNMV}oys`(r(x;?Y^va2<{w{} zbsw0uJ<OWFn7{v_OPNI-_7FtCZtX3IfZf>3h=BcWBCzFnnb7c*85GTf;RNYdE6igh zz{*W<c#0H7_K-P3dejo~Sn;sJy#hzbYqgVkKs@Za$qie`-)ez*<UW+ShqWWe)1*M3 zGKnI1$Q&S^Cdsyt7itc=lyWs|UCKw4)@4>4?84rHaM(o?nm$;jrmxH9t7+<jd^J^F zHct(vOPN3cmw`A~#$JX4gk)l^PZ>w8UScs|X?q1>Fy`KZFc{ZFWCLka8`;b*R)ggM z3|NMVOpo#bwX#=W4{1@GybQ#`l1v18lu?v)ueCj-S*_zT5Ce-fVdznYQSbK(>>!WS zDlP-jurL#AJ<1@e&V-=X_8!%-7iR|%sHI&NMZ%7lNOarYqU!e+?1t2+g<KY$h8<9- zF<=&HcaGeT+*SMW1rBx#X?;%8hdfB4PeZS{@>bacSYEnB4!vt=z79+y_bRs7*<vg! zU9t!*F_f+WQ%Li3^hM|`!_GC}Gt!b|Jq<0|v5ix%d<E~7JJ;z~iOknWmej*29In#9 z)d6-@WeLvo=i?P#1O061HNyfq*oEDfPUu6Ll5ju3PONh}(1$cQC;AG_a}`UWmt4gw z>^+9`HC7qcbX7Eg)FiQ_&>UB~6q@BqmO{A)-6oOOpd4466nfqekb@oAwI8B!<XW0Y z2F)^*E`sgF=F=3&`GW0xuSk=b7yNgbqA*5|%FZrEgZv6*P8IAxn&Qtr6=@U>@W|d< zw1T>N{IiR6L%JUH_~#V0hipG{??t7q#aUtDv_IebvgI}Nv-e(B+FrjO;&pagPvxp? z=c&elF@Lr<7<8X;kLW%4OIM%&wIVl*Yav}{?)_bfx_+i!bIa4pjh(wAwslmRTI^{q z<h|*s{8r>&ugR~J7fl4u#BTE!SK3{_AFRx{_o`C&`tgt%OrL*&_xY4__{x-lNx$E` zU*fk!+<Q=Nny*}6IOvyE<R83!-rPOf!^Np9?p|Z1q{uD!!b0`ya)<n~`hh{eYu-n$ z8xt>_*;ZHiIgjJ4+~>#1+m%o_;dj$xPtb+=>KB!wyfgKMZ~U14aUSTP)AQzT-q-MF zBepe``{(<+-0$-%$_sj(P<Xf84*!f9IO~7F`-nx>qrkWRK^|M2yn4&Zb@PuW1eTZY z%)gZ|@X7B+{8^7d{MjVUF~1a#dHl1azz=@uERVD425@Ge)UV9ra*@F)=D@UHwa2KV zm(1MR18s0^%G||c-f_F-SM$blRQ^o7Ca+v8{|Zt0t9es<`I`Qz<ig@|NRBT4LPB*v z-xi;BHt;>I+AqxG)HY03?wRU+=Fj=IITJR8<Ft%yFWbU0J}w86p!v@4h{pr`8#~Qe zS}pa6)Anfd-txM<U3%O5{SH!VF{h@@>-qcgx9fR*H-At*kQb!4{cN=t^(^MmHl=>r z?QCQH`(j#7c98z*URrwgOnl)xzY|nP%vpy49v_9yattizTjuOa7<flJ@4-fI3a@_7 zH$XoNulA(=jM;)ZQ{BZkr^I14IR#GAvZ&`UpBxLzOWiWIub-Ej^_RN)W<b`JzE|xb z?cV5+R_)CrxvWlqHR~)j&bYF+t<U%Bxon93c1AUkIv*`sjE7#<qt~<dQoo{4O-+k^ zvw3f`{ddT;l0Zi%=#4OKa=>HJ5d6KRI1#k>@J&y5TAS|i%{@<oul_9d<tnddO3j{? zYGruIua=eSkTN*7frGSPsm16lyTBn|Iw@=2bnjCC_o_c1mWs36_3p=3|HWU+?$g`; z1(I(mNoMz|<Q^r+?|m`*t8^nBvbIfTMkI~?_?aQAawbjxh`^5fW|1~y4%lms!ckVD zFeukjFa;?vji&#`zK=e<$@&R-9KIUG6C+UQv-X9fW$5H+UL-UG(O^kuvinpDV#txu zU-U#matZ&NYF3ze8y}I=cY?48xs*NB-^BLNV~mInu)Vbpx3DTz1V?$h``}xx$SLej z^raYb0+g>UPGIlX?o0spWvd?{h~es+HhT^OAK^HAR-zPAphr#sDcZ>+!>kE}+)g01 zZO|C>c~{((=yjF@YYsSRy7#iragAzQ&|Bm1Gh6n)+gn9W?6<|%yOV}S&iDD;A)Z~B zR1yYAr9KtJYYQiZ{nI|x#GCU84H`o}5yX4*^$i-sKGDSHd3l4zh)*2x<-BcU|D;a> z@y-0n#{N;CLgLRu_s*F8T`aRS;|cr6eA0;D=O-KcKlreS@P(6MRYaoFLS|T1Tk*Gj z<k!=YW`g4O#MOry6ENc>NPWPB5BvRAK|?@!vHtvIQ-68!x5U2TZNK%8`=lrCst@27 zqa3FPBYJ!|ceEVQL+5*7Gj{@$?$-<ZD~qk?3nR?-6QfVJkl(z43oHxHg0(79M_7N) zLXL%MB%3<1dzA`&cu|mJSh1Y7PeUfWMSc&f*-HD)+OHvHDpWyT*p02c-Czy)JJfPN zAsP~*LD0KR)|!TRX)~IEe)t_rVE2J*XTTy$3!ope%Yxhwu{+1=K`uFq&VaYDE6RG0 zEeZXq`=h}^Cz3X>T?o8_GPM{hY-dBAHJ}8GN|$sa=h6r=D9do=AJzxts;g+3-GY^# zBYc4-8#evJ8b!{yl9$=d*v@l=1t`NX`5)FWa>!M*#D0XWK1Y~`h8sHk!x}_(xiZ#) zLF98+!V+76Wu24Evb4+g>`{?E1fDCJ&if7?IP?ov90ZI*8w^C>S#@w(3hN!jjwJpL z)?uU0k!PX57>ZU!Z;&;vv`318uEsk$$gAJ~rj{gZ+dl6j>h&y276vc+23%DUY{$C* z)6*agTM#r26k!DS&wmGMRjPwTZy<uERg5e}(aew`3rP%^%v5>52n}Hs!$)2|e8j5z z1J1G_L`8wW<Re+m<dYRp+QDB9$XEM72dr3$$)YvGUs<p)toxPTH|@}@vp_9Uad4mL z9sG~79@YO;C)(5j<sA3k^pUWzvU0mcr7&>DzuLRl@{}-e+P^|Ur7glhsXx#AoaLwH zz$yPb-hM%+<F~!8+;zP@WaiAhpS^#vJkVTNQE7htR><}~|0~{mEaA-qAN>o8nDvDp z{qu@W*K3w5z!Oxj`O*JEkyrh|g#QI^qo5hFf`!}v;}pVTOZYtnA1&eG3W{y)Jlj-Q zTB&+{d&vDB|4i?%znt#zXBKrm9FX`Y7paHLbo(b3DTgR<_E+Uv(M<4#*n2Gs)O7_b z$KHEfIahQ)_(IIR=E}*U3&F}U3Ve+eoesVbeeY4_K#^Cla`e52m9L7n2hRvK2mMcY zpSRf5p!wean0JcBn@5`O{DZwCEUrD$eCvP6`xlD?X9H*bYCNKyy!!oa=CvmbRF)si z|1%-5vOF-~%SHKnb$_{Huy?s2ukI~(&sTO)mRI*EOzAVu7Z%OEJfaOMQvyHx-S9YK zuzTA4@AB>WR}wTo`Cawc<K*?Ny0e^+&-{;_`FUrYyL$aD=P8i)(eGm3ac8eyzw>!P z&R$>5-97M5U1GniJmZ7`UO5cENm|`e4&bwr2TIE^`QPFT$NjQAzB^u!nY$`r=hfqv zlBese+~dblfUv9EkL>{(d`~L;;CIgBEB;YZ;eY%bSAeu|)bFfEFMfAY;fP<HM;rco zV&Sk~v;u685(|g?B0Tu`vxy2JaLVIIzA^FioC0O-c@W~vtoa}1!n_Hm)3fHa<$vb2 zJI&0P|6YDS`!xQ-+3Nk&2;J@dv>Vw%+kqKcQTBb?!Wr5<Dj##!sc@QBN&OA8+o@1W z<5Ss~?~a92v|?%;W=}-*YXxPy^p)eQ|K@K+pK=WRM7xq*rhod({~&LZqvj+npGwi4 z>80gS9d+;b(k^A6{tup)sM~b6_tGv>6|@eorcyWSD!`hVt!rDz<3rHb95i_fUa#5= zj4Lp^OaDHj+Lfw?c@|dfLY>~cy@$qCm`c3<BjMoY?F@4fe<S;w0#W9z{H6a86>I*4 zzmWZ>-u)PJA^&ss6}{~-=1u&u>@#}zqs<%m3Qp=Nz+cbr&fcYWKdO3`c9MD-y~R!; zAn4R{=uf)?-_b&-(P)JQ=`HOr^$7aZ?!Y&`wWJ3d_0wkiNvBqo`hBmb2d%&C^Szet zzrO9e*+8jJ#;)~ya<jhDy&3B3+vH~AQrC=cYxfq-x=YR1>wjGR?0b_Gx{>gszoK+! z#{IRQ`+N&Y`!_VF&0Z?#&RJ7n-cv#QmtW01NV`|h_xfHYS*)J_YPN^8Wi{ff0@S$m z1gRO7v}yIc0^aHB@&I0`dIm(UG2xq`Ap6T6-)z#Rje#k%_R^KL`YAIP(x)F0GBanA z(ni9Rg3Ry@*h>Eg-*nRV^^WEKF<&O>(|YD||EO;w>CL+Pa{q|$8Pc<L*mD1{Zw%?t zddE`#knd?y<$C5)|Df-$q-*O5>*wdH9+Y;ZEB~W3SM^8flk}O@^Rrd8rS<7ut4gy~ zzn9je-yb5+A`aUNBA~bI3L>C4>=+Ty-}MCbY%e|9D0v1!vu6mwesp0BD*;-rhZ`kJ z5oCK=GuVSph+)M;3+)8W;A`~B7$6>cUGGLc_%}K*hTMlRx3_L)d+HU8kS7rYds!3c zsh3<2zCb$+k;~E6L*$PLt!P#p^nzVMIP{_(Z3HMo>kqN`Xw4yjk5(OG@zAg#@&p2i z2I8O@c8n&Fq-Q-s9!IQ3u^7-aJ3$zfX;+{SD0-rYU>ka5kX4L^#Q+RwhMsJg`~k6I zCujs)(38<XEHp_^FiajrNbRf}!De(vG!O%g)ng2khY|1X1P$OLbVW1}4Gq(?9wrYW z>huW1qW6dnJ6r=OK&M5EBB4k0BtxRNh<dw%M_>&)BwBPDdO$n81(=1~9U=F_cO6mC z)A9)YJEX{xHVwJ2?b!m%z<sR#R}meh%OPdjs1`s9ceRqFu)9?lM_GMvJ1bra`;`j) zsN@^uswF*z-KF9w1U@O$5N;ZBMLYKiFbOxX0;c~*wt*hn;R)bg?eHg}G8OBi<X*V? z5&Boi1#NNyo2qS{z;@FnB(N#kxCAy?+w%#)Qz0Cc^uRGzk|{{Gw)qossS55Ws|UUm zLhgZo3!%#($(Dp<Hc?v;&lafwM<rh%>DtoAzz6t}B`=BnL`8B$vH(fcu6_)R!arLQ zlGsfuq9c-dNQ`#)V_+Em){>XVu2<n5k<3AU)i!@D`VKj%t@D`m9^P&VB(ncdNj}1x zg@kCo7qZ^M|FmSCW&f^n_z3TG)!X93NkjEl?-uWx&y1+@Ce|*TjHvP=-diXXYRvdl z5pOO83N@yE%8BO}G=&;cpHgDV!a%deluu#e(8$m6Rj-PzlY}D?eLh9R0}G}RW?jWw zlP=U>o%H#QNLi3KX;c&&D1_D3@?zcjj_|7I#ai<nO;^i`)#vM*u1@&mCOXw$<rgc@ zyN6d%h)P4-#6IVV;E+;W6^V#nm<%&}TCAKj^H5{lCoOU4;nkAjZ*#Uy8YRWBB;muW z#l?^$$jEf8*<Z!0i4(&Sv1Tm_`PM!>9b@*mcqXxII3mWZxp*RxGdvw_)>u50czie_ z+U!wrU!vRabd=dcg-8`HxHt5__c>0?nuj&?zw<dtJUid<sQ;}`5b@M}=A-^MJ_m^h z_Vdm{YBb*4g8MZ(ZNYsSO}6YeN{4TeE0wB!2xlSH8V<#*X_%K8`9H;%>qAI@@HAHL zu%=*6W+F$>OXK|=)@Rr*GnOO!Z>4>=Sf60q%?J^YLX8`D$Q4SSK7=!n0*&N5K!uX? zE!Ibv{(fFBOlLnY4svm;$N}7=;c3f$rey8|^ujRvMPiupewG-9*iRP2Ao~e%5Y|?f z1DmAbaEDc@q~pV5K+bI?JAkel?`=RAjZPbOo08-vO9ETiC+dbl%m4@WUrH<cd9jc* zjrX@%W3X>qS@!J5O7HjcVju|`H*T{=U=v#bdv>Ez(|%qwBueA(ZPpO1Z!61={ZQ$~ zeubKSr&O??9tAnBfw~R6hqZ2%>}J;~G4|6VAwe3Qw}7{>x~=rx?EA=iSJrp%wgGP% zylKFi2Co|kRsnBpwH$bZtZ)UsgH>4TbL45Lr=j&K(2LA;RjewMVr6OMDX4=Xc^bTp z6{nFuL#+)NKUhCw1!?3@P*WGyH}EPpR}Q>Hy1N43z<exA&ho%A(pcq4TPC0guJm50 zjv-G9o;MJz0MC#FrihQ!VUqbs98<(YqL^eJ($p0YLve<(DKG~sTLhjWHC=f<P?Vu) z3QRTNO@S!})+>NB7MCU}M!ruWOQ3+EY#I0qDR*Ig0aLN!RPq>9X24hm9wP@7M+Lxm zY(Xk{L}AUBEdh<ldKcC_7>T8&l82yT1I7~Y5LxIVSq1(?UUDJNfuUF+RrC(}#6bKV zs6$4%kY~YPup*}D4YbC9_DGSN0!w;7#MXk)53#n8^g#}4(<2mhjd()V0Gy|&=MLUg zF+ZXhgZ63@ge)<fWhsdVOH`yGz!b#Xf*udvQt1o<K0_?Ets}r9mFf^y1)OF{KLh@z zMQCPq!pWABIIuuV77pgAFzQ)*Rp|As=kT3Dz(<It1)&$BrOj&s+*KUv0ji35J>aII zQx8y7Q1z@1I1mI(KsI(t7+|^<y@}Nhm;VBcLsoXudm!?igdPY&n-vCfRGJ<FL={vB zAc1UXNg7$LaG3=?7G$b)1_NV|1ueS5y9O6q&||<e3KJPH0vXeiG_V@r0)>kUJgwpx z3=BcKwdf73Kj9(T<ObFQc&<XxgLIXZAjNz_s3m&Ds)dJJ5F)|DDosJGH<0@nF%x(T zjdEh$g`RW*?m|PHL^I${Jg*N7#1=4t0q7kAksPQ*5?n-5&>f3oDtbT7K(q)HBPGs2 zB{a%_ya?PxikwBCz^(tyK&~MR5`Zq~J_AA@Xo|(90G&{01E3Eyz>B^Dc^I)2xP%cW zv-TkA&a5)1sgq~|)Wou+Kn{j31+p+?DZs@LqyQUJkPMKKWM@$+RNp|<1FB+a$t+hS z!5Jup&N;G5pp%Xw2`JMi%YY;deF|VB0cX(%a1A3)0$QPSc=8t@1t}tmM!`7@EeQ}p zU*X9MK%8RlQ#1^YV8n?)1GENDo(Ce3WTI#ge1)MUvSz_fjCCUL0Gf#h=73{JnG^XP z*n|-%<~q=mcwiPdgcLZD-vB{KkrQh_RBX%I2Nl_}yrGBjtV%FYmo)?UBUw(Ye((l{ zmjF~ib?}O&xgh~3MRBWS3@;wI1LfJWUW4HnLOgH-+N3Y401sn$XMigRvLovy=&s9} z1dNe@BkKi7))ke54j54{po?ZH0#XnTT!PYUS?<uo`m6~6hn9!|RWwfwpwM(N07DbR zfI1Qg2Xdh+Hms-M%4XI$po|oU0hv&V4a)^8wqZF#b@YJ}5QUL+19Peb2Ec-@=&?Qk zs|b-js|A!Q_EUjmXp<gm6qr${B33ili6;D~LX!1Z!@v+iWXF00R-*~gKseMvk2MH% zAsBdI5O|It*s%m43oVHRjzXonz&oG;!Q0KM0qJPTY2YBVni+iTZBqa5)34>nnN}^o z|54f4_xtMI*6J_Qk*h_m6<?$~R<m1qU#4b%B(~nMs!UpZlV)@5)$+Guc~I-MKPs+& zD^4?Mxe`06^24>YV9eu>kF()Muo8!;p}kh+jbD$*ceP#^bN@2&`-(9W+mb!zX2nku z?~tP9TU%L2JC=J=S5{NdAKDvxyjQ-ZEI(n|^|+0-Y4_|{8B3Xe!nTt9v%K1J&g#SC z<(ZZgtFhGOp_a2&qr~N|mN=`Cf0l$T(N@Ecm+rSjSPi8v-D)}Y<@=V@7YFCiscmC_ zyqle8HjmXFntjcDF!uYqnOb3YLdnBBA62L9A2+{_I{NTd|E141x0_#`+zTPZy*iGu zx$)QBC(HPhzk|AW75x$QX?s9Qmw(=_!qU0Ptu`qyy?l0E$&Z>e)=24e!|W=^nEPn3 znEb-2`=`9vsE@k+$<KG?{dDQr+=SM{<Y(J`e!AcrHKBg>#*ErUhYmu?>QjRS>m2)< zan%zm?OJu#S!O%OQPh<-OpG;GyG8;daj-kj4$w%^5(sg>1XOVl0b2g2Jo5CM6{d2x zNsntr_5~8UtiJr80|Zv#_R!m95Ez0?;Ga^_vkmTxqHvASh@Xzf&>h(AFlE)#PZEA` zK3~4M%hCGM`zxQ7i*}r@lZsfsUc9yYQ~{kq{e1C;mCteWf(tcWw|5FmJlf(l+sa2A zFBF%<4B#^J43DowuMd7Jd4DEpwv<oe;a|*?JRT6eM*XCDyOK1U%RBJ!1@l;sIHK3E z-}}5j6Ez=|SK#6E=3yQ`5xoZe>O72yUGM!m^3)SG1?6e@XS3!<JjR{6-ul(&T{){+ zQyzkUHe-H(8i7}yrP*1W6a}zpMrX(F?=Vg0h3k6V<<oV$D)}{-XMMEo=sjo6?@=lE zu4&r79DgUxKK>=lo&<9~6~uQ<(VTPo95uW7G~KSxG~1jjj+(FdA(&Hrw4FKrj+#GH z`TAXxG-LFhGv>ch+4@}{X$17AUYdT+M7a6o>~=+<{$i{7oNun{RmR_m*%N1;n|&tS zJUiPz+&nXTSGYMRTRq(TJQcLn?BK6$?i#19sXk+vXHlnZG~4;I&0QsY>1MAIzA6UZ zLxbg<2{TX3R(H@8@z>DLV$IJ{$Mw3#X!7hU_L@)lBj{%_=4YvVy{-}3WVX7!W)uGj z`dPGjG&NMOYlt?GeZ@|*o_`1ZEXw?p%DpS5=YNCy0;c?s95=hd2mD<0mMC*N^@r|- zcQj#k`|iS8emHtdr1@cLv+jjAwEO9Os~T@hqnuv4<<^X&wNuKb&2Ij>@1ySU%5#VA z2YE@aJ{tV9@`8-{R!~Y`eOXyrv(eG#yM5jLyV*SwW&P!}@4gKGRgJ#VOB?QTGd>Ai ze>vsroYD6~qq~&0`tq}{ZN`-!8m~%2EN`@-6Rla$of1&veT2~goHH0sjgw5{sWF7{ zHuo7NFwnHi^O^f4ma5TBxyIk6fgA39zUCRbeq8k={kHb=q%R@k+lq!KDMhaFqSRsa z=W>N}^XHGgTI-o#%`T*0k(ym3`K>9HmFlma=a*`(D)CEISI_fGVXHq+_yX&&uVxv0 zk0(o!@2l(&C3(PJs&Da5S6`fznWd*6U%A>|D*vH0?z^&fvd34xX4>P6$ly$waY)UJ z8bp%Pnv%qKBYk4|YHO)%{p1%jCh6rrN@KnY>BpC^3QNW7Cl}1lkk0?3G~zp!KCyJQ zAq$u6e<Hi#-tPMUL)3T1v)zC1D~i|>drM-pYNtkw5)p}6rORrK7Pa?oYl|J~R(sP$ zt%}{++=_%6wP(d%F{{1*`9AsmpIook`$?YUywCZ(&pFq1W&E)CF|I&l2Y2ftb)sqM z|4^A<NgdH>uYnp1Km9@OO1j+9`91j4^!v+ury1L(11}X%LA8a^e`t3kpWHdT#7vns zy{tO{RTVn^q1~2zc&GLfGht#G*wvC@tWIpmFjDVq$S_oYJdZ8Ux0u0hncNGEY{?kU zf4f)aCt0S>H;*NmASgrIjM4m{y)s|PJoVy+jNyFeJ*cnbTlMC-!Ls~Edu2Y7=>dr5 zjKO^540g?g(gtqM=+D=l87$3L-RmKm@b5-bAh6C^GD+R6Ap@!Ycn-T_!oIskFk#*; zBbYGkIucB1ccBCmU?8=#WW4%CeMWcw`A_V!31i@xlVr5|a(#xTI<Y=OL!EC9P>|2C zw>Dz3vjg>_43RHpv5O{`0bTfv_I%PV)KfA@y?GWpZ?YADz-Kh)59~tsMD$+PtVkW{ zc7kXm4MMavF~v_0)|QZ&;^;6bor5=2m^VKs#>$P#E8o9;lbOM<CQEnIk3pf9z$T&@ zot>LkP-L<caMTFG=Qqfd{W}hNZ6fKW{&*HUV=@*H*_ctE|8}>`O>!zfZP(FF(q0`f z3z#<P2w3@&QJwF#>*y+JsXjOZm^7&lu>X?rA-7>6`a~kvqhwPe+aq#QBFm#L1Z1f4 z-VZd9Ted)ZB2lTL(2m(OMtdrTfQY%#3$$d3LY2cd%!aY5Ct_0~SB2Py`DHBc=@XoR zQK@aitQ$k;qmLvqRIq-a!Cd739w4bI5q=r^D&B3_(p*>zfC3ASL}Omh5|RYgqb>;4 zn=9HfSe(n-f+@~rZy7AgWoW?^<w6%|3C8T6M;j7xDu=$H?p)4=vQc9O&#n!LP>+%g ziC_=KAdrR%N84b2?sPL|$(YLXC=m2DmpmW6FA=IjY{e`X#8MSS>!MSrO-+ptJbVH{ zt+_wuqxU3yRdBO$5t7WtD<0H=8Tj0$`LbOJH<ib&*csz7kH~<G`rNnkWjhj6xoPu` zI}-LPfd4(9Iy_eVGpcjF<{h^sEL8?u0F%bm9`^njAF>-pqWvXupO?5uWIvB|k;r;p z2L~A{y@!D&vdczj{Us`u6!I~fMrbERIEa`XJwodzQK)p7huJVvbwapE<SG&KFu#oC zoqW_XFiN#~m~|uQaI}v^h7uMA8q7wH(E3QEDn-CD^p(8xu%+3s8~_DI9EnD}P9@F~ z*ynYspx$iJoWbI3-W*JEHha!sQ8q&krYIXaLQ61WcRF&Ch*LUL0(EC|j+BiWF*tQO zNrXNxagqpruBZyqP~ylN%+H?A#w;08IUT8hzGjn$qdg@;m58~R1*3!KJ}RKr>>tC? z9umGvxLnK}MO5`j8HCSn7>;(Aa8)wP#mpEHp8F_+>a*Vrufaie*-2`4VC9UOj32|a zZW2$F4s$S5MorJ_ZiA|_9fxUMB_1l(!U2nzOOqp&jGG#gd|{Yv!$+odo6t{^F5!a} zna)*?uHx3xn<3bW%%FVe5j6d+<e&!j7e+bD@iLxdC?8I1aR^Bi!StMS=!<wq`=uMm zH8-R;w`5LNt$B!tNpD(VMV1VxO?~{+w=s<-UH-tDjOgV2=pAUWB!}i834=C%X#dtp zJVyGW9@vvf1-8P@89sPozbT$+TXLEHBde%mjVxXvcOx9IX^46PzDy@%z3x~$7B7(7 z3<GQ!O0_#4i|5D<h5>#V%05xt6#qx=eHgYZ>u!hRkvPs4e33qorQNZ1D4u3}L=sPt z^CqXG<m|}leOb3c0IP<a?NFj2_mh%yAW}|^4AhmoK?Wk^_{cyVIRH7mCyOcsuwwYP zZS6q(rLFyWdRG=X7_e-3-sU)JNN$6U8qz(9+z^kHYd!|5%K^dwONM`KSI*KqvJToE z_r*ix215Z0hI_X5XX!0jgm%Y0aUVHsC}7TT!FJ^|y)mn%-Emj^g&ZanFk?7kYk!(v zmu39~ahhJ672gis5q~Oo5rUmEY_aV+Nw3OsZijA*Kay(>!A=-{crexioXm8yr2H+8 zmVk;(Ys<kcgIiT)V+Ixv(_4T)GV`i?{KU(p#cT#i2FQoN79b%rth&cnG{FEqK?g(w zHneGf&b_&7Irz%}_AtE}_&w9Q+HuU_*28<vK#X*;_28NTxC-ebj+2fMbc{dj7Z*>J zj)0}LWNO@7X#(mS@K;6SK$V~SGH>1MQcXjP2ELIpz&VR28gOQcQd46QgT<M=_wJzq zV(lOUx+)~WfVv9mE&lpJWK;SbX*FwXVJ2^NnU{F@14I*0OZsO$P*ZxaKD{$@=`nW6 zVDD4UhynFO>ZbIsndenyo|HKHX@y-dIC>C?Pj97!R+)#mpL8}QR18)gP~+3_nN3w? z?&5CJkFBsX24fE*8`JAE-&U2miBDywRXMte+e-ti0MiB?4_3aUS7&<tFQ_f02Q2}U z2GtMjzodW2Xefw2f##Z&Y(le5A~&H~CUqe|L&^7kz=@2q0@@R3rKEx;W)p)pRSW?V zGolM<$<RW{Lk-LZM%5It3C)!xYG8h0<V}5o(=n2@8kltqG(Y+Xnjwkx0}f^&3uup^ zsge<X>H3o18raed7#u)>0!Jc-*R+HL#hTOw0edq<;e*8)yl_l$20MJPD1!lxDawEr z&=N50rbiplILSj_V0Q**LD?vV!L(}w8fsFq0Sz`$3<7FMa%c?ZXH2VMmM~PNM}fev z8RY!veQ2m8Q5~~@IWX}F1h!`U$dCSCb;hY<<}gbpM*%>5Mnit|F4R@hj56895KMdm zfb|(~^4CIubs0(d$Q`Ji<TdrdDNLKmm_M*O!z~}V4Sg)x2_Kxmd@{LrJAD#Ylj1mz zh9yJC(elY_W9SF^_Aby&R0%x&2d*e(%@0}uxgiADM5FY<@N@$1b;_DAv;eXx2-rYN zB|G{;b0C9)fL~}?eMJ}OKalr=*fQMR6h|K@4h2?AAHZp+ta(GzP)E+t6o@x09R;z2 zrT5`(@dH-ToXJoknp?j_6^MkW!GOAu8!#XO!UqHDKmf4x9vl@vU<Lg*Y0V4z5@oNN z-i0Ic0hZC{NsgmvauReDO{X8}1dW6=D*@FZ071YK`Y&olCA|Z8knHFQ4S@^_02a`D zD0`Lk791hj(F5uO!3qH8&<m&)<@82eO|qjq^aTVX0GL6KpzM{?>u}ck2<7xzTzoRr z4f+&v!H=Cnx1hRir&r;elcBEAN04TI>;(FQ*4QP-WUAX`d_}6`B|}B3_2s~p{;lw` zF@1|W>6aWoQuAK+kRjzFVt)om`p7%LOAbP6*vp<{NWRGO6+?Nd+e_pzBwM8OYG7So zBE07ak|}~CbNriX^b$Iz54{7t;222Ncu6~^FC5-;2uaZzBSDh1#?EniB6e5tC8^NM zffaquJCP(voR&Qa5~GD6L87$4BuIo-`Z<TTh|bkOVXENEo>6_~JNM3US|Vas427vX z7x4wDYZn7c`Uhdi14y8j{RSjL<l5E1qW+cE*cnGh>P|Rv9}+Cm`Fmhqe@E-y8AnU% z_i*GM#9Jid_rR?FoYvSWM`P-zaO5t;MMUTKz)$_}TK7(I+xi1qil-d4snOxIJCG+L zhnMIn{U)ut6OO7>$8g$h$U~9ZOY{WFQl+Z}XDmQ$z!?d2HsA~e9?!>@CtJ+KZ=vp~ zM7H3@lizxj`9aDA_~zqDD1-`i3vM(y$fL{`k|$8yfE!MB_JI0A-U>9&4U{E6@+k9x zq$?wuaf8XonfNsnn6iC_>rd9687NIw_2?m@_}!x^pi<`yNfIz?z##=5&&98x*xlC% zC}#IE0*b-ik$|FghZ0ag6>4Wlyud|0t~>esXZ$jXQDw{t5-qS?kJA(&*5fn;_~sZ2 zk{LYKMo>F$P%p@9fs5JrMbxEo7arH1Omc^MLV^UEXXEElTgnJLt~q(Y9qK{ZfeW6E zpGD0mcQxX^B)@Zqx<i}=)Mn#<qW)F(nP>Qk>Qe^)FA86~uem~O1vY0GrcjN_C0}r# zlApS-y?{Irc;ABF)_WvZw+YUXP2U8+mG#*Kf8?q4!x`|HwWGK6?#lUuaEvFuv5h8! zOL;ij(Ih=>xual?(L_Jn=wt93*}7nk;Y3GU+GB7QPqrV&P@;t`a!gN3PB9pV=E1e0 z*Yrf?j)FMQJi&e({fVkA=+Z>_7WAqfw@vgRI6*d@1Wx2JYhx%$6l{qvPULK1C{AQ< zi7!f|Z9%W-QQ6QQf?vt{1aaKqQD{Ri>s?w$AArMT>o&k4vgsS(D4tGVj?Ton=J@<X zT3gx!@GG9fR`jCYxolk^M|<L$4edTSkf*j4J+DWSRSe{4PVBLv-2;2_c(<Zw^`>R( z0yw@Tmf6tmf}MC2TG2oCs(Hj(2d4FUWPt%3HHl$1J=<U#p5>N-NxcTybbpRdiPknf zTj2X3mwbk8q_Qz`9I0qbJC2k!cJv4TBXQ3K`~if?XV^lTNZP}3W7sqkM?Y{02$0V} zLTX8_sNqJiUMA6FNM*?qHQaaXQ<F6xaE64v8tz{#I)`B$DIp1T0jGnoc?@evVM%Hi zaH_<LDu+JE1;&8_x#SI$VC7AaL?qDI(Hooq(t+W0K?*P&0we~*>3}$3IBgInkD&-F zYO*$pWR+ZT0>^@G<e>|(>?YBp$WtTaDDue2(F+_T0anGSgKCv<->_6BYo6ejpv_!{ zMdYbOi3+X_yJWoP0S*AY&t;fL{*nNz;F_@CjMv=39w3)ohFRpKM2Rx40b69e<_3Na zx{*8J4|W9c<;G7VyCkTUai6h4#$~QxYtYY}_(^1)MC5JUN9-fxvMsSHHoNeFia6&G zbVc0Lkb&~JPg*@=x;HhECt~l}bixNVb&W*sU9ykGC21kaV#RFN!Ur~VHAKcP*hk{- zh7A1Dg^8qJuz!!U)^Z%vy(Mz*f*r$F95%3~3)Vy)iQ(8H!Uk4#MK#xmx`HArB(Y@S zE()+cCbRd&Nrj+G;%;dn55?kzeMn-l!uLpG(ZY%(u}EPcNes(&jm+K?cNILatb3)= zb08MOwt39n6?YIkP!LB7Mi;~}YtfGC(u(+Ohy@4(&)L7lU1|30i-of-hYl?0l7-XH z*xTafG<){M{Mm{r!bG}j!oV~3rnolEo?S6_HoMS)8QmYk>8I=sae10OJ7Uk+nzf>L z#2nZ-LebN@--M4&*gwblX-030S+NmA(383~!agVLALA@!%KXJXG5u^{H)a}aU^ilF zZb+Mmd1Q$$k9j8x^%r}`q-GPpi7?<nw4@PZ;$@+JVns|B*6|w%I8RqIy8+Wr>-b*? zMV`p!w4oRy%lLJ~O&+j|*c+y7Yjjx*S{CXfmc|rp%}^GjVHv-Q5R@q+B6wxWyu}i^ zyPDYbm@o}#y)ja<v_u4}Ob-FUC=*RU(8(YP2x=Lqx7cg$$fmSAOlsEgg)zLcWnN<8 z+=wQ2EvBFK?3zr2^=X|kOONB15PP?JMiA6I)J<t$W6ovDJjKG8ep<yZAda{r@oB9w z(=ufqVt!27R`GL)6>e&L8a}2;rp#T;jp?yf{0w4@JF+pYKIW}VnVZ;DOqz_No0vTl zz=~lS(ZRj)C9OKfOUBVv%#vx)l3@~2&29fB?L$<9aP*02E^EoAXf|u)rf3#xT?o4& z{d+(5iKsGR+7r=AdIe4NrVg4-F@&8M6)j9l7A>Sd)Ie|OsInn8MRVzi8t7j-@@ziA zX&Cxi4fMJWR4DpLG=m=N$37T^6sA2AO{I_UOVg+K)`%~Sg25RmAnZug;bki!iDFsn zg4lbbMBxL)QM_<;aTGgzpeTv~jxLIV3eysF*x8OYMC0fWec8LCIEBkbbr{&XHbg^N zOEyG<SrvoWHRw4s2J)k()zC{iRBT6q>|dkELecx8q4Y#`^n%U-t4|<%Yt#>+=si(i zdYn3XPG^brD1aRw)gTnTE9y#brjDM`A+Y)cu-8Yu5n2mjuZv0&Lhgv#(O**^n9^xu z9rI_ej&c)1Zi_yqi0KVX=zL<mcROt|qK4IRTpPv$9oLp;SsT-SKyU9Nnn_mzPx}#3 z#Jc7uT0wI|h+$J3MGuCj5h7l*uK9`<P!y6FHngQ!9DPM|Xa)rtere0nE4qmOL-SrR zzAWM{tD}!7jt;DrHV~oBy5=pKMt9^annL3ZOGDAv!P5F7Zt*j$YICwciQ3%sC93R5 z8Z{WZF3k-XJA#G}#;!vHfTi_BQ1LUYX#Zti^AdeYXRn&p6+z}>Sk^vgb{y3vGebwU z>F6V!L?daMmDts30D=rl+JEU*RMI*k4p<yLMMG!?1sE2z_vq|Z(pn-2lpPFF9~!Ix z!<_a4-HLKrV?+&$qr2z}8jJwLjP?kfy>ePzgf%@vIjuG#o(1YA`jqB^KYmKPg|6#% zT2+KI3)EHg5lu6H{Dk(0zr;(n$yaWdaTTu|FX<~@Szq>V-MRIrbnK4Bf4EDwAFuNM zc9TWQ{}cVwPr8Hrk7J9r+Y&c%yeF2|jXWTqUs3Hcu>Pg`)4y>?<3HjB+sLcCm;Jx) z!2ZKsuzi1J{nuga&aMB<F4!>t6<zhO-2wm6JrcqF_xh@T^^WMDRpK4N|CUK2$$vX3 z@ZTdcTi+|GOZ}2pxBluLip2l*CW*xUH6w{c|5YG~ME>O<iD3VuBD3|py1M9JzH@ce zeIOF^AL*E_>(#+Uf59u#g?_;+=D#$fcWD3f-Vh1+%W=;3?bX$v?tPK)|CWCDFWe#j z#htOWy_);ey(i-TU(xUWxjSorInLOcUbX${-W75G@9FRUnL9uJ;!fEbUgiDi-Vu5B zU(?^H9TA8B*njI!-}(0U@PzI2E5AQc+agx~5ia#7@6`PDK4JU#%3`<FU*yy8=>|6A z-vbS7M!%aHQYXS6&FGhhzuSZOi@f`-Iv=;GWpJj`l1dDZ--Gyx6#YJ*i`&qGpLI5~ z8T_7}i~FUeNZFZ89SS#^iCfpYc_!u}^5%uDQts@|FO_b!5uXC3e4g!Luy6Ko*mGE= zT4x3oMq}+%L5-~~Sbl`YL)i7Wral$@J8e$iu_(}J#KBu6?bvy)e^o<pmu5^$c(>bI zB;}OoERu9eY)bhaertw)Rg1F<;wMxRwLfsosu{g-?KDMR1iKJXd6v@dn^&NFBA7X1 z=OU80jkbyVQ11V!I?7)pVgFr7|AcxCxXQst=*@Oo18ZaO`S7Zz@atoSIr@^|w%t{N z#>%Okvq;RTj<az3Z(dmHVDQ}$2RGrVz}FoRvS8LE?hEUua0bh`2`%aiv~_=>zu+DX zL5uzD&`HR7J7~6l8BSeQN`SNOc8_W?pP4nKYW)_Sqc05K`59LbzV@?!N$X%o*GnYu z)Xs_W(M>hizo>O}O2o5ugzxO?dWr=9{x;h`ueEb(hG%OD|Gum1A>vKhK<%H^nmZ*n zvNeW(+SPRzarv!1+y7JR`>9!D>bBOvsX`-LZFux9jho1m-v=}LQ(8@@wO`n(!X0;M zTty!Ku9?xF(6rp|3`sRUBlx8noqh95H9ULN9#<Y}(Gs_%d2c@=By~LW?LsM8xa^F# zJ&vS_*uNH%IvN_ZP<kw!cUI(=Ivnb}067+Zd)Cy}Ul#gkq4Y>NeNQJibubj!61S!a z-oF-{+8?Uj(q9^?y3kG3<e!hCz<w=BIO*)JUn=tKQCr-KCj0y<L6dpDl%UBl??BL` zore%Kf&14;!trP4zNy`z=go1;nvDCz4dLjsCErxdGlFlb#u;xLeL*P0!s>|T&KyNT z_x0I%Yuuvd<z8oCYI`VY9<nbSbk@`wH?O(1rxTdk96B%$*`ur@1hvM^YR>F+2Bdxo zeK!x;6?Q&TZH@b>`R|^0JN-}1zL5BN$d2&Sv-6g?soPDbWKs+L!_+Dl_66OK`VZJX zg<8*dZwcSmu-xeU*I`@(HVhfpke_&$ql4+@^zRcF&iYjgXEQv02V<KEE*qio7p^>( z&)46i)G>vBb^U~kHME1nM!J2$da=wmj>r~NHNL`m8dApHDgDnGg>#Mv)*=R1fxTj> znB$r?vq4=7vFpw8+dTcUVDt#YM>y>`D387@SR*HnatVe@i5k4arQX7czdBXf^p4T6 z)ZSpJ5gMWf>u@(ggK;>Dpg}jROVFSmhIk9V{uQB`dgoX*FRn0{ccj!yIQ$o-vT7Yq zE3s)F4=AN}1}|k(G;H_2caLaLZ(LJN{Ytq7rJllJ$J4oS3mQkiB2-dagQtf}J%s&^ z-{!{6X{`LZrjm*eZW=Ci7j`>-lp8mrG4?A$Iki6c?QkjOas;OhQ|^fUF+(o>v_{9T z<=d&%!Cu1-uELhb13C1Q8r8q-Zl`_-Z0LwO5z1XC-W1ASh}aa$TByBbHQawkW}OHu z>!3Lis@#_k*WXk}FDhJ8#B8HGXvji^`v+nA8|tcyI-5ed`-Cw4U+VIU-WMsD{hBcS zb#-Wa)R9oeemt3VFc8^6b0n0y|C*elzwZ?mR~iTlp{GEH15urKv6v);U8p^0?F|$S z=`RlC4bd+SWDn^t3S<b;FA9Wq&=Azw7Y{dt;`R@YS-S%{J4#2@85TP?ghCgJH-v&0 z6wX;S_SwVw^8=@Y^_SGC77x!@zXp=qqxOYD_X(l;3+e|8-e;_>fj`=#_Jn-*(?TiE zwo40#r>ywEhW4mkA=mx8q53oGgaz+Y*80FV?W>opb%9Ck6un-%eX7v@DfPAm;t6YY zpj*4{w$S7KZ~seFpBBu%q)htPR6C5rVO5ZExO~;>82rJMor_TBWN}N%5C5X-RX?E$ z%7~PH6ONh^Ye^yazph^O6)M;!S<`R8rK%i!g>tqBtm%KjWv3Keg#OunXB}7Of47>F z0CAII%_#%^+SRMxLTQtS&O#~MUJWUz?WYYXeg3yB=~v;D3`>M_PZc+@BDYl=Sar9h z8dwqAybY`r##=*5k3VH+Vg>&9)2f%y%SpSYlrDerWBO(I`6q`_IQbJ~6izo4;UpBf z-Bi!2zRh4wzXbm~xr|Tg@IR<>@DvK!9<ZWcfbUJ(;Zs`t2~`drLO$E^R`hf5g~{c{ zlt%xWDhGF=7u#qn`Wg7hq+Mf5oxk;zPGd@~e|#0hP3Y<Nxn<lGyk)ZUOG=f$a}~r@ z=+Sl)B{9Q4j1WUuCw<-EaTUIfaQX^gYk2>b+O7Q3F*S=(TnOtAN`2@i3zlz)YW9=V zkfR(StOVb%g6?C%{Ea0I`f^{l0^MW5?2T_4{p)HH`Q1l?nHy<-tpEBN6+p(+prahY ztOLFp1vF!7!uj2Yf+-_Jl3>yZF(^fE<Echmi7yo1zoN!D8bK0_8?hq^#*FBY1fxd8 zNP-a~xFA;T4Q-A7LSMmx?ol=7QL~^FtqoBP`a<6wwYUP`HMRaFwSzp}1Hr%%yA8pJ z4JwWPMYXFDVjydW?@qq%zF_diH}(E`wVe^OK-L!D@A<lWg5Ddi)%$1F=0=DCtc|{( z@^yCwT{g7U`+ur^A2AC^*;X4EQ3znI^^MM_*%5rQaRAq!QfnHi^=GZ}b<C&P7JRr- z1J|EWwe0VNrx>piU@1nc-(V?*tB-`@%DpZ4<F-`q^+&){#=YOBl==ylt?~-RkyH`= z*Wf9m-a#p)zJhtHMX;1%Z|4+<ui)F&Cc*wP??)-6K7#3eI%+9{-bntqHC1r`HMNv} zZ*BhmQg79iZlWrGaufynYn=s?R`0@6kgJa<vvpPW<W+(yb8;y`l_A-Iph}wzA*cfT zuQ?0GubwNVbbFuk#VxBc_7j~1qgR)dQZ!cyN+}wvycDXRH$%$mi0V!f#7pq?>bXGN zqUvQ|r%Fn@Hz^t7DHyccBoH^Ry49znlG5xwkPPt<^jZxPh?`ZN>FZQZ`QrU98R9PJ zys9b?_fz%XK5rrVpQ?R*V#+Bs-mjBaT?K7dN&NIvs*Qccw^KfOKTTeJA^2eR-6d*U z<<ZyLO@W*a+@`?W4)0BYkBc?rWP`=Ke^6U0cfWdHvW$Dac^O3(C|zX#gCePDe?7cl z8TIsg8Fei1rla<PW!Tg4CC#xw*5X?-%aEtVOWiRQsjmtb$>_zjE7Y2b=-0z@7W85e znWf)T^%7O;DSwGtRpAbgIuuCgz>x$J7w=xtm3RtX#uj^WUeXnNGGE3PdD31|+N4zB zG=~DOI=s(W?kvh*p_WxH!=erZ!a8a<1VTD+8v;>_-;P;2J?AcB^F3)_(i{l9T0HoT zT2wjjs6At8_go97*%t_0toe<aS0QyMoUt@}_Jq^y33x7g{YK5IOn20tvV8F@3#Zu? za9WiAjryrly(s#-Z(60NgX5H?#xpFudt1O}ap|&eQl+5-cf#_?(>k0YS$cogd7f@t zS=n88Tv^ebW?Wg;-N9erpGGqmfe*9jdAcoS6TDqZ@|b&?hl8I$$t=VFmZG)r%gxCn z?p_{IW6H|-;^yS<?oT~deFQQZ?V6MSbw|(8tt(65Ia~zNXXEGS)|7?u*IWcr8<(3{ z^k<zLSWvUhbA2W5@*cWGWuUu*w?M+Ic0;o6tb9W<Vpg;vS!b5LAz6DCJx5pMF6yy5 zs?3UCb`pr4m6}5py0d#kjVhnI>5eKNxjA?V1T~5^C9BWY)F*$VENQQL3cQ>p&C)F@ zpEefbliS>v+*dsW0%qUM(#<RXY81mKH@SavUv(Gon020|n^m4{EN)D0a4&LSbrX0# zD>d8aFW@-KI~zMq=^<WgO#bX1<X-A3U_CoM6FaF~*BJ37`J?+I_tGu?s;Q^#eHE_G zEvO3Dr!9TuuAgkX$8O)W(LLdRKc(H?w|U#>vsnnsm}`=)E}6f0imJVD<F>|UVlc~y z>)n>VU$<eOaltI#U9D{$#%|yGY!=LdnJQ}QTe}Um(LLhFO}%dGTfHr6vr4=z_<5Pc zpIqHZfvHD+EPbw0EvORLTeiA~{PES^B>vcHGZKGvwE~GhvYLZL>7Y~jvGllJHTNyw zzOwE<;E$Oi`BGHP51RW5TuIHS0*VSE&FF2~&)ysS0o5EqEZ<zOY`XXP!>5*7`xb7K zt8sxWZLV`R-Fy80Q$?+PbGO&3IRaUlT-$8Aclq6?p0@VQ-2PFG3t(w*&9mv=;eR&O zWE-`^?=Z#QikiOtt@_ZP<+H1wP1H8O)fAxxHF>+H+S{MyqpO8+sXzax@o5-~@%R9Y z#b~?<mOSC|C<j&U^3DX}&;M>*H9vL}W>BdEPbRv=n?U^di^k9MVmDy$%1$*FgYoIS z*k3Tk$_TaOAs3^Z*mc;=N--DyH{);fP-QM?6NnFg+IUbNU73qUPV6d7(72Qc<25ez z=1;8XRAtfo-@v8UMaqPR2xB$wCcqf~r<zY^tV@7V8$-PLUspt^Cf^xX&5JE`;Wa7s z;t#LTQDxB@pH^bg93N0h?sQqoj$MN78Fi1qs4K6jCVzD~H!k($4;!D(ja`5pRYa&H zx4KLlmwNE~jla!}orA4ZTvJKLyEGY>y7Rk@Kgx}rfsIu}C@0suyfrR$<DYU#Gj?#} zw;yN7rJIIzR4m_4u6FS<c5vml93RM`n}k(Y*xgS4;MAZMb;6fhP`t^PT@bO!msL=E z$!s|Kj?6saRHj99!dE#eACB5oLKiArG83JmwP?tEg`)>ys0}66LY+;%+)+Xp>X(vy zq4z}+X0#>@wXOu!j5^}W$bAQPq~`#ugsr`^M`GCCR{~s=z?!;13>O6B#~jpEqXIeN zOB)ReqbqaL2#H-)64WduD)DNT9`Yp?be=Qo{cj}H>m;Q`LsVkb>?SBNYDN*1=rnZ+ zO4OQ=L%!Dq5$8#FMpeUN3!QkiN)PzL3v|wzwMM6pnKefTj*~i_mV#rKl=d{bN0g`w zubn4-bvoB9-RBD%oeqs%P&z7zI7@1En$|4c<MSJR8yY*Ov{G>GED7(_q*=Pl=QjE% zG<HU5tRUhvsov?WX6X*!lvA3f!w#SQC_^aSv{FaG@<~#)lb5E$HlO9_KnUHWQgwmd zNz#XB4YE=Ge7XM=yYOZI6XC*_^-paJv*FOY2Ih%pWwJE>e3e7;HmFTSbe2L3Gx1rp zER7#u;n0CKYC};qOUH#TcZgt(`lTqJ<=vcw8LF{Htt&!hqI~!=hT<ET2cIEjX?*xn zhh8@%=?{5X$Cf^WS<+EJ)`6(Vn^o-0hyACviMjWgsAXUAGhRzn@iTVIzM^LgmZ+j< zP+1y+B74@M6JOlWK|OQ#GfvskQALKVPA9(5e~O*>g8xxyV%8X9x9-b-HvJg2q)3%@ zh-d!#j4Tu7$rn0AutF^;9{l5tXKsD=Lng|D&vz)z3N@#=^v_`<GyYkFOq4sH>(E^* z)QlqGAMZxy`e$!sR$G|so+ZiXy7Ac!QCam(DYpGX{K8!Q%uPnumGAM;H_N^W#ZUj3 z`6o>})CfC_E5L*x;|lUZt78feQtdW*GgFE~l72W839piQEBd80={6NmsbV2X1c%qc ztH-<r{Ui;#4FxG7hhyHH{s9fTUkb9R3Y)zD^uN=HEpxal>~O@3OA!lB8gS4SUOnVZ zOF1O*ru2LHC87GC`X%)_+=A1sDsT!xhzi`P#X-!-epNqa-F_)QW<)=)AG1zBgI`jQ z0~MTZMd7dD>H+V|6uY3LE(fw2-Lk^Dpu?yFSr9U+K$jY^!5i7%<jbty&!9oKr0_Ro zIWVci;Xue?pEsm`K%H(uVK2olFsa3XAmp&e>(d{vPB*8pkg^<*)aXzn<gm;8q93hJ zH={6;Vi%B9=U|<x6OdHv5HAGT;eFbF4v(EuXi4exPpYDb4nwwiAN4oEV<!|o#1i4m zlXh<Wu@!cX{B#v|*8F{2^0!z@$K);IaB$`yc6qGbe!S(~qC$Nnd1M?1oS9%3#@g-6 zo8P@8NLOy>#;WVfo8A3Quy0*nf~DJsH?unp#{93H5i4X&9va7?#ynuB!AdhGFU->I z&6^TSbmmQpC8{RrQH&vDOYETheJk>uaS_hEaj|yJyfLvl&b(2vV$Qq~u{c#`?QU(s zzCt@e*6vYx<~TFeB&}{yLApY_9lqECyEVSPCHVtpT`%6iSUV@)h;AyuKFTLcEK!BI z!)}K~*ONE6`<p=Dy!=kAnF@1@-FFsU4_@!?*8+XB@^i67Ws1f7Cl+0IUYBldfxe&e z-($^`leXmtVilB`Ywe<0Xxw<8Q0fwDO1>$!_BL~sog)j4EAPYZ8h+G-oaL*|%S7X^ z1ahL$*Kg!R!>^D2#FRg=xQyA7yZ0*MGI9LL+rK4bp0cmJe_}{-h*#Gx6Gxu}{Vh4> z$@^MFP8@#X{1<%8^Y&}gRd3moM}JF>c+y|$TqF)YL0-nJ$$?*8yGZPRqJ7z0`b70_ z7g3J?Pb39i)slFUzTPD#BELSmidm6k|Fc4nWByY@kYo5`PmrVi1187;UtJ^d#D6_M zPV9biei5@Q$M}l4!4v&;={QmIE8#d%<16pg^@1l1e^*B2cCNq&Jg>i=|BhLdyL{Ps zme~G;^as4p6ZEy|cg(!p)=QnU#O5ahf53Y@USETL$IQyjyzD$p{PN`8AMh@Z^H<g1 zF+b)0ed+z@`cJvOmtv=hHBVmuS=r{X{YtvLJ|)-qviKzN)03xvR<?K^e0?{gw=Mf9 zu-1hqCjjTd^ESZSh38{yO+%tV>)m<1E!n$)-YrbyHgEPK{dh`S+5b2D(+)grW*W8e z+l%z&c@t3E%rtD{xJT{FlhyjRfoaIbVh=GUD;22FoQQ5so6}pPkhu<<n9!|34NUzu zsxx|}Hu5uitFqj?k={HB0XS!##MZlW*Gp^!XJU$NIA^XG+c3|>6xq<u=&i_7?NWR5 zybADcV!G2RKc}}Wd$|+o#S<1#>%<chfOF!BYW-Hv)M+#KGbW!hi=_7AdDVI_tG6h7 z9#D&CYPVV2rS{|rY^|Bqo0la8DBzizZF>GkDf4Xgn$???oerpNWcp%LwoC2K<J2lY ztM^m3x>a<xcUrb5fTNMA#wKjH%azBbb!nz|Qnn!g_l4<`jrDHV3!eLU=l1K{GRpIa zaT&#V>Twy_dHWOYe>}`Kxj*31?bo+tOg!yE634947VOE~C3uGR>m(U1&*k965i75S z$T1mZ&*I?3?^aJ2R*twcJnVuK|FuH5Twj-w@Z{L!PRGZ$U0;(C_Pn;qo$9e1#H5dR z_G3cfo!fd#tmGFEL>b_`{ULV(UfVBG7ccLZh`@{bCF<bW{SvkD=(g)cR-y|lqcW_X z%NyLWc&RqMLM!%#$WfWoImD>U(VYDOcaVozP@+1%#y9aBg|@P?&;1flYQ4TFbLvqX znAm2uG{3UP9e{t=dVOBzmxowjVw2Uk`ITL654>~h^;wxokK%yD2CJg^l^yQqc&XOj z6K+R5@BfBRT^`p05<go7&6jL*TjQr&VkTwkJR<xPKT_14OI~nS)j!Set$6I5qgV0x zX-;qX<4+@9W4CS&BmBAF*K6nZZr(C-GJ`XXJx&@y_;DB4Q|0$=+|qC&sxgf`zMIqg z>lVxjr^fXCvGs`k*sWVmW@=2B`l7tvwOim}gbz2a{&imO>MhaX72+*Hr)6jE<ma6f zsDA`w>U%7eqgV3y)(FCzJN~)1Gk5HBGiUDT=L*i;k<U4txv}+BFs7czSJ}PGx30c- zd2z?ola!dc9v@`)7Ca_p>lHj^9-$t+MeF44#2xUQLzU^<<E!B=Pww#grQF_yTjb|B z6{fbwbHiO8-2U}NxxI6@)}C{yFf~1H8}4%FcCUY$+dFgX$8(%AQ^VuD;Vw7sXZ1}Z zk#5`$_3XKN)3?4oKfKNK`LW+{q${^oJt0SL@>b1r@7qitA6vASoN#^mJWXaa{yact zH2T~`PMCQ3C`7OP;kyp-3D>*Ns^Kx4(gsg-E)$3k<2%4)uA<N9VKE!h@F$%Yj0T^l z!(x6(D?W+1NEmu(6cV#8ee;RfCfA$KZ^QJ;9-=$IM_g&2gTk(tJ=6$^S(O%SFCj|v zwwD}oCE9kLGwOXtlM{L$N_9{ZrCHm%2-1x0kpyYFb_7A1x*dGT_1ZS#JmJn~)v%bt zhrAsn2VCK{I_Hd9pQn!*H9rp=Cv-ks3XWNl-fQa`k*0of?L6V@!}Io%eXg+2)1fg7 z(nq!tX9=wjr`t>Rxcol94UL(TUa`G)mVkfQ)Lyd7<@WheXv~cCm~F&qLjA+H?Ik;0 zQxDVH?RU8BKQn}0pO)^hT|P;ue(2S1zs+U&c_8Haq;$2d-ATfS`wi8R{#>~aie0#} zA4IrtWj&~EVKn^su7PplepxlOKUd{Pc^kdWo9KrMEsVtb(bd#`T!kMGto1f-sy@_l z;mZ9;u-5x^Q~sfMa{}gLjkVtTO=wl54_C&=_y)$o`^ai)AFkAouNxBdKYCfml-`F~ zUZ+5{J@F>*!(wMH?1S1S#@_p)mc7OIc`fyd@3UL>7TssC)GN9Vt)?d2WPf<*#1;4P zpq{b&K4*2w=uL))olab#4~m_*f*&X}F=~8dx9-iqKmAy5=_b{~Lp<Zx`{b%fPp;69 z1S`FTn+FfP@r<qae^f<!aQS{rv(lTpx%A+$kr98tp(@gy%k|@3E4`VUga_V@jP>{5 zRIRiy*4<C4Lb!3+eWbGLox0iffcS;6`o3Eg!j<ds$8VOs6E{CSF!N8CG_NVJAHM<1 z2an&7&tDn4@xat>lQYw#I3(eRc~QX%nX{r&O7r^W4V0-^NCLt9b-~IpXF(-N<NC%8 zseJom&Ya2tjqAT|$eJo_a{g2KP9vtw{BD8$5hu<>EI47nT)SZ9kTcEXki?l%>E)Mz zs(k8~&}V)Netq=@XFiyCgWI$?h!I(->c^;CDdoqAsO0ry)Tw0fOXxADf?r>`@i%Yf zfb*q^T~I=oIa%%c@{RL6`_UWZJn-lZI@5>^&dACpUq<yx294`WH~yL|2PSlwALQHb zbB0t7s9#^Wv1eizn9yQQ$hY6)^r?(jzdm<k!DKlgq0zi1-+q_#MI~DO`pk_H6T5(f zI&*7Noq&W|^Z0!54(HR#b9l_ujTVzm|AZ=voH%%!^HF6JJZ9p?2NV&`IBDj_A5&rG z$bY@U%sS*7C{~m66~*L@D(6Ms3N3T=ZzWvj128B?NS!k{+`u|Pw-^s+G%S7RSi*k2 z0H6$-GRk?RuW*V*CGneCBzG|;j^E2jmy~+84w2w|EP2Yw!*`Q5meeB#DwU9x#E~GK zaR`6T_odoGy_-@-`ety7u0&D_!jH3<q88D+A*EsFmlEmA`Nl~81uSBKwv>Jtfi`M# zUtu{SBA4RP7QU(P1ntzoK%Wm8|GK{*q<^UD*%ZH9scq=yV{&n$>{5}~e{jII!qE5r z`wb&dWK<f%d%A3bZf`$r;bOeLIw%k#Ux`qP(0}$EF-$u%6F>ZZm?LQk<!qp6rflC3 zU2$WupQAwhf#FmBPK^I;<%zO#=tQZpmzn#$h?hC%in#{)26q9&gEtK0!^E`>Endc^ zIKv{-0g9k0V1~g{6TW*g9GgT4xObus;Va%Jp?CExCZ-97aOEh3e9(~7eHZ%<X3)Z5 z5H4n*pzA10Z4^y%j5kWn1}p0pZGEXrplWAcf3Y?&>QFvm%Kphlj1lKm_K7y){V~x& zv`?2aR|<v^h4V*)eL3Gs$?7WrV%DW@>U_Fysh6(J9#dv^H^tt^mEAf^UNh5W-29WN zINeQHdEBUZbU;B%)W(gv%4zDtlF%1&`#U!pD#s=ZZq|ox?cLDms9abnNDtlGqCdBo z;@J|p_g|5vC3c&w=oN#|ADZ`BzeJSP{#)X^h#R>RR(<2e+BwC3>^1GrXR#846l*eh zB_@{nr8RjM`;)u=E?=UcM(D!GP$_US!iN)A`dYAeRZ28@g(xMczwFGJjOwI7=_43p zpPAGQ4POGX^pRl9iWK|2m_KLSUEY=`FnGj(GX|yO%o&9eV*n&*m!gr^oH^r5&y^Co z&CdB^mZcc=iS*Z(rOu0{DbMcG0i}davn3rd)dcn20sfeLGg_@L3DjPkuSyRD^h6jt z%yyCyY<de)2g=@h-e>WqoB>i>C>@oAW{yu%Yr>saFza=}1iV>Oa)~>qTj`_F1ooI2 zZ~~0exl~oxPC22@%vxVZIic1pJ{j!B`Ly($(o&-IpgM0SRGB#^gIzfvl{WFmOh|pu zB3=R}P2Db|D@+|PX)8>vFMGBmZ-tkPNm|^&T>^fX=DqA9gUSm<|MZX~k#{&Q0R+>q zmtDu8{KBOx+HzC3mxyCfcHy_Ho^?ry@UA0JW?>o`@UN-SOYoQ^^bW@bV8B%4CH0u3 zaCp}tC`F4%0wrk?&#`)iPp_g&Ore)OE0UadB1oV(Ejtn@MoWhTiqaAzfg-eU=K$?O z?W>+bQ^A*Aqms;b%+9e|g`!uqg{C_f(FLY!7d=ao6s`FKP@tCG1}LJC>Z)f^@=A+% z2Iw%|2}kUMf(yU>?wOa|(K0&&w3vPmN9=*T3t#{4nU$Q=BAx;oO+SSrc0n$M+P`~# zN`BWeJH>8G4rnQy0%}d8!>M;bPYMq%kyDaQTD2#DDpSXB>TS@&!kSCu1ci#x*@89B zB{X1-a=$fT4RasON0%F0%tUWV+*65Y!Hyfh^(gTJl?BS*hbgoG%s|DtRP#L>5*jMR zX26K?-I<<W5-=59Gr$OxB_WYrBiK{4C2><l%mwr&_w5|A%oyzf_5r2k2F=ly8Eec$ zuSy8ImvFqL*8nAH*JL}-^^|B!@TwFKAi<!-+`E*V(^G8BTi1X^NGr&zO*8`#xx6s0 z1&c?y><w6L^Jm88U$8yKR5P?I7FN0E4l7=um&$fc*e+voFzuR!N3LiXa?QdkH7(Cz zj{|p8rPB!%Dr~1VF=yd#{?piBg{$yA1Hc_IDsg0V+xbI}zII4YsWGz$^{9niE_)c` zcu&6ZbTI8I1ZuqQ42sC5f*q=GtyyGRM411~-WsupjLJQjMJ`I5E7z(_6d04-!KpqU zV4xuLeB+o#Y^(9Kdx;0g&%!<T?QHbi5=0_9ewKC)0(4(-2YIAECBKo_keCs!Z3Z+N ze{x5-Q#Sata}9hzPUeqI^wcKSB)$t1;rF>5RxKXnO3n88gB){tLlcM+J<1%7fEwd4 z<6b^E7YU**!U5+h*N->(auH874stKq<|xZuiX_Jy<WA2-Pg;~2JGxW5f*z)x&qYr_ zj8x40v6K35w8Vn}lZI|B(G~Bj1vPKtHi2(ty*Gg$Q%Pab8|G=UcALOV+2YF|4r>r8 z8}JG6U6yKikNv8}YgxMx>^+?<(Qss`VUjI^3@px~YVX+)*QmU_8OG>$v!?ROy5ty` zmsRA49j-)F^5-VFeb}~$d07F^OCXBF%ta#JkD15H;(`I+4Xw2h;}B)d80W+H$keCp zJ!OWEY)gDT^u7lgmpc>1p~k%`_ZKZ*nF@hzBhzml12eMX{Q!f8NL%V7U~1NDKdgS1 zS6g(cA*_X#0=D)<abCG%5)dm}8wBVz6m97#HspmzS8#+lrZ!b;Qk%}%6#UPbHqr_? zZz;JRuMwR(J>Gx7Vf!?Z*MgL04@Pz9lmA@T>PlIH(8-yRfYGuFBw(a02jwTTsQdsu zhF8r!%i>qoT?fFJERrvv%kZGNr@)ZZj4Uu@wxu2wr<L>G00zi%1OdJoUfFc*1H-eH zT6-46$+EaWK%3#5P1hdKKdY#<XHI-gmLm|*WY}iYwF`95dfM7EBmP4c7XWB5%(Llo z`;7c4UY#W>t?&S`1GK~S8R&=*{V8_6(Xt3Khjrq)fY}#@7WQ|E&YICq4EGIl;|Ogq zEN}x1=UL{X_B~?HC`**G>2Uzm7>3z&Z3As`;&^*GkC}9CDA78_53^@gP?~<-v=7ZS zb#z~=`9wGPSJF<2H_8_KV?P-_wOQE$KFE5PL!k;h!nox`S701-Xe%(*IXzp@TgD}0 zPzy;M9Pk5^XVT>dEYA?l?;$~vk{oaV0TU*?qLA(dEXW|`(QZJcjO~4aIZ#E(2sMTu zA3oj!W@WsE0fsOZCWtYpl%#?h7M+bon}B_QX;5LwYc9Z4iDgxQeugs)fXZ;r>nXv= zn;?i#ps~F-Fd;)5hSklGhhY&JqA;vZ20IL^oq^7yEy9SJtc*fgC6}Fmu^Cc%$U<XN zU~~!e+{m5{pqVkCgzcQbEQyIV(W5c{WHi;s4Y6hlNqvPErPnB7zhS6MRy=_(Gf26# zBG`5eNhW=ul?DRP00u&LB+OI*Etv1d2oIol#_QakS?C-)2-9F3=?-+wxSNZdff6LV zl>zmbH^wV)KpiH@7~uxA%b?2bnS!=S5N`vjF>b~PSK#A}Z#g{^&`%O(x9>}HxmHNN zqaS;(Y+U}nDm|{H^}V8T%x3BqkU<G{n=O#86ZHk2S^oZ}aXI|{mc={se3NJJ`<oVJ z=E?jDb!!o#nIwy1^9Yj@wag8Rd~<ITJ(mx|l@BV%@^1Rx{Qh3HG6$BqZjot@$w~0} zF!&y9EUtEc%_7ZQ)8x9#2YqujlXd40D079J58fa2%oR+^oj)MWWlYLdxk}!%8b5RX zfH3FCc|ov<tYC!QU$J;yfymn$wTLsn$o|*sL)ZIDBRy40(mXXPclw}V&SDbdbhGpQ zp3yU>58vKX6<!|yShjd&eqlVJ!nJ4-YQAZlsX|HCfs|Z(UxjPl!q<Gzm`jCg&cf5Y zS@ZtIn{^9!^IGFC%9%43F6PC?{>qs@EuNX%RDyF~xZSLM-&Js=#5HXmYs#fH;q>A2 z`?iX$oP_NgTBf_f2BQ{M<}SvWx49<GQ%u!zwzh7d%pd=sOD*jF1jJIL^YZ`9YAH#E zl4%q*{Yf`;Q@@cdwji>a_9q<w2%Bwkd`Lxy({4dbMC>l($10&BI+yW8{dg@fnWHH6 z{QtY*GK}i`X+<8t*H0}XTaX~iBE=V+B#5F|p&zEMc@I^?E^*e1uDYoB>$w*5~- zvfFK+eV#fw0f)Bt*~-;UN$<$!rUnaqUwlfnt0BR<e?lGF-eD{EdFtc#$x29jqpe)k zl=SvVS4exct(>%in*5t6oTJ)XOx&UR_a{qsJ5+F^lA`WU?Y8$lA8ya~UIw^_w8GkL zvKM5HJg3U>t|z;7<beAjtrm;bKk!T^vcZ8rbssSVH1gK>M$7aux+#Pt4hoDv`0|$6 z{B$K9W3+6~%(L2`;jNI^1Cxy<+Vc;`j5$mScPsNEqs#=qFFie)-mKe{t;ml%)cC$( zr_BZm;gN2a_jE~YhBKFRH^}}PvwzUq(E+u0yE2@&5uUSMF<F!y$A2X6g2P);i?T$# zG<lagyj5#ab`<~dcy}eNRjS=4*E8$aZ01FPU58D^g6t6f<I!$9Ilzo^@_bl^H$2)+ z2x}ExtnSA%jm@5rc74KH1>0?s7i3YPfZ4=p+rH}1&RGieju#zg=l8a+`xZQ@q}x#o zwYU2std+anCdo6a1TRgT{c;{~qr)bCp<357s~G>0Fsnz}<tGOKLRzWYZLpp^MR=ye z-OJ+up$?mu3$oq#j|aP3#{nE2Hen0Znx0t&c<IsE+vfqx!L785)#{#E`FQDrUFxvb zzYDTo@gMhhS3+A)7i8P<()+tzp{;uhvaR@!d%Go}t-lszoAJ_nyOE)-3k$M%{Kws0 z`_R_O1=%ln>D^sKXzR#=Y(4(t&TdPGjicw(^sM-4Kuo*MQ_rcX+4YkE|8^T|&nfOK z-2|+Z^!`%ztZWrtdV9Akq_tWRKeV;`A*9*ziR@&S>$ugu+wIobwz5WYQ{`E%C+l@& zpZg)r7Eh{wWHFtr!^4}6o>Y%zC6d?q!<&&$stH+4<n_z2W{oG+BUy>Z>m_6#_YUhE zxre`oVlI4aI;=BnWrwmp9<8U7eat8)xrb$0hDYlOVa=jXs{5PQI>#=DCZGKHTQ_;i zgD14dr~kcvw@Bxe*e&w0k4{LluwIkn65z!;X`!W6!&@$Eb!eTm{(|hI5Yo*5q*_mo zXLYEYv~EE5k?F8bkmD)I+9nJ=Bdy;g`*4Ib)3sYi+E#1JJzN>OPFhEVHUDk1j+4tO z%o;jazZuqi*=8Lr$5WW)IyzLovHtI|4@-x2kgaTI*7nHIvyFAeux6?!)nBuQ_Sd&U zo6l{l+p`S!*Z&P|KCrEB%^KQU{}9?tvaN2;GTd8F2yI@nt;S~!?XJHFZJxHR{*q<5 zyKWHLOt7u4&l=iUzZu$GEywe7==P}(w%yuJj%Rx4<isbq-P%TuXKLuniH}RW^<z1n z$szv}pU3Ui_xB%cxAtCoxQF0j?N-?fGDiE8<$<mz^L1p8`yqIX#i}2HOegd3aJ<oC z)mUI6d7eKUk6f%G1TvB5FT?N}i&Z0miO2ILWDoZatDOA@zglB1JZw6wG8SZp0zV$j zr;|O*D5w1gWr2oA^9f;i(Z#C%K&G+Q6Vkj-7+$d5DtSQ$wf|tX^$Tg<J`B&<PT^Qq z^#&Rq&LhYkLLqqOcB_~L83c0y-J8bgUb$HBq-VDr!909*_T2lEMS+HdRxZ-KK^UH@ z-70>6vM_M_VE*XXgRjFXX8%E9VB*32%CX08FrM6I6}(W@8OSu+Dt_)UAB^8=vx?Z~ zUTQr#^SEfY3g72mZ2fZPvDt1FywAPR>VM|(v)#&npL@Rb_L;|EyOsAo_gw4AsYi3W zmHR&TZ0na(kN53XF8kawt^TJT+3i-({vSo>9?#VO$MI5p%k5izbEkX0xz7C-x>C7* zOK!tf<bD}OvJI6l%3LaJnEUlxGmBxloJm5=%!uVSA#CPu%q8{P@1NJ>@%i_h&*OZ~ z`<&PF^;#L;oH&Ohcd|5UqSO{AgpgzkOM@7N=T1x`$PFwFLX;YJ;uC^g&C<X};TMJi zV(ML>1Y07e!dYz)pTHSv;sp>WU<`y{MZ_%NvvvcDDd0*XhJ$z94R}ofml82-ywq;M zGYYtnh?&O^?F58Tz?l#N&DnZms0UTA1tn0O0|)TlI{}Uq@EeFmk8|MqP%<yz4h8(0 zDE$M!xgBr~QGW^mrx7uw&UgmCgFO_0s+R`9DMU<(vl;_mza5}L0l$C{ZV)lW&iH=3 z1$#)I7jP6&F9d*J5~Yir#ny&)Hv*I>;8=)8yEA@uXkjDZI0cM?5X^{}0;TZ>BA^c+ zMPlU_{wp`vdVZx%G*;{1^WxtRh&}mu_%Zl)mXdME>ebpCM_-?I%sjSp*SjlS(Nfk_ z!le!z4I#8Si_!5Hw*w}k>la(V&xq3B@tgdB9RM6dl%B-b^8+{lIGQNU!bkE0MgVXm zQF;Qe&kyJZz+pt`as1|1KqCMSCQ6Ut>$d{l0$_il^e8@ZD<B5|dlQE@ht47EJ0Ti1 z&T5N8LdbdwM1$yz=MGIH>Kh;$1ZOqw&?iKFHADmNj9;Jy#E@Jjt88JI3PZI)TmpyI z#PcCgTrsRFD;Q=0m$mCtOmVG*VK_L)U7yz!*HRdUjg#8-c}8(9gkk1!LpwfU6xU2v z70u9kgVuv0X-!s94Fd;o-a9^y6xTN_^&Z2(by_md=MKg7HB9;kZgbn`8iI5Ra7}|@ zN)7Q0TnC#LfFel)t|>4~iJ=+;SHJC}LUDb;s=5Kg6dU6EaTaWvJkRGSf+PgEzJy5^ z8H%mZb~k*KD6X+A^>#!2Ds5rI=Qza`#i}xcVG0b@=s5jtpQ&il;mNAYFigIo+A2*5 zMf$~3Z#BfP(58{3EtWc9sJ24;gd{Dq)SC_Q%d`?CX^y4dXsEVKdyXWrSn6a${1VL* zNg8IU*Bh!W(XJy&eJpj7A%2n8L~(rt8~%p7xaE@oxITalGjX$<K9PW{J8XCuSH9`v z4Y<0%hKF$8n?8<!3jrj}Mb~pW26&#{h#D=x1q-PflA#Wu9XTEMVruR|)xXMcc^x`2 zHC9md0hvHv$BCGl>rnN68SX~MI;zG5s@^Aq9zfUcc=}UZazN5^=*S&UTSSdIw5mtO zdH`)9SW#SFL)5!v)YdzmqiRk;)o+7_OJ%5Q9pOAreMF5c;F1a&E|Ed6b=>25UPRPL zL)ANE#2DyEc83nnQwmXY1aOIms9ys)70IZrbqMi1&r)3CAXV)$R65#w+f$0-5(82H zB!i}->*qTLHauseYeb;xrXb9BbmV+T?S`i?#pNkzcoIF!_uK?rP@rKJx}5Jh3%EQ1 z4NsuG`JO|7O9W_m9DR}R*#WqOf`-S?vs<3^fJ+c)cobc}<yj86_<@E;(B4~~S%3>u z2EEuJkF5C&sd_IXw%D<YsA+*zRm-5c9ixbvI!INOj2O402~kr8sd~qMw+VR05Dft5 zTo&GDMXG|oFo3Mzg}5S!s(|ym6~_f+!Y(AA;#{%f$U$1{LQ*NtB`c0>r0^~zhT>eX z;y91&*n!+d5Dg~PXnfTT;1i0dIf=isk~NET+=1Ld5HC&QyZNdE$csA=3ySlrm7y7= zFb6>JAjTBuG#1`+MXHqlZVdqQAlek?WETGB%Fr~jd>e8WL6iiX6IuA{D^kV$fPUmf zHXzD_T%<TZWvPAUFVK;Z+mM}TqWC2K6aO6@X|WAiizaThI3rki)0Ls`$b@;|1d6!a z;{2F}|Hv0z1$L3dpDa9upS%K$B8fZ}zJ)Kk0yH6si!6K-KY1C*MG}9o@E`c1%K!pN z9B1Jh_{mEE7)kuf!q@RdmjDwav6qFf<uB|)UIWfvD_P%=Qd^K`fHQO@i-{cCgoFXk zZYx>C$gEAsL%`X2C2I)jxCySOI8_mcDwtM-fmxjTPdu;(g5U&IvnD#0Gp#o3tzroO zK=Fgj9$vj_4B<8u&tO{d>P2G+SD|=1vuC4z0Yxx^s#y_-<^s!iz}^(6Y~s*tpgyO* z7DZ5ns@)+<306B`3k2Z;6#s=Ox?Yb!5zYWk=@7LpW)CB9h+Xf=1M5?q&=9pwrWGSF zi(L;!5l%wYZW4!znLYi1j_mq#Jg@|Ua2RlU2El)3iuMO4Y=ifs36fB?E5xj+K*w$H zW;EdlRLz_?RKT>N2WHLJ%cBUZEl$sgL;1{}zCfvM@Ii`G95HJ$aEK3H1Due=ELLC^ zA3Oy(MG><m0v-8a2H*rIW{n3*@xg6?6O5QO7C5v8t^u3^iCLq8SzF*@z{!`GH4^B! z1@?fd)iMJX>rWsFpCD@QnG4+dbp)XaqE^ie;MNZy2(=KkD&~UVOE!Y=j`ePsoV-aG z<vIBy@H&8FHtU@=Y-qvFn?rcVb9zg0d<&CWaEsh^%Aq)x!=yNF`nygqDUQW3DYo0@ zj#D(nF&`#1?`FXvjH2**lka-Tq8o%J6kZu{#K0V9-7aznC4$xDyW6m=A8t}RPS+@o z=`g7>@&dzccH7AqftLpyQ&{gh$pH+vj%_Cu1pWlzh=yfNyCtv*COjuO1YQ(y{Fn9a z8Z4`btlICEwe55efj>6+?h0&Z%58R@po7ATPQEjTNlm$R%o9d8oH)_=^%lo?m{bAT zYL(Em;WQGB7n)T4NM2YWtRwNeEL93QV1+P%#ILhdTgVH`gjytifu-6+4p=6<LE@)b zsvpP;ON4MFew3x!Kn_?U+(Y6ASgLj8g++o665qpm$91#Va!LgpJz<XD+=REBVgN@7 z%#rEVvFQ{HID%o0!)^(iP9A`x6U=ca5=?AI<l8bC5&1UEwupRdrYn$E&b{B1wh(!b z7>~#w<Yq%Ec_w8{IUtQ4d7XF&kx%D7fmCjo<S~mQ@@ZT@$ln_#+04e4zA~;0q;lQl zP4$iF{BG{ernDcC=ZJ@*^SiiLoBB$*MvyK>q`XIxK!_x^Nec6BME*^tYfIX6<S~!= z{z!3;%KpeB9=`pNhduu8k32y<#5Q@s+=1t}bGIAQrXod&gYzc;GN<7AW=sY=-;^oW zB3U4)Vw~@bTy+0?)#NF2r#Wpha<{rYDj(poJ^o%XdBSXLPMe5asJ;=E-^l&q@%OSx zC^Nb_Z7g!My8Ur}J@-G4zn4t>n8wX%BauDTa6ob-va|a9<NWvBrylcM69|*jB*~0y zs4k7nui`%Nm|qa^3U71mxDhUuB!83KiVS~~><YNQ34X9fFJF+Io^Q7h@lPe(L}`$d zX_pjXQaX4%-;Nz|wQ|E)iOz|zOM;tZR*;O9Xq-oOf51(!gE@LiRL*_7TLTf7Ds_$X zZx6oCvlGyfl^aG%w+Ey3l)5-Zxpt)-tz5gA2x;4-Fq7np3_la};N3g{k*b)RR?In> zD_JaPw@)kL9L}|yjyPcZN0>=m1>8vK%Aj_h-BiS`ji7cCRYCGKK~!Y;n#2#b=_<8x z#&gp0Ifw232sMctT*KK-Mr>D*43z-R58FRrCQ*YmIJ=1mc7?8?QX}WH?H?f~u)#2# z-B`r83X*|RJ*Uj}k6;tuL2aDfNJRDESzO<@h|d*&87RHyplrJWO*{sta{GoO>MAny zm8v-Iwp{@xj&%PRNiN*L?A9P$$Lx<mxTcx!rZJ|#fn)rRekVq90d805wVO9E|H|B# zXIw%*8Y9Vun-|XP<n_&mnkNmw4GK$l@_OeVn9r{pXB2qt<n_$oMo7-Wl?(sk7{8&v zL<tDeQ-y~(#_0t!+lsg7{yarXx<9I~WM0es76X35%y-Q=nSPCzcSB%o%eziL%geh) zKhDd$O231WESf)KK2L{B7S7BYC(>0=c7^lD%#-NwEz?_c_`0d@s_~P8^V@ls=`|a9 zALkF4&#xHA(m6=UN%&SlDL=1e{=3=yvhid3TcqR!e6HX;Kkvi*N3;1Q;}E((QgRGF zTu{1|S2tf|Hos`>LsvoeZ5ltK%OTUg!9Nuo+R7u&KQXK18oSd+5NX5k+JgAaJp8<i zS!IARf%?#+uVU5@Y*#V+0NhtTTcz1G5U#3mE7JHa^_oW?C)@_>(4;spo1%Fu!nl}v z(4%iY+!Q<5sMt6A54dkGTo0SksMtH}uIW1vu8wtRRJ=nicJC7;1!&v~H-1fh=H53G zenw-S5w3`x@iR`vv<rmlS|@PLT>{&c%&KeN3NwCzf%_RJVjTR8|HbI~89><!Aho zdazFM^XyO8zNzq^>RqA62~-YA@zd<8YhS@E+tsdMR$TKBdiY^1+}HRq<}X2v=<H98 zE|_r)b;_-e70$zCkQG~I$2Gb_j3cSVZhhn7KQMoh6+g_jXmkY|2U8!q^^Jyq#bh)n z*3IT=bOjlEQyVptf{eYW$KC9{g@43s)GHEaBQ%l%joqjWu-$O@dknl@5kGrhsdCe( zs%s=d(YC8QLeZwHF(Pkh#>K?0eCEGXe{UN7*JW&$#tE}Zzk$eO%)B`DH_xc3YsWNg zKFlP&JzCMKYs55dE=(sqK01#&V`Gvw8>X6mo@12Rm1AmGHgo&b-|I%Nx}r@b%VtbX z(q_UGl`0uwvPzX}M(DKmCyEwbcOnEPoJ*(vV1!93b@hjdDkb%Yi74Ib4--=Qd(G%+ zTKto|D_zE>X@xVgrz%&CV$yCrQ8e!wfh(GIb;I-8X1*JzeGgk!?CJ{>N<Z`@uXScy z0FoJ@x<)RivBK8V;-m7KXTB*_E*nL3<y=l151URq6qQGw`Jhy}WE9lpdO2-0Y#=TE zaUN+VTd8u<h&l60$#>Dnv+L+($!}qlw3*1fY60Qo%M}1J-6oR5Vby6~k$LZ?>LimQ zjc|#j{zf^8@%~2GM3RZ3b^BXA#i6M($v+~ED%-V{>^PyflXOiK8B_mC{t;nRD4>Px z=0lB=ZulGF+8K&=bD>&EaO1o??KO&av!NFxlERHL+F$A^c2C`u{3F~bz5SV9-mUg9 z#kA5XJ$XriNcu8DWs^$%j9w;^j1)Vj&dB!_Psz&L6;B<L?<<-*B5zkTbwTov{?KDd z8@@(K?Q6P<?NfrbaC+#Gq;_8;WMZkWQFNlNk)mn)amBv;sc&+2-$M^1Z5S%HPVpp? zU`EJxhJqa{bTtufsMtL9RU#?G2;Tl$!EQYCd*X(HB6+G#A}QD?u>G!r-DqfkBHTcc zG?ghaZ=zT`l_GH~$mn7FL4`hMXiMUtzGC%Mki@M(BiHse`M#mhszirP-0<Z4Up|8{ zy?y0DnAZN>0L&@Qi({A-Te^$;I$88<j%QfWs<JP^fmz0#-^KM$KK(VfVOY@0`6)3E zyR`4KVVK+6{ZnENrWvQpF~qdK{h3-e`Ohz(b;H-O=XY>lCa?aQTQhtWyTLY0YYpS! zEL$J)aGjItyAm_7ll#gHm~>p}w!+O;W1hl|Ru!JY^;S8a!nM|;JltnNUarJ6?AOn^ zRm0d=uWj6?N#2gc6m0va4;{w)sZ587#Kq4WK5uQ@P`KQB^rysk*ss_bK8`ZEy6>}M zh-mHJlVHJ?W4-verpf+&pJl`F)|Yz{<1kk2%oeU;^8LQgl3_sWojr+BSYNEy7Or;E zJ?_R9u4eMZzVf2sgVvqhR3@w`wtW*vnDpCM{y$gLxSKizd-r&-Nnv;*Xf(BA;?bx? z#f1APZ6QQ`sbV1HepE)2!q<ts<<1Dh^5(M>G<L|%sK1&N`X^A!op8ha=BaUs@`<2j zOSoZ9bK5v=Hbi-;Gu$w%`E{K_&xFmg@<7OisJ|K&zD$@diwuOETIvilOnW@&XPEMM z@B_}G`QdnK$;5?G+H}atsCYlaq{m)<h6#^v_!-7OKJRDv>~Y2ig{#fi#%YBUip!n! z5b-F74><GYv*Qwl6N@9M1rt9;Xx~Ft7cD~#qaJ(t3PRNnj?*SXem))~D^MmDmn>n1 z(amjRw26?##|~tLritDq%Min`=4WHHv5@h{gAED|6IDx=!G`{V?p4}INbh5Z1{^o! z%VXUJg_?<fmqdaLq0MWfw#<+Zk4g0kgo#H>B7ug^%{8O8L&0EJI|64*W<=m@$ZZig zYqBelT0VBaDRm+E9xNV#8yw4KRqzbT$a4RW_qh%`guv0qp0Fx54D!gu5jfhIA4_?| zAe-FSLMt0{VO6Xfya~Axjq4t}*_0~CVu2ls#&wNdZK9Qq8L>JU!SWM{0)dg(1}Wsb z5xARV*Ot`j;A0bW{lVfB75%|SCVcvX4^Jrf2cLi)VjH|5@4#{GW800XQ^BIJ!Fhv! z$y0Eg8JPjcnUdvNBnrlku;%)L7sr)X4W5#Bno}o(cSG8vaKIRQLV3mD3AwR3bs~5n z<VF;(aqP>4^0GlFIl4J@EO<1e{V}e7?7s=+B?CXQadYZOa8C#vkQfQ>3_1T8_kQf@ z#2nWELgq9{FoPRHN+WSqV-F_g77U!oZ*y(AK`y=|e}i0~41a@cAGp5(o>ZfUvm)Ki zw_OPO#}{s*FgTLgml$DCN;;lz%MQBgyJ4(AABpG_JO?s;NX81Zkw<+Za04tUM^Aw| za=*`VAn1~>t}*U5>2;nUujY*JhLOT;Qna2z*N9QBZRv<suI)^aG%YdAAlWCw&j3xj zn<r5+qL`anJaRHuqIg6+H??TwaIWoi&;goAm_eKm+(_XHNjuMWDrmPiG1LI%L-I91 z_+<DBT4CFC71~C|b5iq14)=+K8pM&-aJG{{+dd>i1z_X{O$25TMXJHsP6V-ibPW|6 zM?TX;LJVM}Fr4jJ&^I5FfkORA8BHYEz?Y<rvmFVlCY{C6z6E{u`O84z{RoQI8ED`^ zn#!dO2i5sx=qpr>xYIfV3>=C6F%sNB1Hf`HPzMkh4AcaCHuW(O2af(b;++_Yg+M!~ z*KY0r^A*L1r(Z%m8Y96DG>6XY<O&{=l*EBR18C_^ZZGozWo}(R1LC!l+rzw#keCfr zhW^FTe?xqUlK2sL3VMj6pAMPXmcK>x=gC_V{ZX_MrWVDL5qJU+7(tVX*Lb-%h}yi| z>%_CX+-t<+yxgnAJ1B`F<{8QyJx~%lGq0aWR6*GmGLKOb>494<mh`~&7N1r9Cy?{o zxtEDG8@V5u2PktZ`msa~QerZ23sTC@ZDD=~=9cvz6W<~wCIaUm=lQuGm>+?;CH)Yh zKT={Wa2Qg$m0QOw0_GO=eTXVZ+NS;^q8u{yTi_?ip{-mZ^9fMF)psY3AX0||Ya#KQ zxp<}vP!XU{z(4e$RSfxoZ7YTzfNAAJRn?sX0jdPcNd33?YaTRCfQ_?5ll;I?O0{K# zelh-_2W>vU)OoN`aFF~1rp*QDIcGG=_YS#N`wRrAJ3BPW-@zBV(|!b;BUpwD0*{`# z(`EwB5at*Giq12B`l(Ls0>Qi1$#)K20^61hsaIQu>A!G-`{^e-Ir!=S>!j<a|J>;> zKmC{ZgLU$shkm-!rUHIeb%yFE;5j7uPeZG&w1OeFt8KxMc(n*U;IK2?SO2loUmxT@ z4*eu_!t`VCQ*Ja?0M99dEZ;ITPUsBLkHi<d(Z&OQIQ>PI|1i`-=nU2m#y@nUjRt&m z%4m?U8_FYe2I+g_8><t8^u6%M-E6-Fe018VmnRNI5E29R-S7;s?Qp<*CwRR)e&~LF z#im|W<w%6QZDn_ayiH|eMDEa_i-~Rd;C}_mn|l9M8k?nZ{H^S7AaWUlFA9`-dPS8x zrm6G(Cid;o@>Z22rm1uOI`;9=xzs@$lhj#%Rr~WCz0ArSQ`@q^+Xc$&dao*@O(n_( zO-)i~{1x*n82+;P6>EBEyY?sY7L|7*ayteu6^JnWCG$J`{YCQ=`~5}oE&Kh2@|D;0 zp4!Df$-Po(Y?@j)C|gjmsuyE-<B7a^<p^Bftg;)P+cx;!IQ6^#a$aYjzmWZ*C%LVI z+xZnMdZ@~g%c(5?b-Vbe+~&b=`4!805tTWYQ^)<M?G8odk_SKJS1joTRk~hI9TgO+ z#6Qj@4QA(8Eb1`_U*-EO>UmZky)5y~pJF!?nOi*=n(xEa16OvNNDTW|+j&LizGKv- zB}VGuEKB|MaxCNh^{|#C6M5^>w|eqJjIuP5NWIEZZ6#Zd-|btvCh`o%ziA>7dWEHH zinjB9Mz?PG>)}cnineopTDRcFxpzuy6a^lk3#p0WdKslJ_2j!5H`7GI_0mh9>E+%k z4O2`N@PG0W0!i}`pfR^f{q$a1l8od#7-!^Z#SB?_+hWEsd0G+Uh`gZB<U*QAzu&Q2 z8@_r;rE9wK?TnLY6?DHNx7vO6kd~#sdeN4;M)Ibm#}#S$jBj$b-~A5U+Ax%FW$;oH zVS30?hJr22Z&h%x%QrK=rY45y!AoB&*pB;sx7;w0Co}3&6NB{vOYbV!j{5am!VTm} zjLg(I6Zu+3N~&d$-ow&^3N)r)i{+rcd^IB|)iO}ewX{v1Hsn`j>9CnIOn)EiGw7=q zqde%V6*D*B>vYA7qnl-3x|{QrUKBgW)2%2}*%#;dT3$K7o6}E!8aub4TTsaPDL(Ie zDaL0*H@C3+r}&(&<`rFzE~fD9&y+IyKe0aRy06X8@8o=;UyYqx(|u*W!PZSH4CCck z7Cz+Vbkfy##b<m^#was<rLUB3%iSzA=E>bCRN={8FO=iST`N4w%lS+{uq!_8`wKO< zsvB$WwVm^c&f5{6^4&)H(0zF*WxB7(mH2tx=Y@?Ma+eE_{uKZ2`^$WWpF^Rq#`vu0 zA_}|r#96+}=3e}qCVGF2&$4cK;mbYoabK4C%vMeV{e6tjl5RlZojvhU-#&A%t(;oA z`;{A8IW_bbG0Ka&4+?j7Q<%O@=Ixs~1iD|0GFR87uyHp<;9M{mY?2$M1&yXu&>oG7 zSJ2!?dl!7vgDVDn?i*$_$$h2eg>**fmgCM&^s;?!8vgbF26R+NCtNolH#II^P74aL zgzM(u+QxfleUyVc!*#Q8uj}M`Xf`3r13niF|7w)`LNg5!8Spt3+!>~uW-#cdn_@8d zA;$vua6F}ic44%4+UKNUyq|88ftR0dg24?x-FSoZe!9;LGCs&%#a$ckEu<-ibkcpq z4IMt@nB&flix<)sM^XxCKSp}L`>Y08hU!Kcc=_r+!yO#&o%H!>Fi4i8&=!L&VY<<{ zwz1v`pG5-)vRo6bH`p>nHw^b|tar?3++eUlu7Oq+Y#FTUkGnS3JL1!8;Lwo6_4#6; z+aOmXh&vVu(uLyIMs1ir9}GzKas=9=V39ywXI#yw&5$=3)Q-rp#WEstY_M$+Io4QL zAf=pozbR$G`yMDBkuylmhE(u$%CK@k3fucS=nx`@PJIHY*wD$t7DwdJsD2RT4V`Rk zV@q!t)df<quJcCoMs!X$^=4Db5ASoJL(w^1)T>RsrBowGC&OFbBT*nA5?dz)dp9EI zCf2njW!n3g$6UX+xJO05_Yn`De(%E`%KhFaK!?~mFR(lCoObGVW6G4bC}?nA=U?m; zJjV>nfajQE<yyoGs7D}kecp@i%Bwn0u{+Hvlis_U?NK=ZmF=OtqVojX*qk!qy`Xs` zDyNb9#Y1^nClnjqoHFJ;s@eWHr=I$shw_q+AJ(`zWyHHj6Ap-vcz0@^f1LB4`qX2N zs{_Gun#7sj4VtBqIaSmL9&-yiPT04(Hrz)pf0O)ma+Ndub+VP={yO-K8oeB=jJx?Z z3y=Q!8*U;u*qv#Y7@<>|aXjCK{pjl78^&_<?g+a?xK5@r$ykoo{m4!Pu7l0U(UYTg z-?y_Icy#G+UE`eF8L#tfempw!_lA+&?TlzWxvp-bT$|Evtz4U#N7A;5VLHjm8GbtG zjJtW_CEbd-DaG9<bH$6h#dA}Nx)0~tOg}ncD-xy?rwlieyON=uXEXI^*CsJk2c=B% z)j=p{`0B)GwCT#Vb&uzy<aZyo6A9Ic%UHwNOg`FHCK<{B-9KzaU^-D5H8`7zM{H$X zL%GK8&$c2VI<Sl|oXyyyZ^|SCx%%!hTajQL-wbV>&B&wbjI+4jZ;w7J|79Tez8huR z8K~otF_qgp{HRVjLtn0{+ugP^K*urNKSrGEWngAG=%r&OGU%mg=Ci4dIpx67ewThH zMts4`?zGo#_CVJwb03~|N&3+kakiKF>6xAEzOGR7!~rjZ)1^Dvy<HE?=hn3|PI>KQ z_jKJxh|hW{pZ<%Z{U-e-O8ke{sndrz+Uciewq<Xn`}1Ti)BRDsC0$zPmJF{GW<G1$ z$?4a4**DU)dD+*~&+@Xbr61>IUroP*5-;jHV?Iask~}>#ubr5#g0d;>I%b|o_u4YG zq<gKK`mAa{Idy(J`*M2CM)t?91Lkup+Og>zr1+%Q)~Ql{c1zcHv$<vM$LVj8;uBtT zr_S@UKXiRGn_JQjN%u#Jj|u!frCZr`T}5Vdi`qWvD#+eV?MLZy$dqqhpH3ax$|iO_ zF{|KeyQhyJ1ki2msrb!oe3y$^MSwN|{m`SgqQehtQ_=AN+*{sJrP(>)sj6Wasr?px z&7+s&X(Qv%Bs<WNqG=hSU5q~H(L3*HDl^z9+t=|AxOdJ|PbQ;LwztDw(`Ud_UB;nN z_71w(z4wRbIStEj?bqmM?!7afXEf#*o{BOve%h(h?E*o&*2#8uTmsvabf{}uhH1Z$ zhWlwJN;~*z|0}KQr~O>|FF);<=!12#pF4iK_D*^JRPPMcPC#==vY$FuU3&{U*seAO z9paiIbkD;wa9{1m(tmxB{n+tSqZ6hbgPwBh{XbrpL6&Xl7}w|w(T+qHyY-HH{*eBQ zEc>COMWZuVI~e`Yt#{P(t8_+#Y+Xm5MrV+=H@Z<XF-Y4Beca9Fo99RAje1#PM}$UV zptc*D0k#?Td@l{Jm&JG7SE|_5s!AG(khM+fj*zuUYK+JpYIiZQDR2Mpl=7z5e@Vt> zDV&E^f8Ic3GumIAQs!wDCGD7|%s({wvprhYDrv+tW$vNQpYhSz)OH(_l-Y->f1c-P zWhUjA+LX25KBc^_^(raaRJ^R+)Ffr*p`ua+<Dsll#hMmcvi*syMbe#!?2h(Jr$iVJ zC6zk+ABrj^_CFL+vh05-q@=v2^;9zcN%oZ_W7CwvcG*)Et6DLVH=f9vCyl^m&62v| z*=_CLjZ?lqTvqJtdnokhp(oj`?b}KfD_W?ek;^HphwGB@QQ6Jy-;^qrwIY&oE~kt? zoR&Nkl}&E{pj5G>6_n(9Ic4<WfMopRY*Kr+QpKVcv;CEl&!U!R($UM}-yTvVXCkw! z+e4LnxLV+(?*FsO)skM3+3(uwBoiaGa3ZDtS~()|{#sZOl8LN!{98TQp|&zfkw~q| zcx@#c&V$>3=$gnf+WwUkiO?#HUsJT1e_-^-4Sy|MJVViD?t#`HaDkOBzDChz_Q3^- z#Bi;Q_?LRJ-EB7|MZ&ex<Dcne---`YOet;ClNT3=qz~hP>>s6mS}#RNMzS4kXXJZ} z+hpZ!irbFK_ZGDsk+&&oyC5mj|KQjk8@^ge@oT!W?QJI|E9ei7{L${Kg%m0E)ruC; zHIg-rKd#uD-}X(;=KF&Ke{2}awzlyk5@A}%c!q)v>%poB+)%c;?W;s$h!#BlwSvv~ zgYP062D0R~I*G(!t-$!Z3O1t;`UO+7EU7J1V$MXiwk<`%GDz!T{6Pi5VAvuus4rXH z79?RAsO1{pCK!4iREaojVuxGb|MDJ$>g`_~glg^24nUoLdvZWoze;woUt5cQ&GJAM zaVq;_9H`~*bGz97)~CN_H$Vk(oS$Oz&`bN?8=%~{?w?|FP|e>u91te%?N4-B>p#D| z*Fmp;o!h~FX}$Vub`A9E*E$=N78l0DTE;!(VLMyZcg1F)C-*Nhpwhofwq<U{8S`Xr z#HsLPuE)voWUj>><zYX!9@rI|hW`3Fy9$c^<++Xh)XLitn}Tlt^rl03KQGduBEO%{ zgPzAVZpd7YJNi@XJM`DDX+D<Hy1MVZ0z$-f?}@RX%fCGN*rwM0eeY#Zc-+f9v2iHt z*Yp;)q4oW~_Yx=|?#`arD75dF=N7iM)&2MNEo@Eei~Wm>pa*d~yJ#k~>DQ-CETPqJ z{~{OU64$tk9)iBx8fcOk27*S>6~LoWu?oO_l)3;>Un(Df+!qA@%X|g$mOCOq<;b%W zR5s)$|3s5aKY&{9fP?apQ{!UgK+v)U9F&7>8>h}fl$ScfL0QPxbuvAG&GN+o$OZn1 zMwu^w>9X(u<kV6}7$|LPzz>wNHShszfqXcQE&(o#Ql}v&`Op18Nn4(NpoFdKexUfR zbAF&_TW>zdTt!|RrxpT=%N=xxIRD-UtU2=RxL6^uID#$!evDARLsl0pLP1emp1z=G z$b;k5NyyKw0kRAQSX{DzfuaSTN$Lb-aqAvgrU~d>vIqf%A)k#=#~|Zd0}V0_K-H2( zFvuTyZHzhs>D{{5faOBIZ0R(})Byi32?v3o$hA>xCgj6bZM_UZP<J342y#Z&j9L$Q zfLA^tu(nZ*2&_$1TLjiR$`wGDH{WkUFL>Nrd5*viHfOWSd75QWasZm`aeYMyfu%P; zVU=%a=0z1pU}?>Mtcx3(*-?!x)Usw5R{6T-o8{}#*zV?=O@f5zb1Op8*skWQP1Mq6 zBUT5)Lw+JbAS<<O&6KFS5!jnit}W<kk7E<F{T|{I<^3K<CcOJS4o_U{_c*a4#MXQf zwFAetH*Ythr#wVg2Ie*YjhcdE&7v4^tZ9^7i&#PP5!P&<$Kv?KRn4bSJI&}xkKN@@ zQCOgvJ#leG^GQ@=GkU^fVflKL;C1cG#KmRJ(5UEU^q9x!@~6kx`sV*8E-q>MMHx4v zM?89#!vV1okIv<DkFoEYpH9qjH6c-)CNZW*!*WR^wyOET#O#8mQ`FmBYp%P?e67D` z?%W%H&Fr~we@#5RMh|NRzngEp;Qr5ixQXDH%<M~u&@6=?&$ni~UlshLlc6_8^d-PG zGv{iJWoV6$`h?+{Sa^<}47KropT&UtrFk7=>}~k#JnJ9sXXe+9WNyQw^<=sljdHC^ z8?|z+XWXS}31OPab8q}K(eS%@VkM1=x#;4?leuEWjpDiJqQ=9y*3<3>Xu@HdadY8D zGFRZ*dDc_zyS)jany9&2Urof^8(+<Mc$=<FTjO{RI=}I7pKz#V9DEIDJ?XwZS8FH( zH2$Co!!)DdH8|@DclMl)p-f}rXPR(`CJY{ivmSH*HdkvPQ{Pxd6Asq&g=^!iN8GF7 zXK~bT?w{vQ7|6VDMA13|H9g={xzu6zy16&{GF6T4w2lBxN0@(%7}w1Juo!gH0fYzL zGy(5T4GilZN8=srPK?-so86@6E@puIiXsRgDS;i05o5cVPfqV(`pBV_gaJ2$$&wvR zFZlswc3tU8xU9-*S;9XrUR}I-*!1`}9gYSD_7;UMBmYD3Ue|cdI;Y}k{lo3d<odSs zZCEr<x=TYNGh3s<2w`1H)<Rg%xJd&EYZ}R{H*5_w>@G^Igsg}_7n4sS#EQw{2y_wo zFv5D;?EoOWrV+;q-<G}t(?(fOx$U+jtZJZGweuPX)|+`jOiJ5^bQ^g*8l6u*OcC@x z#=+K*){}1AtXjS_K>h&;uV_TUYLM0wZfuqgU%HX}84zC9fWg9$)?;qpShZWy_2e=@ zcuB(-rj4{7ajS-%MN+@HeP*55l73G{0UcZo57-ofI_y@*dec!9E;j5|&GOvDypzl9 z`xqgT4F)qmHDPQ68Fd(&z_vP!b)c&Uy1f2A7`*_#$9&#|8LZE)E|1VC3zYLfv%%K| zeUcb@{gdi)xJF)JaUF(M?^k^hE|Lk>Vum+j?gZAjThEH$uD=Zyn*}S^-vpz7fX^|7 z8Zlk<SHaZMdZX$N23VeuAP{D)pGHdH-8#(8Kv#G4H24@{wjV4`DDMX!A$a$L4-+o- zgHJGp{4`z!?vOC;_1muKDX=JWz*pnnz$p^OERaFMm<GzZixt!#sh;fvFIHU))p#1X z<A$CD?+$+=V}N=#;UY}qNnoQJdIG#Ke4UJGtp7r|7@`px810501CI`WYQWUj|3|nO ztl=m4=ZPKx_Y8-7h>d_dhtD-&-V16oX9G1LfgG?H6WlOdQje*se?XWG&~OTTYhulH zb)naC)N}jZaMZK=!a3@A-<k-FmG50M>jl?;=-~+IK~iQx0#Civ_qdrg+x04aJzAPh ziYQ3fP|xhEjh3d79u)|0sAGL|BBZIL`vn#Qu9xUK(U{x5uT8CgxSpY}Kasxe8yz9t zMKUt6E+uK1SkJgh=O?VGC-=Q!tD}AInu?W>6iv{@q?0CM#UybPbP?&WiS@MWfqdaL z^|-$9C(>7ZwN0(3TzB&lR@G5`we#wTzBlvg@xE<v={C~1F*=`gxIlPSJ<fOSvh}3v zc3*9jG(h^1FTA22<y&*vdcu|6rxPXJNcx;FysQrM4ZCbT=K8I#_OWz5sVrZ3N!{01 z`?B?jYqjs$%hYeKpZiWcmVQq{<#%w^J$$E3sKc&xeQzSAt4Qwo9SiD?Uj9mATo;2> zi$NEiRN+Av%~bD5bxh|yfAx1>ca+2yT<p3$O)vvBuhP6D)JwdMDv7aO%mqn_n7*3O zw1fc{gRT-|OmEGDwApa=j80EuOi#^id9hg+<*pO{>TkSWDvJGZIn^cPub$pHZ6tlm z%U@60(#v0wT2iBxX2Ebdk?I|$p6qo^4|BsyTMu*H>#QE;n%8kX%vG;Dieg1IXVPZr zE|Oi-zUqlyDvH*HHOJBt=q_6+7Ic^O6z@>=C!ObvFqge*bTJ=m4y4V()MLFk3SyHk zTb(6_n3kIFsk6&}S!Dh^bMMjZ=aqk3p0&5X>t5{AR9%;gc>^)-nBJ5cBEGM|k2qga z6Fz(0l$X?DR~;Ozz7(L`>7B67)gBlx#goj!(V_8<_u4;Ky-Iate0IIVIA@u5%FNPI z_2%mRB3^O;+xaT7ETFcfcl^gstNF@HZ!dhUCMw33AN#YU*!20aot>V-J9o_gMMXW0 zje|CBWHl1|M+n6+7E6n2{!r(S)lXcz>vE0$xYO@dc22F*xk}aJxrww%-SC#rf61!8 zbMwa-Fzw@o=E+g3p{|YKwNZJ}^~X|<wm2}s<)Py>v-+>|Rz*{1Jxk79Pds*f?&_OI zNpJo(%2a%!8k$_55~S|wbx^^2$XO>QVQ9elaO=RW^oP^V@=7Xy`#+AI-B2s7gx=Y= zDknZo^A1#Zbw2#??Ve~c@zSZ?<Pze6>7|%iNwEo+xz2Njm=DB{slp-ZFhLfd^_a`I z&RPTM`kJy-;b3)Nx7Uwy8oQ%A7Lut{tD?cn2=$s}f8b5W7e>*LjZ@vb(BuSf&+6ni zx$o>m*8h6T4(YSiuzvs@8O%6vUERk^MS&Wr{>V#C0sYP8Q>TythFJ3?wLDPW-D^Z1 zJ?v83`CK1^uW?B&Ur-}JAMW>55dC(oDu@qud&-Gbu^j`>sxcOuYHy*}_Io(aHm&z| zr3Q#8u@*eFV(7vBo_S}})`1<VKH@*SJ#)@_t#5XudWr6_-UH6+t@n1M?m&xw_WW=@ z7h|!m_8R)^XU~lDnV4CIvtsKsTP>CHNgz;Ho>V9C(ymnrQ9agTP3;9GoUN8fxyM%f zm!iW~drmpQR(lCO$dmd^{JGOJ<@^)Xv8t8;<!nfOBChWA6cE`vRs}@ySYf*0287S6 zJ*J%4mikEi8Pl<%76YBy>tQ+bC~x>uEyVGdj%Bq-Xz^apxbqLn3BJ?^VoOZNl3FnI z;a<<E^H<87EvY(UUQEZLnm4pDHepfC3wnId>YMXN%KD}hkr)w^z*TdDGIp(oo!?W! zH>L2z`@!XrYE^C{byBu&-E~qnZjE(WLj;#mt8&7BAr~Xn{&O>)Nai?Mk*_ypF$gdI zU;k9(wlkhQ?_@&$)F@@;HZq<(=cGe^-k3!t*o-F6I;oP+`Kx8R<&0aE5pIWE3|D*Q z7CkOnMlc;so^etPE@wE&2A7Aap&LGZkg{;QQ<v32xD+DHaFPt}=ywtgPUv?M3AX5W z5(>T;ruMYq`G>44ZpP!ug#_7<@=&#yhU*`s%-u#vQf6-5q^vf=_mSl9PRl_ZeS$hF zp$}QDgzeyRm>SA$WGtEGwBGQXoYhSD7F-^p7U7mNmOSn>-5^BHA`?CYmj|l_xw(!d zk2(!BJa5P%5we5JgVdOWSHa#vYMyRK$3(w5Q5vS}v#JT9!QO#tV7Km3(P5|R2G9De zclbI;!lo*&x`d;eQ~jKyimk3iNLf3-jgT6`mqCO#RV$sf0V|H<ZK4iBih=(ZBFs}Q zbY5$*ns+oJUgxOdoEa@vbB<cX@aU{N&NVGovyK-$64q5SoL@#rb>nYBgx6Kmou5Ty z-Et0VNiN0fHHiuY;>~cBC6=&NUsl&Xk?O#oY3eD)%QjgR<Bv7<6yc9FSry?gK!p1p zj}h1BRg;|8;8N}QlaO+{;}PPgc~xX}$-HWGwaybMQ|IF?J^A==jaJ_s4-nU*q+0Pj zkAxLfq%))0isiUk9UdjsjQ{G9u&fGqe%)*}?)bfW{jn4oU+0mqq#Edax7ljcvA;U} zu@niPc~oxo(bZlt8?<PJtz)&7E%MmT>oU==8L6sF{3{RdMO9Ddqs^k<94Xb)ky+LF zP!DgeD%iQZNp#q;y4o``>)qQryM#zpTt$h$YEH#-e^qQnt%;Pi!&^P6p|@pr!jY<# z4%+!vocp&cbxfof4rL$j{zy6|WFK%0e{!ez`_TjAnS+%nb{8X5iyU_HlIQQ6RDQaX zFyLTPS!*msd;7>v7_N$aW&bj-r_9nm?DKY}1={}Z1$O_#qRIoc@qfQxRY{DAwV&F^ zY;CCgI?-X)v7{0X3-I^x^0q(t*WP+=^6Y)p%5(mznGQL5R%LH*YtDwNzIJ$)*E4hf zjO{GrzGCIHpK5BwCxJM)>PdCJy_9QJ@>bo>B24u~*?Y}dxJs7bojkd$+(yVFdA7X# z0obad{I=%B6InMLwDq#CTOZVux_)28)}sHuknP1V)u$EDjk2yd80RGyzLm8r4^@q+ zxNan6Ztd@GRaAZi+*4G3!Ook0pJ#KCeqW^Wxv%PT>$7#4*R6MSvo2eQ)t&4rSJa$k z+&fn3>8tw8;b30R<o%xu6$6G+l(&nv7BJOlhc@&%`GEfiPw0>wlZWo=m73m*C)FLb zMq8(-_b7W#pS7^;>a@H!T=Jyp8Rq(%ys~gZsphv|Z4*LN;SR5HR^#`-3+xk8<hOOU z3Bjs?4tH@@qxbtO!VRQIZ!>LYO{8kyrr266UP2xb+yCdN?hS`hA%iU9+b6c=fvWBf zBe}`L_iHPj>u2HLy4aR4s1WQQ=GSsmatq#YRI&@gIVyPj8eXQA{oNR=h1(TH=CeGN z3VW3pQI3OU;kn(+{>rE3vl}V}_8gSxyu&3k?+uk)`)-uzoP%be4o3xJ{}z>8R{4*) z_qxjKf^$2WUn;Mf&#tMwDp+T$q}hk@GA->N@-jOs)e)jI4kyhnG907}OSVtmv^VCR zykW1xJ9*t+j(75!{ZU@#=gI>J(P@WYrn9Rmu?3#nnV%|o(V|lh+os-h2cGFgx`RmJ z^Ldr$_Kh1SFWVnQiGFwZRWQxZq*Sh&d9SD-?7NYoEQjR+Pkv@oWxtvCvP!u9OQh(y z1FK+qE3={Uy_xrtN`U<xr0A$aUxDXVW^JW=;q|S|n#vbu7Z+6?*zX{cnGQ__pEffI zm40RyxhgL9jfmtShj*BPrjx_vLEz+y@<(9NigI^w&%!-*jq-te_pxu9PJS)V)9i>) zDYriB(ZjxX6MLfRWPdqIvjeV@FW8Hs<>f(|7I2ju>o)hE*?Y<w9pQpK`nv99Pq~fe z#esVluqPT%eknKA6dt&DN~0r8B@Hv+r;>sh_>gH~{m?zRr2GQ7XZqer>~lYrB#ft@ zN&@D(pGrLDoS(`w%$pA<uUcPo?<o{)!w&jAaqPVhnda7K-9-z_7hRJJ%73`_e80D< zZV{>yh4J)Nd1igky=U^?Pr)2`l2X2?VF6Q#wr+FlnYg!zxko<PRNkv$5uy@i{miXr z?A|zLpy6agd6kAmu!_I+HMgFTd%c)@4Vm0~UobiiCu_?8)esI+fm*MDt(f;dU~216 z63QQG2nVV-Ti1ZChVFvXKOr)0Z!sb=ZEm$iWLn>HHA^lpy>F7daQ9yNb42D~Y4)jd z-leiza%RcwyVui&5SjGSC#T9cF6G@Sj>x2y`klJCaVh&&qiIiBsmrPI^-FKku19Bf zm)<l<{&DwQx=?gxSLs!gp3+jIQyq-E@=6H;Nv~yJO1X76BJ<`gSJUL_yT_Df`|pY? zmG|F0qU7Cw_ps8%{<|mAh1i!~+}eR>wwG=jCr{lKO&^%Q^zW@Hc&3@axtnQvOHLg2 zpujM6RpRr8h$!V*6zl3`*n{s1+kBB-;8_gp%%s8xzQ_XbEE;x(rSOC=G73D4gq@jC zFyf2!0MEi;XT}w_w?vwNXTh*DV+tR(MBV|<{9$KC6`pK~<O0vUVc$0;E+7wev98t_ zYAs3}MjmQqT_qa6=SuuQ93r!>5)8Gt5}y%=h^(u4!}klqK`{qip&xBQMHMnygJLf@ z!Yw?ZYRXd#<f9d+XhAG{SEz*Yv=UUr5p&rUdP8|y3MyiY$?gh0r#vkL70ru%+Yt(< zJk5lBq{;lVA>4~Ps15x{l?fdX^V<<}qC9;Ax!NNWx-Oi;6SAT_eGNMELu`9n=sM!y zY2axZsHjxtJwxmZTQ~@HPzHFK0xBwz(PD^w*cMWyJbeNAcmq^aEc3o!>^56iktZaI zICuzn`Vw@eNaom@@XrmQQ<SH%kgM%7?^lHvH-t`5o}wTh%|Jy3GFo&oqivz-=!3%0 zkC#D3`7&Co!iP}@_aPrCGOt#I$B_p&As<_0j;#o{AP+7<J~qj`S{BYj9-M`I{2+5| zSr~;pI05<CAoFTT*d2Lr2=cK`=Gc<3De@o<^08KCRd6AIr(U3<Z(_1rLeGJxP*4$5 z?AxYLIPlaBR5UD>y(#1gJaq;Y4T-sI{;H?MRjo``@a+fpu$=vN-Y*ZtZ>PyC)+^9* zzWwIDb<FR7CR+#jbl$#N%<tQitqi_BZ(l6t_tnW(I-kC=&qe(<n!IAQGC8+bx%11L z5|_O)IlE`b*(afXt4?0IvvOLn+WBRH_<dor^$Y*c^?fAj_Zc8Aopq&)PiO2g+529+ zU;30dH0w$y-=49DW$(M8exIDYa&u*}m{0HDb7t?K=lzmE{5}lCJ!7?g=KtBhm$dzB zKl-=i<drKcg;RUZ+rKuWe;=8=V!kq2z_+LGVdwW1P`_7O;-0Te=JV-&dotU<4pQRc zR-lu6UHo55KpbKP%Gyif|C#{e9<M+r_HOZi(SW${73lchAN*ec5Errn9oy^L`b7ZZ z0#=}-dr4cr@_{&?73j!bRLt)$tkxR7{o=kD^7ltpE0Is<?sE~pKd@Q}e0%Ob74iE$ zs};|uFYMzH`(WsmVJ2*lTglm^@^&90_BDZ6Jh8BxNgUu-?(UXTV*evfa=5;`yIGXj zGU6ng`}gi{0wuPHSUAsR?(Bw9VlyGFG^YK=CKa`>1#P7=VFO&>on1#t>>J3H9wuyk z6V2PbLy3J&JpF^q-`>53*gpltrV$HEnM4M+i@h0$+Ls1mQ;3BnOb~-h-riNA#J+&E z-XInhGl~7&TkK5*-tJMvzR<Imz#}hbT2xTRh7$KDs_Ux7WDR2=s`uokk~M*s=Gw%A zfF^6MJQb2abRCgHbV;gEywKTtfENx7t$l~bigPbgM-km0T27f!oNsq`^Q~NsIU48H zkksD(udLeo26C^&vIZJQ9Y+x}O|DF;{db9j)G)J+A-=<~xFse0o^RjSKm!0g3Js5V z3>xuZohtiLc7aOmrBSfGfA*(+w@&<<e(KXFZ13tZhWOG&Yd2)~Nx&;5bw&34t(ENa zWl@XTf@Y6ARM#c7No^|CY(=)vJTXbo<z4a;<XUtsK~hE8GbrfCkvkdp=6%_I9RGL! zA6Ye2*R4_e2Y}cY#K}VD%IfCE#;zPCHh~B&WTvlf&TQ;1Mep-kVxu9gZC3bfSp@~) zVH!)T%W#pQAi|MAqT0_)zW-uqvo3+=CE8LRX2P<|46D{9NT_yY;2{<!JEwrzd2WFq z3II1Q@EC9=@^?2OZLB~61BWCEShz(1G8s66)JGD1SEQDJ3c$IZ-?Rl8VFivMBauWH zXoPKTx%(!I@~8qd!m&==eN#?(^bX`&PEJ|Yz$2-PtlDO>_=*M<N#(J)3rIjf1OiN( z6~{s31P|gm8OU{W+Qhg5-p(+&VK>Ys#vkx@gUK=7hBq<MfHxE-_svau3-c22_F8e| z0+I;gzpOw3ImiZ1A&4(n_-SMUk{Gt)xD50G&P{wUAF{;aP9Qsw#OM{r6<`@~rtnAk zkbM?+5-E%#K3f^;LjoHR;YqauzR7mtT6DKM@CXkYDaVQrT4OjD2YGLT5#27(+F?F; z6GC8dhmaizqU(x29XYfC;kP)OuVk$PThYXXl_3Fq7)?xC*%V-kDB@FA;51T#2N4Eh zpFpm(F<1JyzVn-6f}00zZDrC|Ho3_CZAdG?v|rhzBKKDzt<6mO@+Ka+&xW)%GVPZ) z(a8PpkXAC2zO)&L-2Vn?t!LUVZCWGu`ys6)CVg>J4Y^-UJUzlS+}eE!#6BdR{>J5R z?nVQ#9>mj3E_rj;ABc4&o*w2#ZSJ}Pv5qh(cdTo7D;Tk-2gKyC%xz$k<z(3A5{b9v zh}gS7N%>0tb93o(%%068Wq_Q{TT+PGyE#eeC;!P?+C%M`PEz{F=^IO>yscnLOfC#M zH<q-s<w1$bV3||N#Py{N-qu~g3I;7B+pjO7QF~`5DP8102gdm9B{*tN5r|1;nOnl3 zGh<}-k{4?4BoOnGMd={huPxo+Z7Cu4#DSOumicwqWD(iEe@uqGWR2Q80K~k2O%{?@ zR+lz5w&W-=2{33OIem3$W@Bq9dXLu<6V0Nuk^iKR{k^?45xuwA67vjp`uiB4zqJFz z#K2BZj*<CW93UndcA7O7#ornMVj^LuC&mo<TirlR80_@;7=LT45r_$fogNz_Z*9E= zV*FvJN5`VJwsL?NZ`kDK(s|@wC(FEs3|d?|gxsUB%!y<ocWDN(*T6C-kU`v~cEnya z%N$Q8F3bkT?6^QFwxGfaENGCL#F=g8trI9H41{6@DqNsqch`$4s7g>Fhw8k${+fa+ z1r@TXGP~=~D5yeE;XIYOvmQo4WkM)4to_C;6}6)UrBJc30jlrLx+4Yk24dcWg{{w` zdFyv5sMny=KdAie^=pWoQvfOrR9K27GN@hb*+A5eG=NG06_#K@3@UkhU4?>r0ioOg z6&7QO{nT6RSq0wuQN)fAfO-i!U4;E}ZFX;CU5SE<g_yTviL0~RjrHRc6beEy0~Hov zL3HZ>n0nKAsQUl$zfGG|SBoi2*L792NJC=O(5_ADsxBr?b0&l|m`V)i%(SN>x>8Jt zvbI=G)`@YB5H9-`gW*ipnK3w+6_@(?d>{WC|C`S{=f=77emq|9=lk^}X(oDtCKu3A zGVyI3mpC>spFQ~#*jLPj@qs>QQU&ZoxI}!Q44NDT_VKy!=s*%QISA}4;1Wj%9zc^A zu#d-uM+V%W$u?kL9+x;Wa2T4b2lnM~;o$*3%DzGrwbyJ>O*}%_mx&@ljaWJ1kFYNh zMQt_jl@sm=`vOtaTH~pla73UCe1nY7lXkZ#M%_WR83J)Jq?Ogs%%@1Z&vVr0M7G~q zxT4#FqdqCJZDuhQ-K#n3Ln7No7B26Wv(<Y=w)L#%&3xYEs22)F;~NI~tjSRaP`yKh zRI|=B^N-CE3cbK=t60Q|?gwo329fP?97|#~jCcDfMlHeFj2IM#V~MQi<K5>Kqeh@w zS7ckxTG7O3NxCf*qiaF+Vg!1C*&f7!cP!#~_k6|ZpD1((L&{hU4SY|{=voxwV78^K z=M8+GxVuF@IuN8@D6+M|<A3m3;_e*zs1^#{#W4|ItR7V&5F5uZ{(JT400IT#SU2BO zJ=%&uw{WbBzePP-jzHIOOvo3jM)MHJ8^=2N?^UBI2y_+4I{2Qd(MSXmu$~Wh>qF{q z81k95Vz_%6R2N}LChNJZTL`LiF(jR}Le|X()#(`Wi2^HI-8f1s1dIWYQ}BjV3f`Q? zn;LQkmD>^U6ATQcJ)RnhL%~!Skft4*8VW<fL>Q2yEt(qQpkO=<h|?-3ht7gZE4pnR zg(z<=V=H&kkrQzIKpJIo=me<TN=K?F#OAaulS6hW_z#Trr7e=SLW-e1C>RbPN8t@g z6nMOqsu(gy!4LpB0%N^t?=?ezg39Fx7z`kX;0*~BW@FkGNvpPE$Or}R0=C~MctaXX zGc*~bTt-JqDR4vDG0o6;kWy6yf&gLzV?WX!i(6N-m7_&q0Dyd@tQc#ZhLryS2ugX5 zw+bPp0zir=EAUo6q#Op2Ldx^e)>uf{4<KJCD@I!(NZAD-`IP4)tyD<)9YAs^D@Iz$ zkg^s)vMKn~&<g}~gX7!N2&$ob2uO$H1!)51&<zBn!trfsT;-4p0#e}k)-;N8Fb@q% zXJV<OGcB=PX<eCOkOs<~L^k$JAcb^BS!d6Y|0ODFAvGxKOgQr6qM|0!8AY8oM}9z5 z)Ie&G*U8v&Ymv=KCN>!Resa(q4SLPQ24YFlx*WEAyU6AQbHgl64%&h8&7z`zNh>Dm zAh!GuB<MM2Q%P!QiWNxe+!TYBXiz9-Q$ae@6w8&=QQ7h}BAX*jEP>R}7)z1VZBh*C zfbs=M&^@f^8)-#j>|@Q~OptuJ$Ywt?z9*KV8B_+z7mIB6F|l~knTA-dxK5ufA1eyF z&&1+L4fU}E&EQ-#=ngYp6e~~<jw3-3GaifOst0?JAQm&eJC>pzY(j$knDJe)1odDE z62xT23u6VU!7L<*!Hn;W<*Eh~kRVTHd`B!rHAoZLWRsY~b*mwHDQ5GTgv;vWpu7;X z$s{plbuFMg8?#9#;X`#fp!^e1)JAx&EEg(zy}<EZNZ@Or$exG|g?dWM(-gfQ(ZG+y z4MU-<sorQbFon258cLe#eToJq5I0Cd)swwJXkZ+1gE;h<v|Pv@-%T&7C9IH_^V#FZ zNMIBZ7zo`WEl-*ydeL!We19lmviBew_?);QnSeKiiZ#7^z;Qh!@EK54L0~q8R%&{; zf#a)?z))g*Z|Gx5Ia$%W9voka1U>|c4ie+v5r~bUTutv>aC{}bXg?9_2^EXWE!g8r z=|%g98+t-3#pObIuQX_UqA2hIaYH=e%vd>J-rEs0K96qmm4M^ra%g-Cut5n-yu1Y( zp8#x%2>57u4m3Ul*c1|&qvbE5@m|2@3jrS~_nXxs0GoUQbENz{G~Nu@<Pz}Vatmm@ z8Yq&59#i#(A%U($pgnYvs+WTV0z^O%TB+>yK>{xlfws`c%3c~0=tKlsA;A=ug|cEX zvI9;_RWEOWShI_CIQR~P6^W5s)jO5QVGe#;L~c=MDv(Va{HTcBq~57O=5z3UB65Q| zQ;zhp@vR6e7LOZ*xRaO*%HrbP$?EzEB#n)4Mp&=#?tX|MMP9P;jUw{D>d|rJfdaDy zaRU+g7*0!4hc`h24Q2}B>qKNboR+Be9Y@Zy@s$YcF-AU&(-PF-jSxwKtX5!JAihdO zK7bqcLTWKWX5;@Av4U}04<ryHo7lLfhy`I}TbvfJ_8mjY<k%9F#lpyBoc04+q`_to z)@__7f-2S62*Lt!8U{U9W8DZV0H<|B$JAII!n%pmx}ZgB3_)1FI86vus;~@%bq%L= zLXTBg9K!OzX&n%Yga3>1v(%@D5p4+nit%A}y$q3o_!o?yp*}4`YC!xm#!pk%4<Tvb z$VEE2P2k(YcrVSXQFOY1BRi3RG&n9rklD<5Kh>Fn27G|Kr3}xh&PX&M8Sa)awoG+C zLId8x-C~A#veO?8cmo@z2z=#vE$oq9baJg=bRsWS(dmo^M8a{&g78^lk8DH&B4AoS zW6@-%EgJ9-z&{4Z^=*mRY`*b_m$tI4zKJ1_<hdz2Ezy8bfLtLs-NfKZ@~G^QHFWY3 zxH~~m-^idy@-`_tb-<AYNWeXS|4p#Gk?~m5ITJLpoKD^k$MrBMnoecV$YMHqAKV=; zINiYDiu3f@BV$DY_u=k1L47@gpy`~82Hb(;L=1tta~uhP;5dxIRd@Cv0W3JKn?X@` zHX#9ia9kIIpzbU|0+?`|kRecYW+4F#IIfeyRdpsH0iJMN2ZN&Oq|wRQ0^i}h)zC;O zK>jQkmF3C7kwSo+De#r$wSXhp06ASSI+T|Kj(oxj+j!y1456aU3zY3b{9j{*_RQ`f zs;4v~P0{ud_5a8;9HO$O+M-ea6sDn+N}6hWiuxxo4JB0dWLpsGAICHlQ;$hAglySv zQDH4_xjci<mKh`dQB2wZb&E73X_iEV$C+{cRKjH2LDc^_(=eGg+C&v=+V+4lJ;eVR zR#?IFZK76c+O~nRRfvBmGp?8VSdu|jw5<naOA-HvSm8lt+&kXRMk-g+HW!qw6cz4g zcK1-l;tUJ6Y^kVlAJedhS}D#D%G;ztvWX)92Ta3w-s!OnzPzm?NH$Nj_bYD{&yYj1 zDQqvw^Tjh-AlU@Aw}>}7nvny^hOoVbJm1lbmyoO%+xvw#I+EcB$vUyU`8?l|jPsDJ z8QYu78y(KDfMnHJp^SP=)fR^MyE19*)J3W`4&o0mX##4cvdstazsRJuQ6DSYXo$ZP zlh$f4m}<^KZ^Z(89f-74?(!CUmNbRRk?uflMFM+UxjU69hdI*I^t~<IOhw8jj`S#f zZxeT?B4s{Dx{toMftx8$>0?W`BDZ3Raf9~U$z~Vy7MIwa%&nhDNn=YlBez}=yZh}4 z(v+8M=|=kAf4QUMDGwCQwxHC2zV{fBmc$KjvKMHYO+o28`d&LCEs^Uxo^qZoU5VU! z4D3Bjq$O~}8|_Jwl+}u6El|3OzV`spu-9HKP9d|U{}tT|CenKB1>%%VY^kQ`76k0I zCDP)#zGEq6^5!MzEf%nsOr-s=U!-ZCL2lh9(nR)^>gEyT7D%LF_K(%g-N@_!f!1w* zOx;|E+`37mb=facHzUX`Um{ItU#V)&KyF<l(mL%QtD57GTOLGOhdqlU{TC?A;+`H( z(T1d7fkK#DFH4bu(l0<^2KTfqr3RFK1`5--^+PFXp!lL_PaDg(h5TL`SEHzR0mVBJ zzcl<!3M;dj{C=uF1@-%YcS*^fQ}vOkUoze$A#a(ge}wwI!@I;}@npR}>h}gWNMZTP z<679_U7|g;tkH?MSVg@v>KBQ>NoIx55?j0x@r%GO^^+G(*4v_f|6m2j@Hc&Au_TV6 zs5b}2dZGe5+@O#AUJ_@os5eFZLU4mb)@UQyQxa#QsQ(QVFGT$AV+9BBOTFYplDNZc z@y{Z^$M~DKtopGy6SjD&$nPO;@RsG<Kz=WdtC831pnieao)Xq*J=s$nr_C165fv1( z>hU-kB-UUB2<tQ+R|AR1umV1-el#u(5=*dx0@mr#xKK#^11sRM>PO-jkhmQy$YY%z ziL-~qjaWertA04n1QKWB1|4LQs{SeB=Ykuwlhw-lAjFS`8wkieWxW^T=ZqV)ky*-m zXT;ADz9ciLoT~N#2X-Sjqk%oA;I0&kuQD=6QSAs0{7o<TPFb#uBy$E%(F>X>;fhFo z&cG3RK_g|kB66BNU_&pcr-aKRlN8lH=*<}T(xA!X$!Z#U^ChsShLSlE`BG7Rc9!5v z$&}L*k)iB?Kj;OOl;zDPYDuIYd%ys>83yb*3Sa6o;YlLh*aK^jn@@p)a?0uP$is?i zLvUaja`O?e=MdcWj&i!ugdmBuXAjIlZa#s#-cm-#BIWYx_2|t<@TIqu@Uh4~dG$!p zfTHMT5KvG;S>9l>MN{1!G%#Fr^B!#Q!$hsFo<wePU;~i}PhBlVZU(^ym<dZ=-GSWn zhYh+-Nb2e;<mL_7pvy$9sxClo`oIQ46P~L2BXZLVHs~~Asj8!qo9=LzGIA3%Pyy`8 zqU;=woDU74z#f>ADU0j_2l9bE8I+x}$TDys6WEhR$s7t}aQZHYzBn-7q>^^F=s%W* z@f8&r=#41s%Sq;&A${&tMFM)`J@buJpE6bP0=<#Md?V2(OjX=NZ@gu`5$g*kD{i1S zUSVJANT=mtHS9h!(U%&MZ?nGVWQ8MoBLcIoCizZ;g(@mepf_GH4f^%fnu>#9-v;DH zIP*;sDYHqxQWC~s_vs=xo-yAfl6E%f^E4IP&>K&%FNc|L5=fbi`o|<;`ihDrVBb9C z##5%jJJRy;uxWXPA$sFBW?e?g91D}lD}F<7u(2<;%s26*oelaVO+`;o-vZGWGV@Iw zY3Eqje0JYY%(|GAiHG$;eJacvA??J&%Amec%$iTi91Tl?`UWxU0@BXWum?~dhFSAS znImCtP+uEnok!X^5_TBstH-Q!NSVW7eDuZ@W>>rZ7FER~<i=%Ymq1^vtnf!}Tw->$ z>AzQ2xFa_%FuPjyJ(U%X$n^}OflQkxebk~Tbq9OQkn3FFODmziS(_q#be_|5j&A*( zFsgWD!Ra|kw{9l*Dju!o^c<pFHxfqWkL2v0y>#n(LU^+_Z?e=2y&g?87}RD>mO6kv zJLq4k38$O2kIfQ#{RLoMMc6s<=mEQD1Ks*Ku`7vCKmN#1QECbHtV6Dc5xWuz;p30a zD@u*P9$mV1IbnH|HcRrzLQ%RF>{*Ole*jn?Bwl()*g5`azM}L`^!gp(OBtcQLEBSP zx)#080jx_2;SJh6@uL=b=|E7=Lb|mL@y!oymiSSQyi^OlewWxK(iW>rmB@8Au?y3F zuPz-xt_Kpky0tykrLD;ITg0v|?Jerka^(7TVwX@`tSZezu6q-^I<?=cN>h;QSBYI6 z+McS?NaVVJ5I+1!AL{uAeECdRKKy7J>?s1iWD>$<kAz@PF7PFtuw3?t5B8)3Up}cb zlpHsX$O>V`V7ybfeyVz;c{+azbp}P-5#}eHIy4<Tg~p-GRGccEK01Ylq0B^_Dw$q5 zg>q14JWdr)S4^U3L6Mb+RHrtTbIRDFog&@|Ja%B(X%amFinfY)Rch1bY2!)M4rTs> z3;U)QN;!}M-Geg2G2T&JKS`Z2&Y>z$bCel^@s8la-sw~g`X?w_jxd8U-XUB+LG9Bx zZ7ktvD^Mepc^4ynQ;#%E2WZgAAki`ruT-7UFnv^mjt7ZUMNAOm+2Fz-)4^iSYPM*! zh#7$KzN&S`IMa~mKa7W}!*Gre5-BiVky;1m@FCGK#w%2ZjdEfkQ9s7}qShJZK#-^l z<K?TvMmSVR^c~~ns&z&<WJpwt@v_w;Q|JqX>4wL)PwT4CdkB+`#|oy~l;{nFNyTH^ zrlXXo3&Nz}v8~fi%ECO<HyswH3LIKwQBwahMIjCBcA}H)VQPxNLFsSL>Hdq(YZ25b z{7pFB$LYK#frG+do6~)O&TA0V$^B*QZfiQ}BrF`1rA`*QqrR_U;egCa>Yu~z-cBc- zfc0l-vd|9f-c0BHE6|znhuGbJAimE5Ql+4-N!BLucT*HvqQ0R3sY2k;B#V;xQ`y~X z=%gdCFhNk)D07ndZ&DQMfZYoa-+KV>n?R>g7OW|p3F=->C+&x0dt^?ULS<0*VmfIb zEQ}X8G{~aF{`&0hu_E95urN+gS1;4m6wXC`@4&GlS)00W9Px$VSWFhBF6=>kS#WH( z%t>9?g!uZwv0XA<bzuqO%Y<WvvNly=7UIi*V>@M0s=@@s*AtHIkU6OeX>?Myz-QQh zHPl@SkUk4WWd3rnyAU8{3VdY#Ens&xKuQ;k4Eg7P-Jh`hHeQ(0N2tj60)@K}pVwHv zJySR&^pN_bDe^y}J|CI-L&AWm{AhGmMADZEt)}vyqCN>seTh&tnIDAu#4+{7!lP0j zAzQdxlwZr!k^Au3LSw`yib)+18cThWW=WKPoEh6M)Sb*fi26Kd>L>F?nuLRz{5_yh z5Ak`1<yY{0nuHaa{B59c72*@hjO`T$OMJ+R{Pm!4DdO`G%Rk7BeaACx6h>+C=YqnO zqWt|#VUKW7>|?<eE*0hPW9s(^E5trRdA>AAI8o&DfT<tPa~SjC%kw*eg!4pJUwI?A zj~o(CVOA*52lr`#gcF!m5pQJFCkGM^VOE7apHZKekgylC`obF-@$rL%otRZV&u7Hv zJS1$!ta5oH!#);}uo}yk36HAs!w?@=CbeC-P?gU?d;lg@Agoa4`yjK+J*aKMU}ZiH z@o{2OTWi{;va?X{Sis7GNKNJHwA2Jh-Km_89f)@%VAaYsRk|PMbeyJJwQw^O?wdFr zN9k5gTvLVnd``zcx>W-=L+;+k?%0ZW#}Z=)YoaEzT~Kc>QJBoFn{ZEKcWg$yUlE1< zHM&ywm+X#>bgO^4BjfH56xp_5hXLK{7?GO94Qr}t(`1{19qZ^;c0_6-*Js@QJiB8h z;{6z~I!vS{aKjpFtR(KM71>%~$11wj0iu3yjY{lJW_SEo<Q+_;_SCeA-8Zp2G)3MJ zU}a0B#&dnf+{@(IOHgkXU_~ZUf7C40WX~Ypw~16yO@%sp1n~xmRIDago!yOi2N0>< zHAmIib%^&(BDJe#p*kBuynTsOVNHc9I|K2)Mx=Ju1go;+5N{76wWB70)A28mpT%_; zcGreFz5@9$w@&6R13SI|`59aXnR^Y`@fpZZ<JJwir-6ctBFi?GPYXX)N~=+1x`2Y6 z2qO)TNnvF)^HZlXQ&7eSyi>~en97Vq8OeC3gl{~R`3Pma!#l<N!O2X2l<@}FOJVuQ zX)SEQE|Fy|Yh;2JtH^Xl8IgEQGAnGB*n*7+BLctJ&tEv1X^S%c!SasbF@5|&35}u1 zGzSHGqC7iXuaBQ9q1h`kO;JV&u9wIfY2<rIXeNrx-$21agmE9sJAhy8<u8=b4zmS6 zix`jbn76FDF`5ZmFjd5Oi0i#&`84oT#k3lErVh#o#4Jl#BlUa_F-@B-m?O$7X4T;| z+5e^`c?fHEU{eDL#;`m-t8SE*1_>lsUIEKtlokpJeqeb#R^14V0SVf%ygZh}2+bZ6 zG-7!<th!;E2_(qG^*Z=gs?4Vd!v)uC=c|;NK?s9}>k0Vz%1keW;f(9G@dK2Z&IrR1 zz9>tpnEK=cw(dr*MFX=%=gt(0kJ2$m@yQWv{hOZmouZ?3By(C%(es)qVG2imPU{hR zUL!?E;W*81wV~(LQ^MqqNs3QC=(QO5;$T|v<R=<>?ImDYL&=zMe5v?!c9!6a$rOhP z$53|bAN0IRicWKyO5*6pZZ$xzg#ngF;fsA~`4UGrcIz7C+EXB}oZ>L<cv$ht5NutB zTzdpq9)dgHQ5+i6bR~}V?AAHRwI^`rTgu3oqg?)JJ$mgCeDN(MY|ODw{%ItrRZ(;; z2*@j;=rp7mYd&=cwGJ0uy9ew2NK>glO(NGgu%2jk!{{d|axDnf!_orOpE{6h{;*zm znw9!f6>{wctk;#MQhh2wuKB=v!nAzVr;o@rFIcZLEkN}t8oA~UcPbq>L9G>lWfsMB z*l|A8iUO7}B}3-e2e#$|mKhXNnPVB)nh98@Q8I=c7@U?1qFe`NOe)E=B{o><z*nSZ zpk7f}?n!3MP;AsxY69x@o*5&Jb(%_jfqEq|V<fS<Q>ph*ueZz?actXU>J8NE6_#5^ za*#XJuv^STxiuu8=2(x(R7cb+0^40p@|kc5RivIky<RZ&`eRj^)PrEl2E;3z8IwfH zXo{_nI55~Px`@{^W=tZ<v?(@Ule!J{dV=L1X2v9tG8$u#N*weRsY}3?d5G6jrrtY} z&bY(0Jk=2Ox{d8FBV~*^$mFTNp<Zk(*OnO*Pcm(YwbG>a1hp&><&v2(aU|0*hxzQ5 zpV;nVQU>nO2eqiM-3ZAPcPN8eMzP&|QpTu564Ww??JgjhjygPmS}<%kkCZXu;0Cp{ zVY~B4rXvoAp_Y1VcMd6I*ny9FU14^%#~Q0rA0b|snVo{zL1n5x;&qAH*%q6sOm#=R zE-*V=V?C6qj>y#vqMj@?UuxH)NOT9A%#f>GAh(rJ*Bt63wL8ygI!E9AoiL)Xv*0wH zr0;Gf_$cgFbD9p(cQ+D7<aTm)(_Z@SdO}!pX#QlP7kV|Cs5clIFq!B8HtnG2RudeW zLyyi9di4dcyNX~sVfTRDw1K|+II%N{P&aPpr%1E}o7N#$!-$=Ugs^eD^NK_xut}G` zyPTlY6dEA0vrr_i1)CNlS04bo4-zlFBbbic%~vG;iC(<}<dzZY8bUoZiEGiT9AI}T zA*>-ZUu@SRPaFtpT1ek*LyY+m8X&gIktb@QSML%#MWKW0L?v>SP3*)%Q`LzB$kjk% zXLqQFI<XbGdW+cE6>6+bEJv<hCw2-$2UUrA$W?D*=j=N~RbmQq^(wKmBh*8c7>QgJ z5W<G-^r5D2K<;OP&amAy*i;1MW)i|=c0#Zz7syQ~=*aB&U{gAf`$?Uyv~}Y&SRtM< zSk5VYeX4r68OooEcLp1_Bc7jd=OHL~Dn1VNOvRn0(9x;*Fw`><ca}g4r{X!NXFTpK zhAJlG&w>qBB8xip4!La^yJ4p&=L8--069&@p8y-SigK#dJDMTm$#^@|^B=sU4_YX- zg%t67P|t8I=P15DNu54!OI5_1qn;sH&Jnz$7fRK{{|PoMM?8bEoJ08f1hsb~WGu1O zR>T{jo_8^eZ|dO&C_ocG8Pu>$lvAosZ-9<!;>Uv;R7IX3mScl={D6YRwyW6<qeY$p zSk71VvN79fsNp{>2US1AZG}*S0?R2<FT-v5P{S~mQ>cD6Y8wkR^kX?+)XPR~A*i7X z%gI+i8?mKA4d1bxT=lXMTQby8i{)giho|CSAf9e`bUUQ0iob_=((z~k)TWHTfp}8! z=r$-y8SjF4Qt;?j$VnNKhkB&L9jSs-EsQ9sWtk#|2G%*zE$m_E6u~K_r9G$aFM3Xk zpjKgN!l^q>&uJ2zQdnwp>JHFz8U(d+OBuV)nr?9t?iggGPR6*S9<Sk!0fv>-GKXEa zoo;agUO!8dF?L|xW_r%Qf@Kqy5WDUV#N#<&Q7NcxVzfyt-4rpFs7EMZQ6V_h#E6nu zQrUHD=oUxdjs!t%Bg08zxk(YD1J*4-JnjKG-vrAV8Nr&EnV`Dmbc_9PbPvNx6Qc~O zTTHju2Y18^PBk#1#FqN(y0IdU`*25`pthc&tBIM5dfb7dMT|Ce%sAo!!O<8aN*&XK zc(CB;ZibUOrU~)zgQL3`y6Tt`#DfV(&n|#c#bhBK3^=-z5v7VrKs-F*=njUHDuzb4 z$QF1HTds!cN&$<{f?=7Z9IPt@EHVY&GRqdQE*r2&7Yq+s=74pdu<SP8Go`6e5#<He z>_XgMW7+o1jv=at)HF>I^$~Ud$Xq`}4Va3GM%`1G>!nnysi>!@djfO4gsPg13PRoE znCr#Vqf%2LyJoj2yOy_1ZpvrZ7$fdcOy>crvD7qamPFadnbG}J-N~qfsQYu~`efd4 z6LnA%wFj)xL)@QX*%dtRCTfKyY8zOy3ULo*M)y*KC8lIW)OxUHDdPSR%Rb1Ae#hI< zNR84&%>`>#in8}JJ9?;tVp9ut%~DbJKIZx!YK7QTD36i`)l3w*&vw$|d8fuq`SPfa zpqhE2U0->_xTzefnZkCVJa62z1*)0Ab`|l4M@@5}njvggA<ui%^d(f&i|zWt8y+$B zgK9dlUHLrk5!3TfO*6JDmp43YY5~<$W7#t5QB_nJ;_k|HZl^9(MR5>!faxrtRw$!< z5ci8r=Qe7vGKz+{J29PGAs-H$j{CPjtqQ;bp`5~%%@B<PM`4T<^`|M|yvSq-vgN?3 zc%A}@Km|?e?Nh*Ek%<JFVZ-rwo*cP}QcmLja^xB+s8xqd&iZ4r<k|87U?NIOR-c>% zwul(X>g|)jCXq=$#9_n#V6r6jkOnYB1V{16Cdh{khhipukfQ=vjR?xspESU4h~O~Z z+6b8{fF+3FAnw@sKO<<h$mE?mL<9UP5|pWj#E2d$C{=gP?lDJaWg`Fo^NQp}f)aIz z7+H!6$p15xbY^`bT!|x7hyYbrs{u8ZhCy`@9EdANkxoQVs6MF%#8_Gv6b8Z9apee7 zhzRo4)ha-MrFB9M5PTI^4kIy$AX^P`;48TQFcN|YKC73ifMP670F8j~CEQ<zTtfuu z>JTLWV`;5W23U2GzN?Mv-D00AHL6iWxPVnV|7S-<r*PAo?Nk5H?fURP&CBEejIZSX z$zR4(5s%O-?}!~@`@zWwfAq>5;`$V>x7?_OUA2q8tCl-FVHB%~a7M305~Gv3&t{2T zwGp`zL3Hl7UpN_Ii(dH$$Ua7l?z1117%>zP=3tc`J=>1BzRx~YVq~v~Fh#F~5Z5Pi zha2rZBt|BRh~L1fg~*lrK=uKmbFckEiP2$p)z6|UkBQN5XZ1TH6L!^9(Uph9^>4Y} z4fd&GqZ)aH4tgaJ*j2(EuDACP8)>tv=Fqc?xwW{F464!q*$DR(Zd3zRjRDzwZtbX1 z8dN0#vJ1GUMvX$Dsvkf$k6Sxp#DJ>Wf$Ti)sad@bs%iwXbGWs`MkY{ICUJd-y_G6r zR#J2!u5Y(jDI<cAD>UMIfqlL*!V9_LOkCe)AE1nIMy@#G7i8p$sc;{#a#l}_#>`LQ z?I|p8rD2XD+!3t&Ta@*kwM=P9=2V^%Wi_*&DGc>Fl}AKbjjUw~!)bP<jVP<0^-OM< zqzLyx-D2<ygXG}Ja2o3N5;L!1rO!Hsitw|ugkMNzotiKVWmo<o%Bo~7YbL8ChJNfy z1H>&1Ge3%7=p*M#4Bgn3vzFphEUTP#YTWR!BHR$HT!y$k!pslh?eAEp8p*m6Lwk1R z9K`Jj-u{*~JZ31Dhp$K79^n_>vYw3@_Q}IXf+`h7Zb4X932Rvc*;o_a9aK47<aQ5V z_k*lbhfgAIod4a>=BvY{h+7c84kHJs!#fZ+e|%jx*-9N=g}B|o*L9Iqs_+8D%?Dp6 zB<HKbKO$~k__|JVfGRv1adXGpm4=(3$_mUpi?w6ea6VLtV&*U_U1rz^R_0^o8LS;L z!!ock6Eja^r4OxTaLO;xvmD^psgxZpCc)CRd__nG>KX-PorGTxnM6&6B%rSE;nz|V zr>T$^sB04ZT4JI*6><-CeG9)9o3u@a+(2Dl0a<mFQ}VSn>~b@DRt?3w*~DWq#1VCk z0L-f?-V<v>6(J{3*B9`*eiM}@<RDnS0dWn7Unf!0n@lPsYZ>fvUBvYn{5p}cqsb&+ z6S56;eF9`1hF>R8(i=^VO4jNtLY9E#^AOjk@Va-DW#en7<spWs>utcijFLXKRwfVm z4RvJ$S+?-&c*>3j6Dv(fPf+;+dKMXe9Y@(Qwst<d{3l>uOi9Pr_Ce*US-X<517BMP zm5&1Ed`kN0+9ara5HK&G>=<4904m1-a~>sqWUU)i-UgWGQFe^1Jq(rC1LirD^x?I9 z)b$G7-fm*73VDRMUWVHRCWFclf5i0?+}>uAstj>QTra@wttKAI5J$u%gSk$opD)#E zQ3Sh#WoC#A7yH~ws%_SHlIoo2l${fqeJ2eobSyY!Cq-t>ByWYzYEIc9ky#^YSgs>y zm+cjq)svnz>*r4fd!a7T|Fcm8CW9TovK^w&)udC+`bTF8b$NlARgrc~=saMTZ4j9q zXSOGiYR7f_6v38Y**e4}jM<(@dN!_eUJ+~rmg$Pj%1O(b^aCV17K-4tVA*2C<pE}P zka^)9X~($Ed`0k|sLLJfa~Y|&LEl3YycTugU}mMHXAS!KVx1Ow@IX-6LXnvb^Yst? z0I^PvJXi~Lxyx)9=?|)dm52+Q*^bT5Z-WOAmq2EFx4wruxD|1^#cc1=H&zFiBQDpO z?Lz%QRd62S;>~RD)K66frywp@ne83=9;)C-#6>`QHmsu$m3_lLe<m#()|m#&im=a_ zq-Qc6Ay}4+eNHDWlj-omvUKco8{wH!OQ^W-1(uj0m$`tMJ@G;c;gnL#o>TG{{c{VU zR-t9WDLGF6+(bB~(9-6V?4z4C5YpvZee9C0$mLk#>p|^V!`B79%q6xb6KW^4(%2=N zk;|`$?fu%iQmvQll8tn;e+k3mS`QTWZNU-)y4f+}g(SkWChdHQmK(cd6>>S0__|j+ zSfWK%++PotEJZFq1U?^(Js$Dx$=xm6f^|<dhUQJ(*8)pc(ajDJ*Y(Cmsy%uT=R3fT z6GYvCSbxp^$)KD}Y)2X?ZAi<4U9yz^c^`3Ik9LJvt3`f)AgE-vozh5H)}U>yx!)aB zGF;?*kJ%>D*45mfi&AFK*b4Q@CT*4aVixKY3z#^-j;VxNJWr34afF`MC|H_{YxP01 z<(?gB6b6p$V#Asu3Iv!TgdHnz<QltV6folx(nqzDpprqrtbnj%RO<m$f&pecLi&i7 z8&uK;nB@_6jA$K(O6mc#976iA79YKQh1lM%ZLGTg2)TTj*e=i>RNnVTE?*+Hw`r#; z@4F+HFA&>XwLO&g9T9p4tSg(&lg>0NZo7jye~5OR_+R%Y%~EsiDRR+Gpq4d<K9bH{ z<P`5f=#dz)Rc)f2Im9VGEh4t4(-bosImJgsaHCpVF*C(3wh_Vg>QMPiqT;p>N{_)Q zgVT>DZ_`lvON>~fPMesCP~1K{OE@K2Z9g#+!Y=+p1XrrHo2QkMnVall1B4!i5s%`O zzUe&4j4Qi%4MKm4!R2cE@tH%4+lFBAGKBsJBOby9@6`5<(~+9nbHU=3B6vS8=$Rf6 z&+K9sFBQT2a6->?xp<~iep?z;JW)h{fD_`?_G2@J^4lFj#q&hOuWA`SGXWJ(VMJ8T zz-OAF;t7mcq?V1&WJAS67_m^z7@diLihF_dZ^SQZ*~rXIsJIg&=BpVaGv}b<W{jAt zmJQGBf{Lp#SoZ%5tPnb;EmgOlB6Js=&_1nH-VQ?OG@Kxq&Qsp@Lg>yop>5h<dE5Da zzn&nI<w=#z3eX)y%n*PJz^#IsW|^Z@d5(jeqZ7XiY81-t9OO71ZW7olluQ4=M8gz# z4+Y-BT(PWm61;%|uK>7CU@uozvk@~oTq9sK%iJeHN7V5p(=nNM^5$&pVYc{?-r|Lv zZuQ@c0Iy&{zih2k8No(2(uw~HWaG;F3eXlr4CusTFeOP4+9Ye$fTkd_j!v|LDT%U6 zv_-PL&Q!r!etjpyeUIkiKv2d)#OWcBb_kA45DYa^^E6IIuIw~j#F2$<FX1h1pjv92 z27=N~i?)B~ZO?0_YE3%X0gL|E##k-cdq2~UWmqKZryav*BQ~=!7XSb2vIPAv(NeCw z=#Mx7m!ASAcJSIhMxG?^6FciOup^gXGE#n#oz({HC>Llq$^0eCT?%k5h%82c2LSOP zOnE0T8CT9zfPbRE9RMy9)HKN4HQ-tl-~hx@L1=?4PpoW~g9AaxLORg~=KhfRi<Q}O zPzwd_!U9pKyVBJW0d5nWM6z-<ID!BmOu=OSYOn(V{9!`3%u)?jA;1lo&?Qr<zybvD zfeAuciV958dgAqAjd-0yLfLwQBW6ooR{r=SdF9Qe+hP{ZX<0dE&bq}*7c4BR>`Q;T zXw4jxdYiQ4n-grFB&-QGKl)qJlY?{C&6%^&Y|ifa%Y<`&EZ*rm=lJR`?7q;s!zU#q z{pjMy)x@50%a_x?zvg^s0>M4D+a97E@7v73_g(zjhi|*RtHe_q5r+x6QSA*EIMMwx zb<t4f{rs0Z-76<SiwNL%gYE~CmIIQ&|7sT~PHj0lI=}zy<4WhOaf`b*_HG}1T>H<Q z!Mzs&-}FoKtACaD|Ftgq=)+SRZG6dBu#%dl#DOHgtM_{d%Y2Xg`15(O^hfLhMEK{h zEgGIEBYVd^Oz-Hsw%n2HI<#m0=&sJ&m7S9ZJCn`y7j@MAsVbZk@I3R3tIYa`$KI|~ z9R};(cgo2M@~-jFma*M^KZ;geU);emRRXKVGhdG1*}mb>H|amo%glIX1GX2!cRfIx z#G5B-<M;Y~E7<H4|8j7Z`|ZQ8<+q|A?l>Pmcjc0K@9zCdQWU?MSrPGTsk->DU&jZ} zocYfMqerOV3wOd4q93Xg9%rg$1?lL6mIm`Fv$wxo<$FGzc`KcNr1*h&Me~1e21GCa zI}qMrH@+lT<S<s*JRRRfKR<oJ^~@2O*QMg;LrjaA7|ET9|1QKdR85#~E-oDxhb(xv ze(~15%kM6Cxm)_zoZWNhE-jtYs5Nivnt6A1=N!=axv1yPwki68Uu%bd9p0{3u}QYz zZ^J|18M`@q0^ub_Y{~6G>O7<UkL<4B-Zor0kF@aJ!|wwY7TC|w@~W6WY<%K$loF`+ zSpz;o{!ep8{wU{)1m<sic{jV<Hti}ppP}t-bh9+d<a!hBeC_h{Pfut5X=nFTu`JLd zG3Es8wZ?eIBd&_yZ^gJ@I^;hPlzaBE;N9mZfIR=`B@5X4HlgRMMb?uhH-<$}LE`BV z*ZN|sGwCkd(^@0HI@Cy#^&i>kRV(6Bl6KZFJQQ=}KtcL08^Otdi{Z+zURLMyknBHy z&!S5rv<7|rT3Ta%_Wtu5<9W>Q^G;owXbbEi|J)Y#?YDg^ICTrpL^jXcd}^@T1?a5V zMqLrzX8mnqsdfSw>lj%7#|Hb<zZsvpF6Yz!w)xVwYIE!s>#na4HCi%iB))s8=Wjn_ ztNPz;%30mFZbe_}&NrT;gW+wdYp>|3-&C#J;`OTNUiO7*b9gIt^cqX|m{$6m_>c0a zW+zi00B;{V|FQlNCG&3jb|i4skqkxQbn7*9^XJ=~cIs<hCTu@Bo|yZ^y3?pC#(vW= zD*7M{<W>6Jv-Yw3?cM6dy14Fv_@Cb7PpkTb(l3knEm{9=W)-5x$h3xS<hXKsPDV?< zQQ;h|*WkPR-_HfVUlzKlarKFc+7(vAs<M65-#38TMN4b^=PVrm<B07CYqrkX0~X|E z>pp}(Rec`2ypthne<0JO89ZSh25x>SbnZBO-Fam0;vg@LIW=#wd*g;(4!R4TUiLkD zv_peE*f8+5tgrV#`@(mjbIh)tJ67>`^7FrW+_x{uHD~+6#!iRdoWD6}{g2Q0n!n85 zv+mczoXTw{&cC-X>`VGx;9lkN`r5YxFQ%gJE$P1?)j!UiuOqx<Y3y~bLGgMfqG$d2 z)9=2yrOQ5ZN*?H3^nOjAmsfRZM^EWOJ)iMTovMT2ft#HEyt4(mq~0~_ovJI}eItlN z&*p0`Ub|*KQb4v37%|m$p7V#i*1ubxJ=YN$A{Tt>m8goM-)8#P-~a4dMqU}k=xCs? zKX>6u=jd;3*X{Kd>$PWDY`yBDew%0E#a~ZPaoDdr4dN4_Rp&B1zOH_sINICrVyo9u z-M&j_aw%DwTPxxex_)qYb=EqgmmJdm*KP}0pQ+5h_I^5)8=dpfvUaw87V6#DJGe$3 zS$?7JYY|$SR<q72)WNMcI5O<}({02g?>mO!lu8Om@d|10U8#HJmw&5$OSv!cB9!&o z#LMzgjNd@;zsAQr$?nk$t|Xc2ho6tdtLL9T?7qrM^TJ}+4QFe;vcImyKU}gqj?_+j z;WEYDl<eGGx`njK0G(p{1&@P^a;*!NCx;sPnynD~=r)^WEHW#}S+=r1E91uk8;`u- z)}^ZB5=&pD+}K=S?&4q&eR$I_C+7DhsP*rc`)<D7QL3~J`0tOsxmsrOnoj!gU6;e3 ze?#Iwm`*G(e-MzoZ5w%;Vd;}|A&Hx>#S9y7tlC}i#K`#fmkCvM#*NbY)ORms$@fnu zUT0U&N%1^M?5{EV&@Z(f3j0QT^8G7z_MgSQk~0hbUUBXyKWX*0gkwqX7n^T4-WEDP zg8%HygW>d5{MD7e8p9kb5?mCY8^7-QE1Pv?{q%a14T6U)H~Q!Xedgvr@z=z@4!z37 zQp@;W&3%sdu2(^xclRe%`uBx6S?vprn=4+Qef;@jBWFr4*;<QD3STEKtN9!=Mo6DS z=lw3V?tZsOyT3VOfqqm`($tf_<Inz~xh*T@e@);#)B7uU{=A4qT9+Ts+_#+fO>653 z%gu_bRbO7Hr~jH~xhedV&++!Z*P4DbJ43WI=C&{Y_~*xy!u^gefhQj8h>gHBd)hbY zL+eW^Hgiv}*ihQ}y4k4l(=Gq1{L|7Q=v8Jmx-q5l!@qLFu#~@pH#Y>{+ps{txqBul zHnj`=-Kg7^I_GSOs=y(m&fEUJ&relO#!?^uvHGwxR(g$G%X8H>a$}#qgMX~M9o!v! zw#V<n#S2SZfE8b!+`VBf75fdjzCN$seqkv7Z~;H-cqV^z;i>u}{ON<Q5O<}2<*9dt zLr3rR(F~Vv?4cnkGS<s&i;G&9SP=Ey?{P_9!+JCQe=;B5kKp^hT8Nn&RJXNnd9mp1 zuiXKE>{`>XXP*7sM+xZem;AcDn^Mt#9)^E#N=C;aXI@DbXGl_&f81}5GdZ{ZL(ld7 z$7C*=1Nz+tKcDUNxv)>qVEcM4;a|r-xj)(cyQRU&qmJb^-qc@vE|XvW7>(bO%ir|U zg;wSB?)t6{PW<PQUZVpuYpO!IOH{4%p9)uI<-OL&=~`z^l7s7C&c04t1t$!h(6i;f zI?MWeUTc4Z(+`90#7><kM06GF8ri9(_r}{RX5jJ%#v9Lv$M{9Fdd#kh2v4V>r?t<^ zY|&0))k{QYJoVw32<4JvtM2Z*yZF`8Uwv1`94gxt!FR9x3IV?PD8kG31wTv5c=k99 zzzh}3gxBX-n)zxm_%|Ng;lBmmnWJ~lJ>{DY6!&fJ^#g$&s{=BJf@cnGspbDiFV;B4 zvd%=)pS@<4ap?<o;zy(CD^2i_ZN>k>l($7>NzxAj8h(dG+&D4cB5bx-UUz&qZF)iX zA1v;~-fpiefmLqy&!-#jixZ~LWfTk#I_K{^ukuBY{s*1(v(ah?OrM^m6noWKPS3e! z4OVOQWgj27NHxxOQ@pA>e7)naZKT;>%J}z3GV`C+3x9M+9Lu@h@&~6Z!PTnMUEme) zeWNd_y(&(pXWmEBsgpTkqP>SfPyKD@x<TUx5}22Kz<9^k(ckl5q!nLye+Ar`ds-<? z92?zt!VFp|?AT4Oy}e5I@F>9;xupE}s5%h4<QC`he%>eh|M+x&tHVD6nvcE7{O1nX zlZgFlF}K=Td`hrV)ReNJe`h^pkyEML>{8(GmFt@>c`P=v@BSOwr3&%?X8fLV{LZ1b z+gl2@`kNd$VteQ7;mE?3O7QjrlwnV!!;4tWq3`^^KJ}lx)ID?ey#(~&$O4+caNP#Y z$+(?o)%2lNr#a1?+`9=sqaDi6vEUyUzn8q5TBET{i6_)0=UwVF5|=JG>uY{x-}3zC zcJE)@!pIN!@D<k)|C7s3cf#4(|B$b2*V#6NatmuRaQ+$i!go^|GWec!=zCLc$hk9_ zzyDQS7R$C~Zti;Dx}iqsb5C6GJt*Zv%lpu`w`LNyED6e%+J0zQ&DmS>qjQhw?nkRC z$N#qe*gALT#t-bv!B+n)pFh8B(}UG_iBo_bQ)5oLKe;)A@<Ka8=4);ARBd)?{sV!i z=<;A<l;A}9%bWsuH`ipMCPMJvmzrndh(OD|iDzP_HZZMzr45AiciUi>-xx~<zIX2t zJ>F-#eQ4=f+w)?}BEz?|NRdO&%#x8m&n*#ts2MlAexw-w%3fOKV&(f!U}#VIkMQfD zyf*Ns*!m7OP*Ag-!$zIsCHL81{l53ioYHyK^E0oDJ&<A*|FJi@y;rv?{NxFabnxo# zx|e&xle*5mnEu4wkEkr8!0*L-&vJDW!ExOK?>{guou#qwJ2|KR0#Bz$g07(;dX{#w z^6#|oLdE9Ab|D{DsHI(h{$aUua)Zaz?U~J*`4O@tkEx3Hg!hY_pYQUsEzDF&$z@n_ zGt|sDyXa0~<f{-<PVf6!`TyeSGnQvAOAGgR@1Dv(MLa9mCAGb~;+i$>uAykgG1c?N z%{5KRe^;5$er7=3vMA*Ut#RKo@uy!k`Dx3;h6d*+j+eJSzyIs@-22%>2`7ugbN3k= z8Ws*urd|2^)uqT$z2Z~s@p}M1KI(sOeSn>^S8?(<&nDh1o?_Vk-=_ZM(W9<2$G>M} z-99cWZ}S%`9yYF?7q@TpRbj3*UT-l|n)`jaw}o~+8na!Sf`x4Dskt>WboBfF&x`ij zeQc4vT)WnPyZy}6)KY`Q8O7Ft9idZtA4Z2xS0pcf2QFUqobtWI@V}k8`(RZtK(LUy z+rW*I4+E~JN7Jy);;epO(@91C(4QWssY44706B)g*C=*p`mUF+mVtfXV&z^X7KP2& zIHi(Ho0Gc0?}0zYK85W(7&&ztG2uJpZ4pSK`)VuPxBKUbgX9s$rEbXlc^h@i)?9u% z|62%R^hx`P=@{#vf1Le{!C$nlP|`8~2Sa<Me|GQr^ozFm@5g@)mHKv@J%d^yTj<U6 z$-xgse2upSZ|+?Gz}z=KSF}kqW6}W0Qd;-QIXLP4md*POM=XG$x%>;=b64<x>zQ&+ zxYz3>`Rg}Biu&Z6T8y7!{vl|=;HB-)LyK6N$)pU1VhPgSqbOp2<9s+)8VD?Qn7g6> z)WNoYuQ-1@`sQNXZf53R(7o8Jzh#`iXtw{=@h9^<W|vXM&a62rDoNd%b8x=%riRKx zBRwW;<LKw3zrWCL#D3px)H1Z^YWl8J9e_GwP`_uue`<Tuw=G4nL#7eIPXjzp#R+#h zY<s0Saxmr^#l|%0h2Fd^@{Mr@rEj<&4__OVEZIEJUi>4-e3t=Bl)tcF*0m023$C4A zf9-?p#){F#4<SdkZTPMIN$d0C*G4JJbs1*+Oe4(WHqM<UPIs=m`Q6&aj`qQ|zn}8v zfa}DY=3s_bW7XF8D_N7l_hYL#7wrx_wM}}s#)~DHb3nUv-e1N}KIZ9FYnxMGo0N&z zDd@L(>WdrlRG&^<@mX^BeDbO`i|L20XS{Qx^vfmxuHqUIZ*|1XA%%{-87?43oU%)P za^j7>pUcdGWB;yd^w<|dPCWS7eqG9|KX$!%U21md+-8$g-+mNk6BoFKW8Z&vB}Yay zFEQ?uMLoDTKjZTS_QyGKK<+_s;;r+GW4>C=!G_9w`NKtiJBu~4_LA%)k6k<ddAWHW zJ7UxD_?%q^^S4oJww7Nl=Y$q=bAq&A-=t-&=yx7VJV`THId&)X*c?HHt}Q9_pBHB~ z|Gn~3`A<7|v*X{d*Y35O-XId`UtY78H8G%ju0Px~=D$mKT;H^nE}%sQT35wX>}31< zWE@+w_rh}jx&7dwhizFppI0RupI$v#>-qXFr_n4XOYL>s|N9za$>7kY$9Ef(7wQ&T znQy+d*lFv$5Wj;9=jdiG^ffgo%;b5Wr9XDPy?I-|Uc&i$pTR|-|Ayo8EBsW`y1{Q* zv(J1hx)c#m?{x5r=pOg`?#F|EN;))`2wywS4;uHq(C<(92!knNUK)k9$Z3Jl`RmP% z8=4|tnJzlJ*Dk6h>PyIKH1$A8p1<X@I?;VZyu1EOv)kQ|io4cLlE*idd%j(R{skYu zzf|^yy}_XK=pWRma07s$Wit6BOnF7Mt^M=5BS25?xge)2X-mJIyW;Tqa$3w~LE%~0 z5m`8N?gHEvOd+Q3yCl0Zaw3m4T#<2YE8n9+{O#AL4Z~gq(HC>5q%VvCU-I<X_Luoq zX`S)LUp>Dci(O=k6~OQJ2;Ta)(SbYr(es#x+FWp+(eFgbrgq$JIhy0|eF>nR$@y|R zO>;>)Y{`liT~!?;HLbnr)AhH(iZi7xU$<pX_qF-<<po_|ZtYv)-?E*#V`{1C9jAD& z`eu)};LjfUd}E3BbWvu$Rl0JdD&MDbt3qQj@#fiv8bPq^WnT5`LDelYAK9wBw&gKH z@}bDkDcv1wqt5v$PUL?#OFdjXkX#%X{Uf60n)Zs3t@v+aXG`Tzry!5(p+%W%R@6tD zEULY8qc$?rvG{k>n=M~VAHV-fP=)s|&#xI?Fns^;iq<;mJ;f4E;BBk@-`r9*iCz^1 z7F71=-gw>bX1wM~TD3<>c3}FSsV0j@Y^SSF)oifv8}?qd`K5{8jQ36B#s*bKPKWD~ zEXukisXr471a?tre#67htM*XJ&jk*=xgF&Sz<CQ+np+{g!7EFa^)Glw&-vqCpnb`~ z%NO-FJq<5ebR#?RN60Vvw(doVTFGl3@UAah`U!bAtmQ0>t~EA#-1e>c7VTH1b!~&; z?{3BZv^kTrc?mry)tTdaI1VGFDM=yMM9ViX*f$OSe!GtR@O!ke6*~9h^20+>_db;9 zeLOS0I`pH&yJPIA*Vccd$0ZjqerUkU+M8{JUn~9oSnVu(Xy$s>@7~ru*N@X!ALf6} zvi`bq;B+d{GG&u{)0&Isoy#ttDp|$)^{?^B^@~76a_&a+1Z|b$g0)E;Nn*Nz(J?#Y zpAnmzZan+#d~$+8Y1Y9tRT7cw`*)A`4$x03e24p6_OA}PrC9ZQ-G;+#!u<iG7WV?f z?TSj*ZLhpqpSM2c-@Xx{>+pMW(~{XsqKAk3Y`aANkD@mZYwGN}haDJ%03t&K!aRv2 zu?QrH5k(OYBO+5si1r~fn8XQ*)znsG5Q*S`q(X!$3RRQ9xlaa=VBazeVNixNgVGiY zR!RJ|TJt`Yw(rOH@43z&>%On+TxajK*V=0-f^jst<Un6y;NHK;0e|?<Z4G~Aieg39 zMyHMuzjONM#R~f~KhJ)D;IB`c9$z7@?eV<+>g@clTYfu)Fq#ep)!Zxe4cR>H^t187 z1^I6M&+J8J#8&61_p_Uxec0Smw|@Wm*a3g<%+dSdXBp&RX>w@C@9yrf^UvEKfqUl; z1g8Gq(f7Z$xJYU{M~I4%f52VXv848V^5WkGEf?p?6IZWoaSlALbBQk5JKz2pb90t| zxFKS2n%EZ`I{5jT1NINE2gx_?@A*#pg@1=+zvT3h{)4afyqaL2Bix<M<Q$xmuDx_e z=~DpNr5r{6bur9w%H~yoIQ}8cZBPBr%~!ThebLnL*U&)e*2JFs<2GTpFa8``^)-_I zB>1tz$m*8xfPc34-M4w1%G|&ATe2N#^Ooe=h~5)fKe#d3N%*(6$=h2)!aS=x&t`q$ zOKk9P-dUIX_mK?&zFIEQk0J~HvXvEf%W>92<sE|D{G(sk{|zkOM=38%ZMX^jzv|N` zcb>%juT9~-$}j%4ZTvrZ-Ibs)<ZSHPclt|fJq|vLOe~K1zeCntD^%mG^LD1QD}2A| ztNx?f%@(}u$`}8&zrB<~?s$1;)ztt02Fortw(Lbh#mmwvQ|5Lu&2P?2e9o)Y|L8B< zYFUe7(mVGO_igUDNOgD-_nLaKkb6bF*ojM~CMj{>%e{?0cjXSo#yQ<8zVmUefO^l= z_(J!ydQpv2%l|U?d@Fy*PoLC1Q&)1hY-)(9u}OZJ@66+VPQ9nV#Z$`^xORCFe|%gA zsfXBH67`<3aYi@8a~{{-Q7<ZSG1L$RZYz~xY@E{lz)P=|?*_O{>O~g!u)2-KC98)9 zbT{=rZqyh$cP}r!N=`BO)XP@`+-7PSjN3$Iz_>7K5{wH_5B2L#>wR3P3K}<-mtHCF zg>W0yLw&j&y^kX`hQ<x$rI*V~A>4ZPP_Hgl?_)<*6mUIw=@(n$;n);$+tVpmN@EZ) zgjsYE<9x04FA%#^+%`P*JGs%1c#lzZ3gcYc`WVFG#ce}V&&ZA5#1KXi9pikZ^(=_p zB5oU;g2;`Y#C!Cj8)CbnqFV7#VbNE_Y-Q};m7U>@cUw2)H{NM|gLQs3^}Cqe8Qa}D zf^|Mtbd1QLHh$as2c^+C^(V%eU-X5Tt&W9T4U|Ux)DPlCRqS?Rog#J{@l}4Ku38mv z%$mgA)n!d854#S9x}Uc8b9en<O*)_sU@w<)c0J2lqN)6O$8<^Dgs#)Nes01Kx+GqL zS!QoIcWa!yK3bP_<>RWPr(bzxF?V?x)~AX?SC@qqL7^_<UwdyPc2RtEQ+mw!(V`q; zUA|9a>u&6LQ4w35!Y-nVhm^6ct)-Mk4`La;=pbfXJJp3r*G@s0n#--5L2Rg)Jup>8 zZgeFo;MhpwT6$5I*p5|nP&~ve+ApqT7VX5;Txxv-#rlfb{ZobHMkit!t!N9TrlR#B z6zd^o_f731H?AYDr4{*OYRX!Rpjam{yLT#r+-M`(4o8HDBH@T&kq;aZByxl!0!5!d zM1bfmi0~H~L4==Z6h!!nx<Ld=^aw=wh#EnJx2PIKc!|z|h>fD7AOb1M2N9m4{rMhK zEwxCN^1)9n)yQOJ#7`}i$S~!DJINoBw#taP7J+CA8`&B0qUD$f#=hlb?i4-3KH_95 zlmEaRRYw?F1V~S+2QRZD`3)wLletZF3j0X)K#~lQEX9M_mK@Q3>?3yOgXAV8P7%?N zJct=pKA37LK-SYUBakKZ%+ExpC^^~|GLl8l3_~W<GeeMJ^vocn3XZ5xE+yxTwj4s1 zz!7!H^_XgAW&m=5mg$Eaq-COzt+Y&UWCbmABa%hS^h74pGTo73w9E}iTUzFN<U&EF zGjgyX6M<|k$aJutW@e;VbC?->t+C9EJys89MxymkM#fI-3?pNQRmsTMZoSFK*k(P= z$iQ237#XqFSVl&))q{}{W&M+$vBf$=&%juf^o&UBP35Nh34WH5!i@h(@U&bm%=kXR z*^*Y6v0M1o;!v2;lVGvlH)S8o=t_8LWtyfZo4>T?n6f9E3x$oAH1&5)34>M}(=<0@ zyKvOtKGA$wc+~QSogqswn2S`KW}CBwj|}eP&4+~BEi0put-@%_L*=Gh39Wkf>Vzi! zw6^)Mbq3xfPH50)UrxBLpRP){tk14Wkekz38G*XB*FL&36V_4ICsoVrLzaGd`qe%t zz{7mWcDc0ot3oKiH&MTS!@<qMJ%;I#<^$Foc+-u9^ZL3=2_<^>O9^~^U1b7G?_Qa3 zL|<2tkgIpENJ!V$l_w<Y-OCda^mSzkIK6vW0#;vlF(FLf5JF%uIn`#T>rLKp%pO7b z(}`7vh5*7V2IrjF>1vY!#B3LY4^RB8Z$J?k49-!rQ%zF?h=~@24^8}_Z`eq9Md#$3 z$Euq$K};H<Oc|3(U?^jf2}#PB@0+}h-glcEj16-Wt1M2(IRe5xQ^Sjip9PER7<JQM z2Jdg19$F4ePCOG-ayV>4h^e8e>9WO%$N8LaPZ1MOC{x6=Hx*gN#wQ@b5Sv3H+%q=J zOw5>_#wYFw7L_qE1b0PDThnHX)7Zp)eS^Nu%&X>Qvn73s%}Qh2e|e*mZF>BIdUIF$ zhy`EKxp@P!yxevxZTBIvt0%I&!bd`9WFMAXRBVp#NH67&*7{548QEX)9IvH2z?=8r zSx?(s<KaLz%sN{Hz4u}P6zGFlXOEcgy{HEfJEAaEPKZ7I7xhr!HcXWZqObqre-FWd z2ap3J7ZWM2n-OaUE*_$|q7m~$Z9nU8`bt_t_Kk>d^BnfqgaHs5C$_m%8)g8ZJH+>g zZ~S)^9J*V)_vsBMid%3BK6#++ir&LbGG9RS=Q)&PFCU^2F}#rqY%dh%t=`)&=IPyB zs8hf6i?2OX-{%mIQs)(6yQp;24U_l*Z)8+lu6NrIs=hxUzNL2)V~frjZn#q~(uuXa z%NMbfX9dJ8YK!s4tXThom&PR?re0Kp#Zy}pVI9~~ej1M`Q14ZTDUyToZ?+`=g{^+x z;v0sou1=<a2n@1yu%(N9bK_QI@=(hd*~3Sa#mMAgMr(XUEsV@_nCh#^e{Eo9mSaY* zB?rL~DaeJVZJ~M(TM1l{?Zw+)mVOf2ydH0Ru{^y2+U$W}U}xt`vS`^D-e^U7?;Tn; zp67Te{SCC)AHUGwcI_5#bfoPLZ~ti91Kw!$<#d|ira1j%JlGt84;yUzfw#XX`+&qP zMzQ(3^xb^N@wOS>{-fDBcuC(B_R@<}KNMBT?a1~{+wcR<8yrb{FFK!OCV3fLlkqm! zowqWR*1ds(w_Ujgg#??|ts~5rj#jP$L0NleNP8!%@5t9Rdv2v}h;~gx?!9Ik#N6#f zaovmDd)=1C-0e%Lw~@A7=zSDR_OzG2FUSp)?Jv*04n?|WNn7&Qf2K>S$OTa3Mv`s+ zBPQ9?Sqjr~w|zmbUoYJQM}|r1aO5WGML2SEq!flDgGdX*kN%YH=MOx(My_|0(&@Qm z(jY7MbJD`VqdVk!59u03WNYr$5!vY2quV4~Rb=ea-NADOAZC+bk;OSIXd7hblBK5< zk@DP=a>t2Bzsjmzc)4^btcZkj8|03YkDi^v+e}oRsCj0odQtm>#ayMYy<n;OvG$o^ zLp*_QuMB^JbI5nUi}TKR|IhQrzjXtJ>9snWqVyZOy@QQ1a@};}9bGv){irTfr3j5~ z4!es@o4he29vD`Kc82w0(~hN`Cc@M@W8;7Ix?k1dk8#f}4rK{N&?Xn_uf5HQ`nq+( zU|L4F*})beJVnchGN)B0Jcl-+wwo_s!qGrDRyQ!%*h6-g;ArxZ@kXU?pk5Kao%=rD zUEesMV{+8tJGgtOb<McVaCnOD*Qd>)dUsnPT#!Z=?`5a4#lI>;AI#i%AZDsU@x;E! z(yjc<W8yL1ND=W6j<~09;0ClJxw+AW89`KsrGd~WV%DDg8~4L9K`2^WULguQyYfsN zI&|adot5vBIJFYhapPx9+5|VPfapebbE^xBr?}k=OQX2CqKR(ww7r;-s<2<M4$p4< zDGn`6EB}(XM;V$-d{1=?xq;hEaj$Fg+(6v`HQ+L#aD=YBw=tINZqI#RkRB*YD|g`T zp`}O4E?3|lL*ZVrvXM&MFHpFjZlJ&V8WbEXTel89-&=hG3igq$vqRH&U%rBE0AaD} z`$J;=4<IaFy?0n_*1P#rrx?U9c_UY`tKqQS>b+0JPKF!7R63JbD@(hG?Ug%R!CeR8 zF}m`h#u>6ZihC+#xbZh~ogcT4k$z5gd3_+)jhS998@YxHIt7PEaBsljfx5k{bdoM~ zps|Wvw}HEIagC5HP21Qd8__o2-Uq^?xLNt`(~SeXv}456RM_P9`ElY!_1;2arFtMQ zwjYiO7qksbT+=sfAcW94d(2~_6A#QzRZVFI@0(5W2JgD2%?9rqO@Bc#{(`pti4*z; zXTm)iC)(^(+4LBSK?>UXCJyNv90(yaPO#ajyy+|y<05G5oruym*b?p)aNJ~L*Xy^! z(aEIBr=w03?;x~0lXXEhcD4Qw5S>7(93C~3z5UR24Au$RSWP_u(Ku4&(C8T1+Z*l9 zU=_&5MD-^@G?r93I4UE1d!p-<(fiQF%IFmI2Wmr0eNevlPoqxqE6+x4<OhzkE|4la zqctn5{SO>toj?~;y-lNk%dSk0&XC$TtU`3ZB3e;@T|PE3IzX~hM|ader!?HI@1=PE zII1KqvRPy_LlG^l&y*iH$~sD_WU~&Ti}M>A>aSD0r$=v+?AWX<nNxLrJc#}b{R)o8 zqWj_Ka8ldA=rwY~26PCWwMRBKI{HB7R8^lw@xECfPw}p+-%RnoQU4bd?N4g!A3Z^C za7N#wv7%*8mGzIIXe6nvZ}bqk!2umYV+G5c%InWU(JrL6-q9#>gDv`A0n3dycD-gR zyfqnL`E<z1;1z^&XEHAE#;(@<0k$UKD~E^7dM`gz9fNU#H&#;vz*Zc-a%gBw@8ykh zXD|wQW1^aqU@I11IXEQKdwHVjlw0?qij`YaP#;XUT55ufUOx>v@vl4^vf&>%&bWZD z?A)rUdCos@jBx^0Z1OS<{mr{FIW&WB<1h+Q{fe!Mn(O?riJ<|!oqB6W&2z)8+cmug zuOEk$_(e8@jAAIZN^3It2aYn1;w#yVL#Sfot%jQGhFiC4HuIgvhVJXVJW$1S#^?AU z79$^D$zmMf9k^T*1h$6YQwE00^|$J4G7Vm?s5*FS1gaR`8ji|_w}zrV&=@;-2QJn8 z0&PX%Q~HOPdM_tbF^z%Y9jK_e3vG4Br}Pc&)qAZ&eJEh~@(z^M(4egdd`j<7D0%ui z&YO~b9k+opeGTV8$-ahLO_{!m`-_}?754{ux)%3_oL!6ig*;t@Gmx`uaL>upS8yZb z>?^ol^0Wx|n4B%b0rGS;?jbpQs_~lcv@-l2?jK!_GQ0)%mo8Qreivsef7ls*2lt0g zNv%7U{uuX*?liTIpT3Lxo|4T^PvEx5FLTlrxDlOF(WY-K;GUDGanj?teUv(Gx*YdX zc3BlJ!O3;^^Rthp<G3vp_oM0G;l^cY?DVbNXt@JBeY38dp1w)<Cmj9_P9?j{N)OlN z(9=V8vGnv{od-P~&CQ~?SK(S^4y^P|+-OSnNaH@;pR{y;-OS36U8kg_`{-`c(!F%2 zY3WE^4lUh77fVZb(|OR+U3GsJq`T;53euf)%7S!9-OU1Gs+!3pCad={iAm~ECULjg zhDqF|{*^&YP!BMO1oeFeF<xEHAjYYg3?fdwmqCnChcbv;)iw;`XX;<+M67y%PDHEk z(}`)+J;tf$;w$PuO<B*yRq9_&Q_sZZY7b@WocMxzz%+G?*o|FKmz$>eL^bvw-Ux@N z!hYmkR);lX$JL>xsR=QidXfJ|71o4Z;5l%J?O2t1r8PKgcDRyo8ElF!G^f=h0I(^} zYBSvYtKQvjhxPt&^MCbq0m5jLdvn5FOWI`fjCJMjx2ZFs*OGQD<8*+voSCuTI$*=f z$g<iDG|S9sRS6q*(=+y)FJDfe8S2CdD^<&;2y5tI^AF~c=gonZw5jF?`Z`bH8b&sq zSA8YD0c?&vC=m87S<;?0ud|FiYyPjb{I||cPtqNX9(U8djUNAb-u5?surRw8Z(Edo z1D|XwN-qMNx8N5Bo4pKmA)=Lnq$QT@VJ~`LkQs>CU!HtDk(L>Wsjf(V3`KY$7gP~Y z@?~r_FO#iIenYuwZ22!{bh1T{4C}8<{soFyY03Iqz9f6xNZuSz@wl0sM)7bJwZIW* zksE!W<r?{>n}|-&BqLi{nTM@5Pn&JL;Z1w3_n)>v$OU$0{z~eV$L*=+TTCmHopDfj z(dgco@G#Rdax{ZyEmv)d6HXc3^Cp^qGmmgH3WRQ^x~AmE3&`Zo2u<=YSjT5Ae<H&Q z3p2mmgtQ%Oxli`EmAn~SoyN{&BNvnr50dXvZZ;;T$&rIY1MN5Uo^Fvctbtdg3Y!&Y zQ6CxH#>I!I+xbOoVl<*}@M0kdBx9;P5qkzN?t6r-YPQ`?^_+WTCM9=9KF;lxkMeVQ z()S9OZadumLhp&vWHIsz&7)V2TnFf_0^6bX9=+#A%@jS4Y~Ft~@2H@Hop(rc%2?lU z<hr5$){(82{Y7~Ng0R8%JNkNe&3hQVMKcAXF`5>7-VK3mQC_WJurTi{O_mb9S2J&_ zzk6hZvHs4HHx|cd?Y|3Ho#^f(BNoSFdB-$#Q+=Rj4Kwd6bM@6DZvc9SfHmCyo4($c zT5Y&dL~O<O@-`2O5Aog%h(A~V3b%@}fHyKA-p{){AWq?>4T$&fide)*>Kf2`6ML8U zhDi*kMjG5k#5;K-{o?Js%l%?JFRfo3&2#7%Z{dyfi6eQJ`^4eAv_5e#&!JE3&l~9# z`|vLJijlmuUa=cy?0UskI4T)g`Lxf8;uVB&XVNZU#;#WU0iqI+mBW2zvX>vCjzK$t z8LO!PAPR@99O@e*dwC<=8MFe-n5g0;h{7T(2m54XFHc0BGHM^9SQ(Xq_&~kYQW2Ez z^;4e{_R6z98|;DOv<t|}&L~aAbL@d*v=fM8s+XznZ_Jg+z8Pd2hgOK_S41f)u4Bh0 z`Ua48>Zp#2=agHwD|#tjKlUk+i)<Ph!B9j=D>AVMj?#`IE7`O|tKki3cL2Mhsg#D% z(L@=B#fm@^C=DZ{`$;J<ydM1lf?d$BAlMl_4Z%+6eh5aO6%g!*z6Ze$=sE~qhc1I) zdvq}b+o2f{Y>Uo@U>i*_^UM|V!Rs%BU_z20_31ksgI}QL1LMq>=7U#X{sIUDLF(|k zU-f>znqtNop7~(S%e#OOBS;;3H=y_P(tMzwIcz>CdPxHWv><ixU8u!n>YcBp_W8TN z1>Y5(sS*r#5|T6_O2Tf<w8`(z%U>)L$IhJA)S3KVyn7)SRugt<UMUEwmv0Py#&^G) zCwOO=8ij%YUY1%WxMxlaHgV3J5Dcpb@|TYde!6#h^Tg3JIhs0SQ{&6K2EUniw*?&b z8Kz(p`^*7Nw$bm_%QQfU5WF6E*JG~LzEhfAwC`@|o7^->^fM{u+NzfhD>yaxU_zi^ z6YI<&!E5H31A_0EXZ8tR_rLo>-{hj{r=7u@Yb#%lKm;$r>%MnneUqamiFPL3TwDI~ zGDL6{yzYIsP2Xgv=`T2gG}m5ynFfInR16G)Q6Vr`DLG&eh*}GS0MrKn{86s}@Iy@l z;EU=90EJQj;DfpcfH$fR054P-02@)o03cBe06bCIU?n&!h;J@rq@b4hvO>mQ)CWGV zkg*4aFp`fm5>c=Cyw0sJhfbmT`DXQ2)6iG=MFlVpox`V?ye5a(s5(B6!vHnCc&qW& z#Ly{xrDE%kL-{C;!Hdhlqp17?Dljv26rZBlIx}<-B{AF@8=~XcMsg7&3Pl84Jx~My zzNvZ4ld%{WR15%as1N`)pxgm)MNtj6Mu!r47#1S}MKIhN8QPCefx&vz2MD;JUO~Va zH4OnLR6hg|C<O!@QTHI=fU1MQI#d}1>`}!KutPB*V2jFzfDM8GM};8Ja8xh?1xE!T z5O7o=Vi`mQAeKOsKf(l}{193Y<%`gOC=@~pqI?h%5ao>!fhaG85JYW6a6uFjK?PBs z2x7k1R9`KUt%QE+t45|MqkihEM20J&I~5<1cFL%^J^^AHi|LGd(RU1?z?wO<od_vb z#-S-!BKmmhC_|qBiKKe*XdM-AFc=PP8=@F1Q$dmnfMhG6*}fbE5i4WUVi8GLvl42k z7{OrJw6=<Rq@4otKqLXk9U-LL()Q&ehu|o81R6xSAy6P{0|Eh{`ih4br-8mKWF-vM zRWx8^Oj;;n8H!quSc0Nl5GE+f8KH%uoDdo)3W1P9QH}@+6y<;rK~d`vLMX}}!G)si z5L7727D0qMLYAZ9j^O2BxFcxU4ekhBUITXoEWZaG{>$^A!*6*Cboeg!fezF%3_5(4 zTR?~R@(s}8wR{nDY+ODCI*`kB(BZk91v>7Z53(#3{wHPmlSNwipS{cPE!@KY>{)g+ z<{ke};_|$O+xgkc?@ulFS(enFnZEzZx}fMVet*uIY}z>aJ$w0vh0FO5INxjiX#8g4 z`%~5m#b-Z$pTFE~*vS14emUPlRCUaJf7F_+_-y9;gUgMEZ^pi-TUo}uqW?rK?+2fG zEN=%L-<*GJma_hXS&jxBZp*=-W5co==x|-mH+(bted7P0WEQc!-SExG_xr8MaL4-P z_fUt+@;ubxygUVUI4$=<9f)NZ>Tq0cfjS(PZ$KUEmM=mb_RFWB4!dPK)M2}v1$Ed+ zi<u=?WCyR$2Ep5tNU2ZX+EDxgr5_k2U&;<%o&5!DCy-Ky-~LMW^OY7eN_et^HM4iY z_83y?(AxpBpO^Flz2va$plFr`wxda@gKtCSE>mxP<+ab>{!RL>u%wDK+_^nT8lv33 zTRKhkyEFTXeBxNiX=xqR@5S2}q+#{;UD8*I?W)-~6hGtJ-(?fL5~ft4*bZh(<rCbJ z)1*zDk`tt1)pq&pV~U^dtzI^9v?NDbm*3Pldza!j^Y%80!!BWxHnB?%NVD_(Zq25F z?GdEc18;j|wc58znTz)AEpn5aG>Kl4BCD;Mby&eEy$5d(ByD1q93s7DmK-2`$1K@L zdfor_3v!c-w4YXjm(^CzjzHVJNU!_elF3bu(j;0*xU9B(_A<2HmGrvz?KX0gowUDT zB@(js;%piepNb!Ty69o>4VJ_(i_3WjuZ^#U<9FeQhZq0U`}#{1jN;S0gSF$mAU+O1 zJhV8Y_w|v)Fp8PHgIC5&LHuX<;lV|v-WMrR(2H|;2d5ST`4i6<z4#Nyi>vXM3X8v# z#3<wUNZgh2PsUdpediX<_|(q$$K$>H34Sq8@<9>5Q<81E-8_Dm?=rbKhEG+;6C~~? zU;SbaK9y5^3UALTJ}j9w-j<Bh__gDUGJL8k{^9sTgKy*bZvI43F&m%CE~ev$mGQ0P zrH0!ck}`VnLEeORv5R+5y9n`WFOP2q@u7Imz+#pDwyQ(|$45%m(u=e3_N?NA_+e)8 ze*7h7@lIatrSUgVyf2>9zgVch?IbCq6>s6yR*XM{;yv)3zQuj|+v_B2X~q7$+OqK? zDBcOr>0OM_-?kAIGf!T@9K1dl1aC`1raqmwq4)-hJ}^#xi8*+6@E5R+fJ_~p|CQ|P zD=KE3<Y5lh4BiFXVvwmr^8;jGFVP43$-|g~qCpzihDN3i&WB=MrsjRIwa@4OMt)a# zvI;rexh+W)qTIGyG)?urGx!U3;@HX4qB^SYi}@GGVfD6MqF0J-s=+rDU*r7mm<irV zrbwaK1_n#96Wo)hk()RtPaua?+vJ0fDZaXSJ!azQ$sAE#{_V!WyA<D<`P)bi`y>;& ziGA{bC_CTx)?gag7J+;{FyDiz)y^w1F53B9<lAndB>Kq|Ol{Sm!wOE(J$PFnaue(1 zA>?c3$pgsmm?!rkU-!>{LB8!G>ZhH=V`?i0N1$z9$k%=IWb$oCQ4;NBIHtCI@G`W` z75Tb%ejE9=ov6RyBob45aWD;P4_S(a+k=;a;r5^<H@H1;X${;Su=F0Z`!CIdcE6=5 z(C)j`2ij3fFlhH#Y60!uOE*Bf*V0AMzH#XkXh$y5LA&Qt7HGd;5+q+LJd(2XNiHos zvUlmdoLhKg&yr()-ti-eOY?GW=a!e<r<VHUOX@AA?yqzUigsi7IbAY!<778`>4u!k zIRZ+0bszJ;ndm;Ht59tDu{(dMo3fF61izFoC#u?Kx{vCT6<cPy4=y!Qz8UML>sa}D zMMt8R_Jb`ROWQ&FHzki{Qq~d7QZ#6HTM7p48<yNayX#Uu<(tv&L>ZcOBx1!i_0354 zeqA!$zJBRF)b6r054AflO+oEWOMOr~VhM)Y9hX|5c88@KQ2V;2i%`4$(kZCjZix=H z+b(56?KY<EFgC;#31fpzJ}@@O<OpK}O`iZZ!1NYi{Y^%I^)rnEtgopXU{R(=0PACF z1XyoVHNbkA&H?O3(@}s$n(_hG)3o1+oKn}SSxWg&>S}ee68n?7QXQt0-{F5$+bXeh zYQaititfa|P#-hF{3Q-`r|A)2%AqRxfAF|!tU)bMdzz3uY6t%f56z)&Go9i~RdNX* zs96g6tUAZEpD$%oV@-SbOG<eIe}so-Q``9UYFmZe!?Xv;-A(5VVy!w~JqTmnO_2cW zX7T~p4JJn*ujfDHAqLc0>Izt1$8X?Cnbc6zCkVUV^cKRpn2ZqC*)$4aolM;j7GZh> zVI5745Z1v|4Pn=r&Oumv(@_X(XUd1Lwx<11T&i{G>70iF6)cQl9xpeiUwg6|j@xA& z8lL-8kMb8P7{^bW(`%pfg19*A(9qnB9_52(uMpO(<7{)yl_!^1(zL9LgL7Sa2~yZk zKTa~&R6j{GqV7M5H=^!6`OA|2e9qNUbNqOvHKp+QMQdAUT%xewBzZaan>D;MuItHT zOAY_{SJolU@uR|NMV#`<F9wNW?x~f{IZhX@UD+5v_nSF=V(w>i4flAyuvif%djbrS z*|`VSa8(>mn52k%@Fd<cJ~sE%oIW;p%i5-lixSq+j~_CRUw(4dfcoZ1kpU%svK7RI zSt|$TuIN!4gzhUittqVIiRSdtxjW|Zk-1yu^pUx1=J88U{($2Atd;$9JUz-;SVub^ zWgf410-!igYh~Zu0X@n==uSHxXdW+nauSMjwpRAeVe}|lVO;?wRm@^ilEukPN|HE? zN!cy7Wm0yD7Z{WT@gRdj5VtZY@!|>wB~Hv@P;la81|>!u#-MB!+cGGhi5KV;tay-4 zL5o}Ilr-(0eBE>Siufay^c=1dFHm*Q;Bv92QZ@%)5D!vy$0*&b1#tyc$ET=S|6sHn zii-6SBT{3US>xg`s%`?NYcFD#RG22#0tUgMw6j#=5(P#F^Teur@lnb{)+|QEraWLZ ziE#={18WeYRm!H|0&zW^5+N?3Q$Ew4qL8#OS<IqS!o<mRN{Bd&P6-mLU`#!$luR0h z4~a`)OdYEpBVtkl#0xZvpLmc)L5W*w6mM|_jj~b9qES4>$ux?)IE+TwAhxAZ){7Sk zD9+-+0t!OhT0n8oEj~4RQ6$0KBqqO7R&!0|0OJ#Ni^InMk|qA!eg<D4tEp9u0Q@%H z;*e2KmiTa!7<{&@=8Ebvz(?s8Z7Usi?z>fZ*5I@$>n5Fjx~KWtakT3{{(JRKZutK4 z@kClo&a)qS*N$k1FNd$N3VH64ho3^UFvd`y?Uf^g&`E&D>M93~GBV1OTNfL=ddlc4 zuX%3#Th~^|uhI>5;*+=`O8jo_G!=D6^^1IbBBc}mSk)^Z=ks~o4+{KFZZ=iYthy_A zo-~f>Qq*_?*PV*e8+&vq9R4Yt9fyCIJDo3)sA%$<aidI^qQXB^J*1!-RlDWmMSQj{ zh0UkyR+!RORVhW{!7Zcn56Z^1#x7a9)(FXJE~_>Je5j5+V5}lbT)7GuAIV)y=V$5c zSp0*!Atrynu9C^$DXY1pdIRBob?km)Az9+YEu-<b$Z9H74<WpVj@@V6N0zMPuBGw) zWi@50A_(uKWA_>($PydPb{HL^iG<O?8Xp)Pq;Z7NftpVM9iVv&(Eb`DK>KM%0oqs7 z4bUjfBY^hNG=lAEnGRc92HKIaU%1lp+<c)@XJ+Cm``;_p=S2%V8F^8HkMz7Pf?0YV zMxdhSMGESb==(?fETe^a|8vCCQeBw${Sjx&{=&T7nzt6m!n~d%7D1~i_gG%nk(UCN zX?C*xOF^zFce1@uGjEvX<-tcR=14VK-~PE~%8)zJenPNd%;o0AY3P<wcAoUeLqWYU zcf7q&P+^=c%8Sw5FyxN4AJ9Zv9NBrB1r_wXO@faw`kNyvb2Te3T#!r83l-q#dBFlt zdLCMnW$>&z(rR{O<!#bL8*)e5_X$4I^85v}v^-ydik9aisHf$52})>rNI@<w&qIJ) zIkUi%mgg$?Sdix;m@UY25~vFD90m0Sxv3-;GdG!(%*;(9g)wt?lWdu}yGRR++yv4f zBbPvGW#q<_Dj2zOBo-qVM@nYo#*o4oxm!uLjNH#i3-nwpX^@_aCbiOY)1-UyXP-a1 zLi$L}eg3G5v_PGG_NbiXseCl|=mKewI(sa)J9mLpL7nC2s&oI5jdF5TxgTZK>d5BY zaZ(s{cH$9TdQtvX71@-#Aams8w&$uyC5lMhBOXbWUw<_BVeYJ~nw|R~w~2&PL^k9O z%0`usrXCfL>gl-=q!N1WXVO!YT<s$=iAB#1BPG*wLsrboxj`fq99f@RO3od9bcj>} zN7m)m%c_~V0i*?5t{-WTmWv{_(sI2?6|~%qBo-~#lax%$bti?<ayO7{X}Rl33kA8( zq``t*1gW(k*8yL`%ud1QGPC#Mam?&Jcu!__BK{*IdnbODk-Y=2Vq|Z}*E6!W;Y%3V zcziA+I~I>)WJlvY8QD?zkM!&<_*r^32Ct%LN8;<1oA0Ol@ka}@|0ms(UtO5}eY!J$ ze_{4+$y>f-VRlct1>b7QIhNg({u0kJ%}lm^iO)6VOtuwD<_$BvY&hM*i&Sscw|y>| zGUQCOoxm>`bGX@Y5;}jBoh?m&h_5&1jJFlyD~vNm*)ftEhMcjs1CmI-BRhLDzJi{; z3I7q^{7t%wSIx=}$LG?sL-9Czb}-(Po{g4d89b`eTX~MG>`jtrL(WLsKKw^owm*KB zmhFpI(XxH;^|Wj+d<iWZiO;2Fd*E@jY&X0oE!!3Uu^`(8KU<LPgjW@0JL2mLxV5~R z>+;PoZm*jCRJYF17)V{q<bK7gxhj7Ha68oOVcl=~Mqg?fgL{luQzL%}aItFkknX9z z(Tlp4!Tp?9Ba#;Z97fF^v`b7v?t8k%mJ%3<_>)okCFamo+j)>kK<*n}vp^2?MVw}o z@-T;LY+FEL407Mlnn7})7vfKP>0!(vku4o0qLKRs*MwnRr`GskuRUM$5As1_X%+Hm zXJQf}RGGLNF+&Z!V>^$1cC7R?;wCll#hRDMr|QIAh+h?nD%-b|K;xP}Fwc0UOoURA z2y8E6pK(i1BO^GaCy-B7iE`U+N}z6y0rTu=X%6CM{+&kK7E0jEnkHl+yOfEHV3!_1 z<m3n5vfU37Bapuhta*aDrd^}LxN6rlkngx5_R>pJFxRSV9anH7?!$?J$Ou;HA>?n& z(gVl`%+h_x-}={_CEsyD4A4sPm}`}`qfnw3^0&S<6!INM#9mryIObZpZ8enWiu|p2 zO+5LI9b%xM6p6WZ(RM%76QYfQdxEtga8Hod9qtL#u7!I7v>!l^zxEaA@zYL&9$#%g z=s{@}pvOmh5A=9z>p+i}whZ)a)E0vtq?Q4CJhj=N=l((v_Wu>0P0=o6I||S4)qcPp zFFd<Pi^%`{_}N75E9~*k9WURX()MHjpL&Ps{a51GiXP+pbK+F0|KxkNwhnuoa~3T0 zivKsidE)&k@g>EMAK&L|H57mDS-h5t%~18sygw>VRqU8~e^4u-G>^Tfi#hq97oCmL z62T4+Edlg=v+x+x!8(i4#(*9-Z3yVupmhg5u39RkdGviE<}=pW2rYrqJo0|OI2G<$ zul)e^xM*KNJ<i%`sK-g$5A`6l3TVaKdk^Yy(AGgc>$GK1kG-}S>ao)@pdMRoHq>LI zA;5$X4H_l{YfvyDNP}46DK*Q05TIEC1b>YQ5d1V+K=9RQ00E_u0)mf50tnt35g>SJ zgn+P7!vzGSh6)It8lur}>RqjXqtyKLu3C_)B>eQQQn2FUyYup+z+Oq1dneFLTefr( zUc5V|QCQw_&g|4kE$y5$%9nqbkEscUcLKpilOONQO2~?N3+K!>O|hk2rIEY@0**p6 z`z}XAw6wF&#A=c(@06N`mm}sa>@#gI>jm}-jfW-)XxueILzDJhzF-(8xNFdW;HE(V z!UhcjXzE`+G`kGE%Mx6IHFYl=%<arGp_*lguwJtS5nMDTh~TWzLIfv`1|lFdQi$NF zkw63ojR+#F(+DAgy@m@B>@-w}V5=cQ-67H#xI0)H0(S>V-Qn&)=~}ouK>7i6`%7Pe zZa?WX==PQNgKm^m0lIyp_dvI|v<`H8Ny|X@MrkqVMoJl=+f$kiy6?{h$=?;0q)3<L z?S&<Kr61(S3QP7#5&1`smn2GG$&YnzfBE*5v|s*Cz1{ToE7EI4xAE;cQYzJN@-16h zCqKq10kgfN|IKfjczcR;NwNLMxA{^H#gAKpmr~^iRoydhkCIXq+h^V$lu9T~V{hps zPX3Xik|-$=Z1<27K=(JZk7ezw5{xtkbh}AIK=%fzJLq<mQYlTNZxdx(SS1ls0;Or> z?S4`!+`V4<0qS;<zJj`)rPENile8b|Mo1M<x1;nP)a@XxgSyvA%b;$1X))AoCuKn0 zw$f~<+eSiw<3l89I6hc{g5!fE2sl1avJBz_BugOPUt$9BeiAK+_myZsJW3)3@jem> zi1(I=K)jbk2;w(NxF8-Wp@Mi%3DM{~wOEViC?Ef{SdC9r#{aZfiQlArd}sV4-d-6$ zw<wTI^S5-yzgRpbQSje!ig!w+{B};Ua{Le8F?GCQQGnlQ^5qqGjKAS+;S_I^6!Y6v zk0s*(&rv*{UCfaX`R(lDSV<E9o$_(R_y}(cySQz<9&fLB>>)`4kKH9g!)@(iK7JUE zcbA|+yqg3C;x|YT;Bo!<L!QgPVix`q{J3tsf!EF~4wWoJ@#`f^P`r!81jRc`v?~ma zL<7YmBvL5eQ6hoj9V8+sew{=J#oJ4`P`sUl3dP$>h)`FEC<g8d7KOlFK_Yj!D^Ro+ z?g|in0A2o~SD?#JG!43ZMg5=)B~pMcAJIL~<t?fMU0$Lx(6v!i47!ja2I%q>WrME! zgF)DLg(p))%h>k9lY2!Uu*V8d?hzsK4<A37D0+oG*17HF{3%gC_MLj0Y5ptZYekoF z{v0xu>N`2l7S&;oaZZB4UgZDg-=3I1g}kKL_TzlMNJH`Ep2Ul&*n_IBnfas0RK>QL z`GX<}<@VS-9m&Z*Ty!!@L<HMBL<G?F&ER89JL@Dy6a%{4L?NJSgUB6pxr(Ti+oSV| zm@TZ65h4QR_Q?ExWGdXXUi1O#auL0Px|~JRP?wXaAL>Gg6i}C==pNMNAgY79)``lX zE_+ci)MY1PKwY+?Y^cjdNPy!)glIS}Scrn-f`kY-E>O4(;sS(AAkJTC0&#vqEr|0K zYCs%HC<SpoLJ5fT7K%Wemrw}eHVU~Q4k@I9I8Pzbh?<(KwX&6+Kh0HJQ<QN(%~e{% zm7RB<e6-pr<L2fB!f6Y(Gw#LQF`>d@;T+#7lv?DR<H{$0nEC2B!<@j1G@*FMJD$8T zV>!pS35zXqRj1?$u(B1MvvWB@qD9U=9xF_;Sd^U&Pe#mG_VKnS^;SDYr-v{Jbh-<L z28nhq-#P@xxeL)C&P|8{aT|mP&{_ZFq1kz0F3Va8ch)^=Fw2?8Lxsyw+<M^>6z3u| ztu(|!?aIb0p$3XW2&GV*qfi3HIS55i+&ZBUinAATp*TAs6^gSJ5+QX6HwIP*b3<Tt z5Z4`62XfcK>HzKsp!Vm!0%||*G*J6;`+*w8RRFaQ_a0DtbL)WGi(3ZN8@a_mjpQ<b z+LN0N)b~|Ea!VmUg}W@57xMRVKgjuo{5@PmKJ_?1k^4%{@5H|}p5pe)Eo!{U_?2!^ zp*9-N=~AeuNh6zEC+BncK-H_W=1V4wr*xGH{Ex<bu7-l*^6^}%Jbgu6U_7czQQ&8c z2e}f8WXwp{vGb`#{3tFF;61nmp#DbnSSDxjG29rScH@Qs^#-mxP`h%e6v?PDQHEvl zBe(>LWW=~%mjbKTb3Z_87w#)a?aZBq)K1)fNR8ksAhjd+9;9~Q)<NoZ+%iaQ&n<@3 zc3cLew&i9+YMbR^=GRxu8Q1>_f_EiZQ=k58V+aUb{=oS9OLNB6e|`bG2-ei$e}B~n z_%0VSzUG-TYW}$kcEwmzhyESV2Y4-ipnrYXoFV#$26myXse}K9TGmhf>ub6C{NKN= z-xYpcWgYI^m9!k9+_igo+7xi-pI<DK$G$$jTxSY+@$U=kuzJ_7<yVSbs(;=X0*wFu zZl2_Q&0JO}c7cCNEtA}@Pg^%}zCK|cR_&7i^Vkre`&VzCJo<Ica-H$p#((Y_0%rcb zZRN1PW?DC~zdo>>Z49{ePa4=2VSPRDZ;$z^_FtuWz4qT*`fuHqljvWkn6Fm-<FJBr z`5wG0(7K8B^&#tP=GO<T-!Z@5XMNrO?-%-SU6%W4U*pYJEB_gRc6nJ}_x(%Of9trM zMEg42e6{?a%g`=Y>+9Zsx9PvNTkbFT8fm_I@t-tkXR2=a=_e0LK=4uw^L)81<J!m7 z@XlSj;o(nzk^}sg6pZtyWf`>}d%?~)-SE(-8FGNnQViofQ<ib%V=37AnQnOSlad^O zTvE`_=g2aqJ_X7rpMUa_PaZ#Ct-Dls{>!Bp<<31z?#i7{KCaFWnEPbbrFQOo{IOR) z$v@9q`k>gkb19qpZS%*w^7WIS#&oIborEQKYJmPzk1myS{*=z1bN=wsbpE%Jk2Lw! z@lP^cs%q!Mj}IvUjURW*CyUOrb*b$0bltFWXY0pO%C{a%W%Tn0Ws}-ZU9t@ACrEbn z^2g0!XQ+-d@TrRYt?QBk-Wj>HmVQ1<XU{r+P&dpxzh8HWd48wt>ZOlwpq;)tPXDJu z^0!V)Wwi5KWLGObK7@99=s10!_L09`x3rda-d}dL>|+tM(@Dqa{S-m|)`m)eaUoPR zj0>isU|bLt0pkLx%K#TZT>?0NstMrys9J#YrD^~UMU?`a4^;wi-c%95c~OM`w~@*P zI3$${aGq46(Pv6mt7a=zKk2H~DN5W=x=MApQguiEQEjKh&FKWxX+E|S_d<7!s^D8V z+?`Y@U(Vqw<$v(_YMenQP$Nw~JZ^{l4G+uVZlf0S<tmj#4%BRgYF3v+CGzELZY(v4 zZ&9im<Rd&Ro7*O@SKBF69@HeDa;FLnjaps4dI-k3Q_%qDMnwVK1}Xxm>g5l4&I7tE zbtSB-lQ;0>Ol~N3dBv<lU4n2fR1<`ArfMOa6IBD@5L79IbEHZjoC8$^;nq=w5YC>; zg>ZIMDulD85}|}t_3%@xhrvJC6vGsj^D?ekR>KLq)WgHpKlT3pCIv%ynwL>)=>-XK z>fs^ljNaeJ6vGfQc^OwMr6A!m_3)rosrN^k6m($@FJsCY$e(;}_2N$+7gnn;6$-yJ z#V8Z@nB0{KPb{mA{&QBdI<+(5v89(k$rtiW9~22YP1&aAX3Jgv`bq1UI#r!OFu9xj z_0}GBDo1!qZO;)NHccCwB^Db0>bO;=PE{p5v^+HUH(GY{CyRt^bt+p(R}U)_S}mo9 zW)D*tU3ic;skL_TGPG8RclEMmGe`(ka|Wzc`es*?0#1lDt)&aI)b=dlLG>_GxL<vV zDcs4sddczzO7K;4`mKffW+zh_O}K@3wZifcO7Ku~`mFo(&Ff5SX+nSA)iO&Fl;EW1 z^jahI%{D{=92-JJ!?D3c6dW5wM8L6u#AOg0KwJW`{zMaq^&@IQtS?amVo^jXi1i^# zK&&@W1Y*62LJ+%=$OW-TA{E4X5{dafQ&Y8Kwo>`iRJAxo8T->zr8r!vywmzoY^RK! zn-UPGvDnVo7b|)+1=hkT+DVjR<(wjA>mL}tI@T~H5F@ERyrPcQHyA9ZXdAH@D_1Ec ztw79HC}*c~h(xTMT@*`9!djHdhSm`bmR;1=S}(R!C_RWtK<Q2tQW~{W`Qjls)}4q3 zv2H{Zh}}R$0A+paLyYslRF=3BR@SvPVC2lAP~tKayPmiN#kvqpP^>diyW&M6YM@vI zQ3}O65+zWq15pIUt|JPeSbHKDinSwBp;%iY5!#U|9)9}XgW?~ojbWZG$7EbvSPk#k zB_1Ar|0mhsU#no8J&nnzUFZcn;>5#4?`O#VKH3<@Stcgq%0emF@tJsd@V%1kkJKvY zXLB$aQ||+@lh5CKVJDBDtrlM@Jo}|KM!92;)?K;d$-?S<|GD>Oacbv|#|ypKN&Z=$ z_Jd-_PHi@|xq0C(cKzi0F>$JT2SMvj_1C}e5vOv_o)X)0&K}lI=Qm3hXxOXc?`7gt z)sBY?4=MhQ3%jwCMQ7RKRQ6fAcv!ikb)l5f?4d2ApFN0~)V}Y+WN6<*n5&l;HiI3Z zV$Q((Dsr={Rsrvb)UKtU%@W(Q&K?vGGtcf9Ut*r!iMe`d;SIFISIp^uUr26t(w5QA zZoyowSa=BS@DOwQ-tQwfuhXujo%P3DEn6spb~uSSz3(H)%{BxA91}u7!!f}G6dV&o zK)^A9gk=yDKv)7X{sa?<@grzKj4we0Vo(Gri18svK#Vs*1Y*1hLJ+f&zy&c#0u{t~ z5{O3csfk(vTdDYIqFRumjQMGzQV_0G+-dqKuv5m&O$Z3n7EEW%i-}_dg~iO_>?BAn zG7d-C^oN<JjxkIK1W1!NZzToujTyt?Y$Fs~WGaQE2?*E<#q2~5foPGjIkAK!i&?2? zXc{qN*qpYedV!rn;Xz0O3U`9g(4d{j7YxBM?gTW5aU-BW%mxAiDC(OYnw<tFvILc| zqOPgIEMsy)3CmE-dcx9*kegtFVw?$DD8`APfnpE@DHP*KkU%jG1Q8Uojv$0$><L^b z#*RRRVr&US2o6EVz;G}+1cq01-Y^`9UJJtk=nnw)N52BFA9@<VzUY1cqtFTf`=IXu z*c)93U@vqTfH$Ix0gOa50PKm*2Jrp*Ai24am4aTD%L-Y0(I4czLe?HMBA;@cm56>N z=XFNE96g2Zmz&kmrqQoRiwf8{dXAJr^`0DMqwC~64hz)xlC1d+6Qid{m5S&eNAuAd ziZ_>qM^ohoRPfB`QBsN`dS>(>T0&_U8>N%j`II776q*R4J<tRIe^dWhCS$QM=okRI zp+mrG$KS4RKXreV&3_FMt5&Z%bYWG*oz&`&=vAxNow%^(#GSRCzbCGCIk9TB?}b&q z5kIVUfiA3-t!}=V7F4xr&CFPu-B|0ZN@tJoJyF57BbS_gwp>VDUADff)^+vnvh{cL zUlzQ6wRYFeL*;w>?eSkEre1Xl+wn|u!7lQLQk%W2b~~-Ruy57s1D=6TLDIe&)c1FN zCes$_yJ?a2zomi15L<Rxb(%_Y)deHbAzrWc_kZ@hcP(M(vsDYW8`l4wxa!`8wV@Z* ztUFXzFx2K*Y)Jgsea(iTeSv#FmR+pen1A`E>z(qz1^dvUfNOW>*M<iC_fBxqn+<(y z_xXSEN5~&*ir@cmsm^ol%E1ul0?9wG_7C&_?e1zj-yc>*BhD$;Kg;p|`R-bqC?E0< ztDP?FfB5Ac`|-7(<#;_`xrD$AcU%+of0X*?9wvJtNy!ztyJ@)x$ewPzohO2A*86?- zd11jS`=}qVHXEb%l098XgJrqD6y&b>beu?o7jy3x<c8|+hdii1>gr?&4U%{;>%RIs zYGgS4<Ih{yeeq<sYwWsmGU3>->#}}*8vcv^K1#x5)EzCqFcdz%ZuMGwRe*O{;80*x zgAJqZ^J`u<m`w#aiC_Hphl9!2JvM~?o9KZ228qEJC65f-*Czh{^V(fKpKowRZTmOT z#r4p&-%B@!hw-P5*Hz(1I@6LQp~|$~k{MIzo%DJB)UmqLlAET`7vV4QBkHtWl3x{R zs`M7V+hq7SK24oQka(Cv_2EzOX`H%Kcn411Vabf~z9gN_pE_EXBe`k3-<aNF2%QOU z!WXgYnE1`?x&xA&PiwdPuJifw=c?-gk)h$ew{Ftw4&6FUUmyCh;Q9Por+<IY`acEs zCvN=;r(wUoz^Xf7UhOZ*q1UDGvZ~Va4WaevYiL=c;djlY!IIOk{T1Ggk??w++loxu zl`-Uhhc<O7{XLZCXZ=BxKL5Kto_E8pE}G|7ncfYhA*=BZ`@%EzYj@R!^KO)<S3_y8 z2hKrhE(eZ6X-)_7p)^PQ=H6gGN@$2Ehk3mUGj%Q54c@mK`DQryFY<kV(E#JR0CS@@ zd9;*q{h0m%*cXc|O1YAJ5$yYH`xgaeb~Yz2*yux_73{ufza!DczrZzU?HZS;)$0#D z_?dn^-^QC>e#s?Z+Xc*2b;z?-js-ug@d^B4b;_zzPq|frmR!9x{pb)*x?fJ-B;7+< z8AT-CCVM*G%B{ba6Brg0B){Y-I<552!Q6Phe*JeJqwZx<W~-}mYxF<gyI1M@;(2vc z{)YeY%v1(fUHdAu)eT9Vq7x7EMi74Cp|NO>q^#S&{h1h<AKH@q9y|3c*a4g6y8fHH z{~ufL9SqkO{*NPiFKhK~_1+>_VzpI+=)KofBO+0Q)mCp2qIV(eT1zBEuMu6AjR?V8 z526dcKA+$GX1;%X&&)kD_s(;lIrq%Duh+fjJkRSCGO)uOpmt=exk=8DAqX!7PWK3k z)hiousu)b-Ae(()AwSe;)Xu$<0+bA4SII0Wi|I-34U5^v>n~sD*8&mh5t1=*0i*)) zc>3bWnPgyFht68}m&7H7oKyh1v^izveQ?TdKIYjBZjBTnuS4#>A!wNsejG6`AG=am zJhMq`?07N&#Hs3{^02HtJ4e1e#wv$AI|V4^HaCK-)Ttjt-G@G4v<5J#SRg&l)Wy~S zMlp^-!w}<`&oGLwm|V;tTI`eisyM|I>LefJWgVaI?qwY@4nd1)jUU?rE8QR6#|Y43 zKz$e}HlGY<>TD|p8;1iaaoOb{4_h~kyN9h2E_Ml6=vTj(KZmKqxDPJn7x{VnA!7Z6 zt(kJ3KeEoRayLPWiRQxxQD`e5)A%v(5NgCKpJg24YV9M2pkkt6UI6uXdRe`p+U;|; zS87BgKEG^{;2%G>0b)@D`R<<9ZsRhxz!LXzas5fuT>juNMy%bvS6tuUI%GW9)jCj2 zKiz#ADW;qcPt0(?M2bDm2aTXet@C-tA#T<wVu&pFM>8&taxgL`+qJ>`7^HyBLi~7P zm^Fjo0%3}LR)yx&RQ{nKS%tU>8Wd&Q=cUi?M^+`Cg$9|yk{Knm7T_bDl-5l5kvDGk zF?$pf-DPJRXi3>?-d0KJYnLSkiSV_7lE+9v(>)4!<l7cW`ZG{pVT?6HwY;+oN>crr z7cHrwd2Nc8{1Pd>^rkXWcZrGd8s*~XFoJ!<avfZ$)sKN;fz-GeXImB6_$a0fray*> z!2<Il@t5BG%)eNq$A|~v=sQ5puDWyBH#FC4&W=iZ6p4|GEudgqNINLhHntrUgV79d z4BkVeM=l~I-$y#{k56=?&$K)p9j*y*Z?N5adkC1Lhi!$Y{v2i?&94_J{s^NrjM>8i zImN*?5wP(LAh)<I20nzrdXb^TAsF`&Ob3R@(>55R<!S4HBf#ZbjT<2vH|jRNy8tS+ zv?*pV{C<cOKkI21TRYe|!tXUwLXdvf)WzPQkz%~FqvLa#)f>PrL90adbLl4iubm@} z<6k=!t=^FA5;)uQHX`Dmzip8KEL0Qt*n2Hhd)S-qR@l6m$Ive3^Wt3GY||U{37Cj> zQBL;EjrwuGQoqYZAP(~bL)0$*(Qn#s+}}0~M<7?}r~gEMLd)IWVgWSJnPl~bPF!6a zBCdg3!vQP&gl(8|pX*vP(Mok`5!mqm<lP7AwnIN^^@-2;Wx)_9tx#2jnQc{xR)skI zB<}=J7XwvB0BrfOyj?(D&#Yh|nP-+AV$P<jNXtb$dxEzKsM`ju*J`llFVupF&v<8f z0ChdGT!5o!Xth?Xbrk>+?~-LCHZ#uqQOtCl7b%vVtR;ek@&mmGc$2NFXc1@>R0Kij zl4S}cbI&pYj=E*(0h8UbRK>CrwRT#d>_G2+UT>=^N<_SKmV{U~T&tl43IKZd@#<Pt zks=74vpB`F<FtHQpp-!GUS46VD#Gv(w~W+1lPoYVN=M@iI>Je`$j2Ri;+he$XOaP4 zL+U7>L5De4v6XD$Zmt<Vdvs~wLZpt&na~htFSe2?eBC9(W{(a5wn6HMoC$enq@Rs= zWkiLWp>;IF*Kw8QVEU!XGVsnm-4y51nOD0`CwOe1&OgIH+znS*3O-(}T;e?4qw~uM zJM+TmpukIul~~T+v#sY<tvZjw<6Ct;fPMC-CpeL3BOVzR;Z;kObDZ;gbQ5$w8Ajo9 ztvWK{fL5Ivu*g2$SI%lIA0Rx$CBxxt#6832EXh5?V9z8O%!}0FJA)2z!m*WkVADlD z+VEVIj!<|AN=G2v45h;#e&U>=vS*SAUTe`|JA?Lfx?}k$!$X`i#P&?!;EEO<hBIg% zrxuovH2lOVgMH5=4(!sRLvaS}<pfz(^7*>CrKL2|Wy!Omv>^*ZBdqw=m0Z5-u4%6t z=`!S(klIQMLc^@9R+VhNZmwxQjdW@9g-C6g1)(9<-h17A-*uNXn?^c>ybV%YWI@O~ zEpuVZD=l?lt6f{mR}-z>EzfGhS1wO(Q~8q>zlkm&E!4Nlw(<w->cSRA8zX;Z%~vYl z&}1^nI=ztOndWgnp-@>YpVCAJOLOq8YSn(^8{ew^LEfindV&?XFyfJB;ag=>ImbHR zNH@V+zOaSXmi6Ut)t2^kv#Fe6?QJwkk%u6)1sAs5)9e=N+|#TUMg~~(toZ1C<y_J< z8%>hrZLIn7<Oz`4!oKk+Z9!i*ls3p$6Q#|yFw)QZ+=`Fd7ww!T*JzR;-`Aqev@p`g zYHr0x?kne<#@}cXFCW{YO|vl4%PMchN9>DsN&_^S#NKc$mS){BE^=kvP%V~b-ViNv zW!~IiOEYdxv0NE9``FU-n++^i`pq)7H0@>{%awLBfh|qF8N_m>-gIG05jSmEF2qd} zwlw7iv`^z5t#d8Av=R`lb<MX_8W62<&9LM+b^Gf2!4g+M^rxHcvo|;{|LFFc#k2EP zwbGmUv+u2HOSfTX4{@ciXyt3fJ`Lu}_uG)OH?3;#Za$uUUs{>CwYqLyT=9u+xT!v~ zY*o9Te>{7!wDR@V?To2aZT430I&RT1<)$3Fl6=#(H|ZWNe(kzgntYRo<x0Lu!<Hu9 zz_BZ1w`OM~F44l*8jCBVw+3gHD7EaHNNj21&2ubQ;*B4+G~vb_%aw3rk1d7Ym}9x% zH-^~K_!})OSNshaTN-yGkL8NH5yzIs-hi+be70_Gh!hxImN+X)3xW|E0pc%KaM`ZA zB3{AhGQ^jVT8}WK!@y-MFPp8IE5ZvlohqJ<)RM-K4gtHcyiB%pE(j~wbc(nsQcKr1 z9<8Ng>xS0)A}+GTTOoezH$4R;^P7&#&dq&O@e_#eM;CwywXMQc`~a?EwlG>4@vBAN zQt<{qlS$w-Cdm`w@t(H2fQqM;$3tPjTcb>Ya3Y~@k+z=p{d^*=0$XewkH3QEc%msk zuA*2x#g7h#aNtY{!3cRG0x=_PT211ei@XcKMcA~@J)quaq7{l)Eb`6)i!ojv2sez7 z2g1PCY>Br(To0)wgxMMZcEPg7fo(9lao}5Q1--4N3ql)~l_XA%)DpF=LTPbhgxnFv zm@PMi0jACk0mW?f17Bh*sBH(F5el%Z1o5#JEoRJCAJ7_GL2j$*j1Yij#fzu3XwhP} zdV!Cy6~wj!P6!|@D^^^uMN=n#WQ3m4nwM8!&Mi4!%p?;;fYMaU9~q`Uwc_Q}N4qA6 zh?%5=`jDCr^GAm0=dE~I_2pcX-NZ~%L9s|p$^4N)`Zg<Gpg!6q*-Xr2hMq@!dYYa^ zd^#XGB|ph4IYM6!tqIWwpf$Td1U9@s>G$)Y?V2A!ed5!8$uRwsR!voXGuw(1P=z?% z<b7%z6r-uE53uFM(s$)UJ(Gj;Nj;P8^yh3Uia;*nSrhb4`Or4adQgKkZy^XGKJA_C zkq`AqcF7+>YgU6|tt$Zf@h-_mV$<XFAH__@>5*bt$siG=CV#%y0DZDm1+6|Br75CM z=#p%jPwJj*lt1E@te2nUmaHn4l?d8t(PYo}>ZkX%s-V=5cTScN%YuU%S~LOqUVZes zRu!cBgwDyFVp(w@pB7EZe6L=5VXF$lU1gLi->xW1m3Nm7rOLBQfl}q(y+*2X?d~E~ zId^eLRgT?pq$>OFC!{Ld?t7#v>uwQJm1P%!RAt@`N2)UI`XW_<yS7MG#$A0Iu9>U! z1y6MM%2mpO4qA2PDq%qo-CcHix<G<f{dpC-JKH4LuKMH3f48;ik7uOn?)#=@&q(y? zQDXo`b@3{6fytH&7TJ8d-6-i9skr;BskyDY=oGo&+1fpSWwxu|)a(%{x2w_g2i^Vl zbhJ^@BeLc+Z-Jz>8?dW^>}J>vw=NyOvRN2LsWR+}B30>k*^sJqyA;Uoywmzdnt?0R zg+x?$>96X17TG-Rl-rn%&(NRq9<_iz?Ob`2EE9?xz-2irx1us^q&xEvjU@h!$1S z-S8Gwl3m{xRpMRS7FD8M{T2<K(2)^p#zh`pWjVLR_&wuH4g!>hTIk3y^(mHzQyJ}= z7_w)a&e4a|co;e|L_Lq?VO5rMO?2BcPUVP2YDk8T3{tmYd4S4jmqfEY;~8q6{i$hc zmi?)K#FWq^ufzytIkW~u8GzR4;viV!`ANMW3T@Z;$kDey<(CLkK55lZRW`$wmvB_< z(@s)PghDYI%E|y750<(s6zZ8697^h$Xs0~4R9?j4vY$CY-4qIK)2QcYSmY_>fb36s zCwhcJJrZ3)N6;G89I=b#0Ofd>M5Dc_aq5qI#^cn;z070|5u^rxsMi2>GPayn8I95q zQ6_XrGz}$nPc#Z0aZA(-O>#?A-OEhm*lE#V5B2J&_QsY|D#tq~O6+CAIT~6t0HI!e z)VkPmQe{HtM9#g;I1Zl{4a!ijUTR@%IiYBX8$7kqIE#@N1<|m8j*t^s^KgrvxWXeE zjWZb6kPu}H=rH+)6%V^;h$|e{Xq?7afrQ9fK!?Z&t$3J4Ph8-3jm8K@7bHZ~0y;<z zYNDMX=V;2DCcm+$^MWT?jI={EMETJWb<sIno-)R@rYV1Th-j`Y&kyotixCV&O?15# z(#E)B&9g*))Hnr$yNRM(AxOs9rYT=|h=q_RJkVmK4bsHeY0a}hzSubB12+@RwJ9%T ztgz;pBQLh_@_@Tp2zkH_M9pk?3K;c}5Fv}L0rIZK%yIIzM%r=mw^rr!qM9yn?Z(U` zM)Lc1idLZ@+!jLaaAS)tH@JaCof{l#vDHuh(yE+Vbif&|(3qLPIMxDTw%F<;x3(%L z7u9rz3p8fNGp4jaXf3vS$sbvj6N?Tw!GVpLv5a~x>N*M|BgBl0+`MdZZgKH2<4h_7 zl)9S2$T0CKmYb6e?HU&XGft<vSK@o9Ffv3ukL6}%lXH!8gBhn%#Uj-u6-EY$+pyd~ zHndBe8O(Twn8$BwnwZ6JDj+UJA;~K)f=v#s4q*eJ)w`$&mbiZs?<+vt)jv}8`Azx7 z!Prh()m7QdaAhS_6@Ijn#1jfojJh%#0LP6b?oxny#sw>oddAtY%`KG`QMveKP7pUK zK-<*osTvl!3#lM}Q{HhN3Q&(Y7ljeDdNoz-Vi|xf-X+cmHZ@NC5oSD2jD%$-Q;8te z`4zkdh?B8pv}|aUx(FMgOPr|!se7D}!iZa(o<fpaoGL6ck!q(!on67JpV%8)M#&cM z947(Ggi|%Nr~?$d`iOP0Wu$C`&T*Wu%s48a7IjJmuU=wdY#AX=83pFUiK4)~I5rfR z2S<SdbK|a&U@qJ)63mIiA;BEDaU_@>_X!DR!@Wm>S#d>3FbfWW1T*8pkzgjAFA@yI z*&@M=IQ=EgnX`0^C%S9pECr*32CtkYU<A=!W&5WX5;XYdStxGSPqH2S<IEq|>i5Sp zTow1;uh}yky?+D?z<?LeLNQD@PFQ&J{x(d~Gh7k(%&)nvt7spI@oepyzgM=>_iOeD zm&0lJ{XuuV-5-TXdW6^P=V3@%y8t*1WETT2e6eKw%my=z0yE%5kzjfp8xl;1qd<1$ z?bpL-2F^?|iKwoe{kO1Yw{U*kbqkmVx7z}y#^GAPRJif`6&T#77BB_weG8ZzSJVO~ z!y#J0q`2@FFbU4L1x$>yZ2=SE^jjY507pg$7_ILIUgB|G+;%XbG$e^9o*9yoIhDz; z%lbf;?lCtlDPbHw<nNZ8-bw(&V>34s7iNMW(g)6@amJ*vPK2a=w6rdy>I1a!%<L&W zn+Hpv$FiyLNR977WACI^rWkKjY`)d{^O8-T5ZljqJNcOcqVf#yT6GM&ZF;X9f&#mS zTxyK*S-tlRBVAqY1R@Jbo-`i9XLsoGqZ?)cqg)bQRX@lX{<*v3<L9m<pK(zgBqzrR z#I#}~MJA$4XTR%i-F??AG%CLPNq+k*w`eiIc6&Ek<Z(5-YQ(QF0(X<8Cx_1-6nX{X z@ZgD;jCl3}A73A2<Cn3`NBTEi+kW|jSo_2x^`#mMHNelS=Kl7ZB;(K8-}icijMksU zV%*2RX5(sCa<#&mGu~~iqd?WHI~QHN6fv*9FaG_IQ#kzYy7Hf-WzooD23d?bd-r<^ z-`(i$Te%{_)w4v&eS|7r8T5`|v{~~9ac$l{htf^CcfjA(+k_p0`}IR~QBIAfjZ$Zp zRjT!1juR`zdF<!VjWG##p4ZE&_+~-hWd6v={+D#ElZQVp${i41<&*16Ia^aG*v^|( z#?ANOZn*xg_>-EX-(j0p$2S|iu$aTjgPhD1rM9g<Te{`y#<7p@JpRimGRfEUiGoHG z)NudHz1g1dHT|WoD!_J7;xz@!@iw;o;c$D&@s&5HDm*g<kF-)N8ivvkY|7dM-~G1g ztZMqxC0i)_NbT$USNl>=FQKv@-8}MV54V1!j|hyvPPbpEGL*k0Mmpp=H84irvRMTC z?070`EK~$=1Qv!*1uzNjiu#AqL~?F6UZFU7%02ki=w8?=eqH=j;qSiW=VknwjKugE zvh+Nv@j*^S45rTxq7m}g?5IM3dH<5K<Af!HgbiPs#{6IHol)t-E>@Jx8+1|SKk&+b zFME0zzS6%v_aOQcG1Vbm*Z+!*XF5mdF}wM--lYf)s??NS&n}!Lb=_Q}bmT_t1;dS6 z2^iY(jr2I?W@9DjDC3TE^u;^;iQgChx$)G*;=fnIkBJw1`@o1cWab$dZ#AYdQi)KA zU=OeG$Irn7hR5XeoL0V@*tfFYJ_YBKe2%Bu^$<sI%_l-5AM7ns<$8lmy>@iXN>U z$Qi3;*iG;ph6+LRza@^4U^bHZ!MzS+uYNwlsC@7FN23N!y9798&=ha}st7*eoSgry z_|}shJ_D<{txNx>psgy+_oY1Wx;vMO_l|*i^kZdsTHQ2yiFNVdAKhRy`7nrjT2VPT z<hoW3n}c8|pb$AKa*1$BEY0B?JQ@7r`!Mp)=Prb!yXf!so0KOs?^A1!`hC)x`$_K_ zk0F-?FUKtSZ@)w!+O{2;ihuh)s3x2ps<pW#cat|GDc!Z!jCjf+kumesu1$MBF$>UR z()0e|3&vgt8`s{9r2JUxfpdH}dj%?&8Jpd6j$iGAxhy607VG^=y7wQN;c`Pr?2c4@ z-@(JaJ2p$=fh540=0I1w#>GLKbmegO-mmWu;ZHQ0{_;eG1(2cGvKb<-uqw^>!#C6N zkY^NFyfF0>Hh3n@w8T|>;?;y0^OW|uC%teah>d)S;py76O~1GL`P;9B_d92~NvnO* zoOG!uiqZXy!h5z*<;Y8<`a@e~7*R69qKpRI<hL^Y7bo)$F8UR(`JEJk>2SgUlu_s= zLeHb9jPUB(;A;#S{VH+E|DE`?*lPV(2fddFV(i>Z#Ak&;?dzzQ@gI~quAZ&WLcd0l zM1oHW$9;~+f8SJ~B4@`Pm}*q}OPdV2v{*S4t8j3dN7t2M!{OeSkJ64=3@W}2WnBN7 zXa?}OX8@m^sZhUaefZ*hbcwn|%$%|#o6F7A%EwU$zU*f>o?^f7<H6IH<XRkOW=kgD zXFPSUIhsV39ykV?yGg<cb@nyS0H;QKW>Ukg@rsp-$lBV6PT~ap*PyN+d})9Az>j>| zMa*Zb|01~<)?qP{2O%9J=E`RzL7C(i3<Xz@LTO|epIXH7QCgn*&4Vvfi7FRkefEws zg;A+8%j`~V|7x+p{B_o&e5~QR5!Ot5Uu4fJGo+#aHdxFpoarcSqrOfI2nW#23Amdc zof)<LfKFnZ!Z#T{bwA&ji~=YlalItPk|g7bThYSXnPbnRb42yPqa^YD<&f3>U-9Mf zhn(?Ps>l9{oT=3F3abv!R9P|RE{^$^l|7FRc|X6DQT#-)8i6+-1+9Da`LkJ*R}<zp z9q1<$-2Uy012WH5n<xL>;>dNrypSv|fAX4)X*fGxO?O$vOWMwW7Z9;E5A=_j>)VxJ z`1zrA(2sFa`3NM4jC<N}ARiN+9ckNO+tTx9H*&VNgykCtJ^2AKbyj;TX0%9Fd+86r z<jeeDY!vqAkW9V8@zWvy%33oAWxs&K2a?avamyXIMUD;ut)qlSP(Oyj2psH6q4(VH zXIhW29AWo7w;faD;R-VAcU8rw2UBHlMkWl`ZWVM--%(TR%rtENxL<{L--~~t2maGa zBItYhYfoJvkl-BGdKBZU^7GdH1zP~?vOhI0EN?piMZfSa@;2yI@&2+srVW6((=7XH z!pPc8YDutvwi+|jKq!@2YW$*OWPNw)_4hdYf1Dj*^>b^QS%a5w#{X*1yY8Oa_70xI zdtbL@CFlKN75um?-L6RE6;uM7VChhB{Q3tuG=ULCAC8nduP@39$Y2*n27NPRg`X`S zUPh{c0MCi`u8%&qq$Oy6)nD5GL{)VwuvYM;UJ+FIREtj{D1Xu*>LV9L32@*I8-vOV znB<D?*K<O)k}sOwp5)<ogWv4Go(v4v%ysJ&MZN#4ksD(i_fiWvUiu^Glbz6biKwHo z3)!k3s<|!blI|0`yOGV6-n=Nc-sLR%c!yy_PI2t*UgbLph2~4WOMTyp&uJOQNempb z%43guL7w&b?>O><c|WadjAm0v3#*CHjb({3UsY`ddC4u$;3=$8B>T*=el&a)OlhA5 zYBLU)Mqu;FBb}vYehZ_X#9!$xpdWEagIeBNRcY{>Ll_Anw-QXP{JVKb8Ry8JCuqEs zJ1O}26K>_D$WW*o@6yaI@{nK$HA6=Ao=QaU0V+zev1hvI3B#O;0GjB!)&T@i_4ysn zRt^K`aAr*-lZ^o#!OZcd35&+UxFS+RXVHp4ddXe5ahb;ukz!uZPZu@YqaCgU?go)~ ziK$8wuS&era>b!=fyWs_-aJ`Z>)Le;EzpyWw4ITg`WUXi+;ldN=CjTrTNo*FS?9_c zk43V`SdK|1?N8zWz52+jYNI+?DI2i(y7$D)7s-Z(=i7P$fGf`ODRSpdwt2EVAd`4| z;)y}mNfV}yw@K!t9#s6hLh?l^X`7KpOMb}|ux*#8{K=cQq+OtzkL3SRwmN&o7yhU9 z+k}kB7oWu=f#pA7)@W^|w+5ftiw6wT4(iF%)Qi=Vn?~^-W&j6=cNG{d_1BVbw6%oC z>r*T~3Yp~@cL}qaWf@aA%x<wxni!@y(ADh;YH31T%hJvAru1fc%mqTKg?F^fmM0N) z@eOr$g6M{dj+~V0^O%T*L^W&K^6C6|{kO$a+$`j$4MMgl8<Q5r#$SH-#?}b4w$#6> zR@~^Qs`Kj}jS|VVFf=Kq`kn+9UF6rI+cZC$6{Gjj$R;bJdg;Nh^}ulPqbXD#;<`+p z_v|`nwiGx2#2XEHIj1IXXp*Y~39wyo0+m?1gK~9D=N8toZrsfIPbx*mv>S!;zwN%S z6MFAY5iM{kTh8N=Q){$U43b;0?+uKH9GPn^qhXf}v&B<x<|c85_F{v=!&+K?fBi0= z3dxpRTATR3fb8EGR4pb#IBGAB1>YNafm;P^NZPzlE$Wu9@GTGCza|cECbAP1v=>57 z^|F*|N6@&06Z){RF}!b$q2jVF$1e}J+^L@e(uz8Aq-e2qyj)%oJTHvDwkwXsPl|Ol zhx|NTPoJMv^lK(qd<k!!W!blP{(Jn8vz)r(b!|R`Zn=b9oa(c(an_+V(GE4qR6g6! zn-$XM4De1W@-iy!hzR%nzxF*g<*{P$86(xAsG$X)QoqJp2pdORvANp`@L>SS`y1Jh zyTDDzHixRpP&>*8ue_MNJ}XlSyRvRh`k_OqhNo=xKHA^sY7M#MlylWapL}4`6T=xu z)-iO~hn*J_=+<VCJR`?j^QYn|q*YXCcKg_WmrrM#KyhH{=NK#l@cD2!Ip9QaOV3jP zh;dv4RIgs>r&~fgvdi*lt+tVRgyw6!d6cz;iNfH_Z0B6O3O7;^p^$h4eQ%C&NH(Ja zS-Qbe2Y+uiZC;hSZjA0Le!*w&lGfH)jADMtMdeHt#Vl8i7()GLofVun>6rp_$889H zi-B46WxDAPb5ct*vAP;Y*&lT?-&PIqkLka%$+pr>&E;3rE#v2~VX!hxnyY#zP$m~C zRXE@YQOa?XbtXZ>w(!NL@jR24rSx6K=wAUB$7P03lHBE(--;WhKGNRS5tD|5a2x%| zAUo|(xO~JLrTCe~P9yqV!9Yygr`IZdP<fYRoiP+2V^~NTEBv_=e)v}>u(9!^2<BTV zJRW~nWqGNKQAL>YT?izHRO6M(e{861V<XezEVpr;<JK&_k5EMhvQ<^$eOIT_fh*EE z637*4Sr+H5P=;!@OUADeKJf!+x>Ca+J4=ve3}50ynZU}5G-lWUQkz&lnA7yJhRi=g zUQ*pe?qt#mr%Te&#Gr_GYriQ=A0Og>Nt_BFIGA298Q8~fOMDA1!Mc7fA#O_41%KT> z%H?!Dkt<IG?a@v@2TUuOHX6~_KVYXxJW@>2i3?-x=Od-jIE3P5B&_Ieap3>K`@~1H zEd9&qS12te&RCgv-|MSU;vOloKZZkHAp<d<W5CICOsm5AgiofAbb}6J96_r=!qTCW zv`;p|4S4&!h5O*K`dt#YEGH>~xDWaGUZK06S^jY<jF20!z(=-&+$uF1MjCTdpXdNC zT%FXwK05#XJCur2iQwYHJQ+BBEuxvM2`tDYcrbRt``VuH2d}<(uq;BPISI}CRfN7C z8k9mWq{R#1DTU4^=Nje;FtFq$Nhh1}W(gPzaTU<!GV>7QWs#{?{VEp;P0G|@@8O|Q z;8)k+DB$5vz>}&<VxljD+aMvlaBgGhTKoWr?m0P~OwwcS><qQ4=rFgt%cafOYnJ&Q zN15x}Z?AS1&eh-b@N{vK(i&{aQ8zvdx=@+=%lgHUKw>1ISffBmoeD6Cz`y9NkW#(o z;^&Em=NFZ{rXv8nB$ZG!`TZl9*f4$v*l~!-0ixV9)b~ugD_}934}LtoBfqFNjqMIm zKBE`l(kpx9p1gbx>sr<2CzPqDkS2TCt4Ex+?#gWJ2YD<^D`+;BllHjsmu$(5;@W8b zVZ3u_&i-d|xlS^};MoAOB!SVPo}keuYfl#e9n})lda;RVUe`1`q#N!EjOuAoE)zmB zF74859TOC>NskEVN(pCE?J_uANS=HBrc)vW_>q1V+c-=oPOoP2)q8Sm1&H`rxJCB* zcw1tMh0THBtuMSI|MTfkx9EvqW&r!e&%i(NUGj6`geU$ZIIYj>-QhF$GFl5G2mf^{ zH{hg}Nh{ug2tx_xcvZVrx6_Smzp?T)K6EUeo{w$fM0IX7P?=M`-AS&aR&49;pQG76 zc--Fqk>@KIz8x4E>+K5-=UZZ&!bm-&&JB0|LAM5^JD>`D*zJeJDSqtg*f;Q__<BZ< zqiXg;D-8HN>51;Sk>9&Bz9YU;X2<Kniy@FAP{-SB-_xAFbB|al$K%6iH@JUA%tB() zk0!~YA@*OA*4x?dGS_k>_?&qR4aSr+A9;}!_HzmU>-qItCrBt*L%3ain((NHIY>#$ z3gmTILKPTDf`=oO8hS<YtV_7tk~_kadu1$^(Dq&IP!w`=dLUp3@4Xt@Ix;(m;(<vC zY112GPrB&<DG$4%kf*UsxYT#nh(c?Ri88@%4C7x$SwxN2l-rBdu@q{=rh^>IMYeP6 zcpi}ftD$O1V{*!`Sl*U`5km-XdOWEx7jF^ubSn>%DNY$hIhpg3J*_4)TD{h>W$}&5 zCFIlnZcQhBbuG?l>O$fv5vb&Y?00z58)~R+njY&Q!D>pN)W&;Osn`X24Gt!_vNG{~ zYCoP=a8I20Fg~x#;kW+R(y=w)*>5cdU%w%kHD^(=UX|pYj`G9vrJ2sznJ@iI7oxyA zu61m{9zvfIpSUqGl&4EKS0_*`Ko3=jlwde6(DKF;qEq1G!54<_EpvedS4eGYUTzl0 z>Q1k&fBGEB7qk-V9~-MSa~a)2x2Da`sSNgyXJ<Ag($#FCC2Dy#-*bQ@IEe^q5vUP6 zTP0LsovS<dFPE@5vj=Co2?UJT%^6Z|KN=p`w#OGV6<C&GZ&i;dpCtO`CG8k<p%*5p z9&X;^5PRwO`H%7f6Pcqa1?PpjmG;pknNb;~FME7tL=T%B%P6@wG1bE#_!<YN@B!HQ zYV*&rXFV0z2K2}8|NYw0HyFd21TyOWYQQntPW1df<v2peIoc%kxSUs0C!|pW66YD~ ztZaZLriM`X2Qjaw9~3vr7V^0ve$<L2j&?U+W4^jlvwbhLx*<32CRX|hdimlV=$j`< zgVWA9@HIjD6HtmhL5Ck6IrRwM8NlY7EnX`3V-iu9EkupL3+a$Q+K9&qWF&xeN(sse z8nqGQk1CK!Brvce!RGOnTXK@zTKOpN!&B3Bs>a|r8W!7vqy=pvKjOOn6v_UHJA185 zMPNaRsT{s$YpMV_Y!7JkxhO96Au5$H83tJ7P{h2|@w$+B3X6Xi-{b%2A`j*yS+Y-% z@|@0b#^r4{{gJq}5VwV$COTeR%$Mek=f9*tsGDyVMVV+2U016g+UQa3vmaC$aqZt^ z?`Q(zK5iA#L1y`X9J?{h$lCBaXk(6~pKUrX&4ghJVg31G%Y9|Du{nM+UmN_`el`MB z-nmQp)zJsCCF9Y&nKJgNFz5h39JPc<g%xW|hY4lCaDAIvN5{`!@&A6V|Lc9q#;U<6 zdNp%t$+k~i-r$Q;Qc~9#<nWMeCdb?~oy2`RZ|0HG2aPb<A7V*z)=6;%Ss<2x(~w7x z{Db}!12|(TgI)36D2@XXCa|5LA+8qgD+QJJAgV%|)gS!dsA@?VHI6k!@iKl``?m2U zn-kJg>G|Tx^ybu5SmMLlTx1bqNv~;%UeT>d*L>izBrWa1#V`!Tw$i4E;W3VquBwP> zn!1Ug|5gc6r&i%k+xUNj9CLnjwC3RA=Ud?GP*k;+5Ff8_Rt9oVrBHlnwRoFmz#z%M zt}Zi^BBaii)#XdRm0%T-AL(r`NtTdtqItYqUkfbzhqkUHe)MBj&Bv|eUXCIFHpQf} zo;Oy|md|)RdaNUw*w~~007@-n)RCxKIwv_i;*_!n#@s<$NjF@^(`qE9L&a#XMq-;Q z^7UBjwfwKV4EX-lt7roXn5!qRjoJb0_%HVfF2Y!%Vv~lrnA+px$v6aScDpeogb;O> z93>*_C5XQ3=b)=JL-QE^V(;UJ#EE772=fq+F~b(FCrJSW`by)c0tGQ?A`6oMlU9NB z9^=$3Yyod@+_+CEr2$c7)sw7p<=5X2tO*ll&0Fjw5@Iatl-SpGXgR!ZWgcE=9BDEc z>pvg_;Qdc2g!x-<X3_X565`?g&jG|E!*g?g=I!b4DDC(n*f}uJJy^ouALjqe+>8kC z!N!5Sr%;>ZjS~gQa~`~*NBug74Ms}qJ+g<{D)Kr0VyI*ouP=!JaPgBHZPn^|VJaka z%|e+013*4c&4-Wc!f+q%h{1H*r{7gS)zoewLsQ<N)!L`pnLpxT@apgYT>b~3Ltc}* z&EwcB0q_rrXCDMN9yuf3KZpL_5`R8d6NFY!(tFBL+>~C@Sh-0k#j%;ra4K8*c|s8; zP%j`m?GvUgTKu28b;0O$&gI9q^Ds$oXQ$7h5wY0>o%DoV$FaQr5W9R_apR#(24Q=j z^3e~n)Jhal=aJ2X><hD(S#=)<&4hxKSsJ&ol4()~$=mn_Dly;l2!|v3I7+z9EY0y1 zo-8IKsA6_93BKxocrdqm*xN_UM&ExNOQ?B)T$i=CEI5vZJ*2_uP{jjf7#`_-#k+qF zz1!;DfUVFI0VN+_j;5~k85bSTmde`QI+m;I-OZm9Vd7gJMwSI6+wr;2z-K#M)d#)v z-#?aSKd^VPnftZF_eYl);Obyw7yCXV#6<8#viGP&@qO1k?5qlJW&Z0Tnf&Rk`^Q)j zo+4{fv*c~bB8WVRW$DnM0L_Q)BvJe_ov4EF&z!Zj$3LGla(Qs196;JXefxW#epzBa z?DJitQ5;#T`zEvPGACWtF?(koY;)6@)W${WyF_FxH>$S48QpnCRj*Tm^2+iJlsl+B z$jlg%SpKH;ykxPi@?E47zR8N}st;DjY~qUKVA+JBIC!$|nWQ`V0J}sUF^%8tES~(Z zbG^pAojTTM-fzJputs*$3fH*_Nu*?LOuO*XNz%ZY{3TWP_<;P4Jujx>RCH>wTtmGh zu(kj?UXAz2@pGQWjk`GXPE$wa8>+zTgxULN>5a$(x+94HQj-1=I=y18kE=yeKfQrZ zclX=8_{h2AHEXCO9{g=bI`_9xTBp!ye*Kd#bYT*e(HU(&h<u3Kh3r9dJYS%9uO3JS zzucV_qcM>iVN=susQP19e2m|`SscH&EU8~<XoOmb8+Ewcoa6L-d-eOh@!#8rQMGg2 zmgXU^<CL0$@yfJcCQl3g7xsJ)pCG2Y?zJYtTLJnc!YqE>@+o}U%6bz{x|r%PUT^pR zYKSSVIkCb`vg#p4Rt0>)dWyQ`p9I#HR5J{U-yWm3%)ZhTJ&(_n+QvpK85cZ1_L&ib z%$}TNZNyWQn8&|Wu#2k%{m?I$fXi6xyvg`xn`Q}`qyLkW56Cy-KQfm`_Fd*<mG~Nq z2UBcRTl29}5qW7E#>y<r9)b`0_uOJuY00-OHv-rn0ExvOAHMvQ^~Z>5IWM5j*yr0) zm}Qj^!$lHJrW$@A+>t4#f$(sXnG65*=x;M1{c;e$=6d!6bA#y1co@qd?P@P6>E7eV zB&1X2Y`>b<PwE|q6&AlE4bPs#aw0i!ZvT5KJrPT&Y8694IR2~lg_rO9Am4xZ|3B!E z{x9gH{BqxS<tM=7=cV|6gN~bf=>G*esl`ME>^g-t-#J}J4|P4T9Ft<th}<mZ#T9gC zck^w0+F+NVq1V8OPtP7wJXCIP4;S+I{|aU#d(de#8#W5G(*(M^-O(*hy1tj`J|7=Z z<CW#T8#(wU68$mg_hM~P74CMuR_$^)`nv4jZ1m0OO2n1=qT|iPO7xX7SMJTM+THbJ z)bWexyT3GH*`qXiQCG7xv1?x<4qKnxZOoS5?AAtKhkv;^k^I*uDW|96cr^RuZgn>L z-y<IfsLInddA}5<+`5N_?cRu$SJFqYWXt&);FN9m&sXMb<Epw4AJ><l*`c|=$$#?d zidT&IOGHNxt_4{w+w13X(T(T+vz-;}Up|~k%qPBdaPBHU3J|qpW#44%Vr1WR_nS+k zTPjdaZKi(O5E`Y=Q6u;15102l<*!^jkxO!Z39R;pfu9|pn!Z*2`E0EAA^Oh?MhS}a z^X#AG>EEH|))uBu|0Zkxwq!^{6+H(n-6G0^aXYM^pNhTlxz#U6ZT<m{yB9{QnJxV5 za`|ZYmj>cKvGQGdx8@ngvk%)p$CH`HMM8=~wjWYdXN-*<g6GBE8`1)H6x@m~NIJhY z(1_ZiKh!nAj_7Q>tH!xrZ`<5s9G8RM=d$*=ZoQpvq?jmk%R60n#MqLD_}EcMc@@oB zYRU(@^R3nf&aU#^OO7_*ms6EwU5qDNh^4xsqid1R!EOC)A_>QhYH<Vl8+nFB77OMW z()0^Hduxe0^aoz!Xs!KeImUPK-{U6AzBoSp>}?Yu|5E(Or&{UvMqZP?-g}Xq5Hus5 z;d4Ayvx!Dn`9Nn1@M88MeVVB4oW-0R&7TEyNyCdq)5Hc!h<6R9A+Aa7X;vN7+)v0v z+}GMP9c4*RcKY_u$Yw*ojfJ`SVQ2Pn#uVg@j|d8!<Y>_~gEBN{9Bca*WOz9&exmDL zFVf^~|Nh~7MlbtH(U~ByxzIN8o%Zj6aiyWooeU8lACYlU<A${{&NkEMtHYprr|ZPt zP$lf`>);5x^7Gp+ONQhhVF8yp(F66hC59?_1EM>Q2}6^h5((!pqv*iTWom>Db6oUf zH>>4Yoa+vv|GFiv!gQm}))KEYipjxpjQ{Mx#Pjk9M~he9Ak`@i<jc2C7mVWQ!Rsp* z^P!c6Hx6eFPuBeAUw@3HKKY)a1?G;j-?z4SU3;PfiZZb@UL=?rq&Y%MSVShhIB~Z> zb)Gea-$K7Pwa>Hk-i<k4QAWR8S-;3>1Tk}8Je`-mgxCwGtKRqXe(}Ja1Wfi{X`jOI zoj8o*-<LPXIQsn(5r7IhS}&u%fyTW0&rVYrUsTzH0L1ypu;7LH{LMx$t%POQG25%u z`?$UD{3h8eZbB0Q!MPg`iN^jG(KB8e(ir|NQY|FnGd`WjxZl@zrr9h}ta(6k+r^ze z8W<tN-`1QBiYtm)a`Gx({#7^OPl_J(heqTsA0FN-)R9oA5~)(Ef*N9<&`iWV;S!IX z1T@4=%GnT?vY=l*I)X$|_mC}{Y^a|{+z}1F&)GUOYw4XbL3++<({}~iQg%JFWseND zC5U`xOMeq=%X#G~y1JFSOuO}NIddy}`EW}9(`uz{C?EV_F-`83+e4WxmN~1Zw`9aB z)xYmL2>hOVVg_w@D!s|SRI1HffQe39ZXP_h5Istq6fCj0iP#h7XN7O_N7P8V&+mLM z<abaI;CN?75>bQFvL|zx^v_*O(@y@cp<!IrOygx)jk@5?=CkD5h9JA|l{SZ{+ry*J zN*^WsVc`9|RC5AZ$qxF==-o)69QbWTPB;GTJohDsM1$pfuBPha<U_ASVVY1{v3 zV|=Bmw>C+Eqg1n~6lgCVs2wEekCp%`-hQy6y|{K!UlSaRO}sM|ySQi`gpjL=17|K0 zU3lW6#Weemn236EE^1v~ua+W+((f$9NdGljWnR2->Fu9H5OLjgSwUAF5pcO%9b{(j z7sd*@i*W*4-GAZ8o<lVXiR8{cOyZpx_Uy<Kz7M$VY$+aE0bpb=aBo`ki2u%%)uw<F zdY^P<he<o?xfpbeNGaH@Gc!q$r_wNi1mY2#0tpCJ0XRa~fSPHxfL_8wN*=&BoJngY z2(!622HUL{&`FSgrDOtmz!97ti3rurc!cm*s(WLmdt)^~WzQ6?S#6Md$4HBm-MSS( zf?SN636y{DIse{s{=H{08m3t*Ith;!89?Pg3vI4$BRLa`MIwUTw@>SJ+iJx?XW(@I zRp9h+LZCD}ZPkUfsBe%`A<^YTN`<hC9}|zDwC&eQ_gk&#ISVv#(dpQ7_Uzblwd^S9 zI|!6c1FyOehigb*G1J9H(O>szCETp?4!;gG`Q=ns1JF*;^G+qtlVNW9i(mY`fu4H1 zNXO3*bQ^c|&uYU~<zk(-w>IW}D1ZJnOJSkH_1;Zivc)VoG34;Aw)VvA4(CaY$PVRp z`&`KEm7v-k`fTOya+X_zCSQGWYp<=-%)U?wF6mLV^0XbkV4mmBI8pw`;F7~O;_6vy zw9PV$-r?{h(c-Vxt2oab$m{=36TfaIa5|WYrv6Yu8a*`hZ0WT7E>b`i=k8kE`B2yI zJj+<vz9puS;=u7sv$(p+a{EkYnFYBzSibY;UWK4g`+_o%=C5aGr=FatALRm3bj5g+ zajn|Y8i+Ac_|}Y3&pOajY$Hr7n)Gvnnp>uP{;OVA$@YJg4NVr)yQq{~wR*4E`MUI< zv!PsuX0*MXa%?w$y!2IHbtTM*&X<+kEA<Fn(RaBXNi~H$&kHjw<>82|muT;E+@@(8 zGMRo;ZooLk{Bw$nJz$51&W**LK46zd@)H4-){5PKZHrrXHd+jge$P*Y{mzd*7}X-C z?>=DGEAJk(*^!Hf#_ilXG|50Hes=o&tJ<0gBKdG<8nW%)9DMV=LSHiC!S^SK`iQrB zJKbCoG7+>A!T-4J02j_jq_0*R(+_C|`L<4t1LLE`I!}(RKi=v}TD7JqxyhKhIF-|r z(Bu)u9~At1`ww~^v}J&qj<kM#elmT$dx)32TX5`q)X9}Mmn$3b<Y84<RKrPk-ePrz z-gPWvs@{0C@F!E!e6iw_Dif>L5DU@Fe-+jG&PDId<afs;Y&*fHok}4=d0gzi8&9vW zy{2kHmVkUd-MsHWa)S*FoWSzZubkVgki%y3gu2=DYKu@VtZv#YVC$-A8`IXevXz0k za9?J*6eLmi<Ua~g7Y&_~?QQr^qwVR-P<N|uNAIsRetkblqy$E8a7JkqC;WJtQ1(dt z<X9lQ{;o;MzE^`Jvi^GM;P*rG-*Lk<i~2X`Xi#*$CoAKEMdb6+O`zosInGX;=@<tA zU0wRs)BpL0siklJhiRUX=n|XyFL>nXmGLFwKi4jpF@;325kG2Dg#g@+cP*Ya-{)l2 z^4VClWmO&gicEpGeQ?eY^l5OO3iJsyH^Ki2WS;P5`PeL&$*f|w(@8@xq&LB6BbKUo zfQU^gl0qS54G7!H6~|7E7W%74sh_oeXCPH8C+oW_eT0+<BQCd)xOzGEWs9i1n}3Pe z7gUVBzpZ*)@`nci=r2n_(QXZg<M#Uc{EVDe6&~7>S#I03@R(HqVbHu>BDNB};aDn1 zkV~(&UbR!NwhhR!S6jQ<zH{7mb@tztpY`Lpu4gYFFRiuD3y-O~kuP27s3WDuZ^{dK zViOJ3x9=rW&%dC?JCB}x@sZe#x;0u$8~I)LgZ3gI0OWvB$tB;pUV(njjh130y<1T1 z<o);O59nvZd54JQzS`~sZ7v(4(|?ZUlZlLs;-WsswcCz}@e#&XmzHUF<%K|%8S=9W zwT9*SUe~6wi$AZnnN?=`?w-EAPZo~=@0XgIGnIG}{p9l2DEeF;$I124{J+B5Ir8?y zTv4g!nVV+IV&BqN@>wIQf604xek}b}d%s<A_)qk^apc#&r!74<T=N7SK#sWe28d<0 z*(j{M$4sP1zc03WC&4(mUK3-rCXykZuPL2ImH*xr0(w&2G??9tT5u7r&c3gjg72$J z(*=l=9jKA03aI``15`6J?~=^aI`6dFDQT81qAZ@TlJ^d%A0_>e3fP<osYcuUV$x?} z7bX@6L?^{Zd6<YvvrDpqi~tmzs-nP%cVa+OMRT#LpiZliUk2R=K9NtA*hHiwILBJ& zla-kyWZuy*J?bW9B9e$l&@=UG(Y)!?;(F6R2>1|>@V293lI;MdWc_|c+VCul&(5ub zMItzYMS}bXITOe~5y9C_&J_8BjOl3yn?y~Jq}|tFyT+*mEAeGY#pnH62_*Lz-hL1G zFYei)|DGKx@7dw^Jv#{Av%`J~HKVN8U5K=HGBr;f5XEtCZ?wv*^IxDznSO`Rw7lKP zl(^l=G}z9dT&JTzdooZu&Y_$cd_t|>mbuR$A#*~-^ymtXAh_w*O2%KUNPN9ok)gio zLLS#YD3=hAV4<WM+;X)dZGi6`24*>Hc8o~N*a4Us8-(H0<)*BzkZQ^y)uzP2zk85S zJ7fLT&1s{FjkI932-0{pnz3FQ?%3muQi_H5(Y5}MdM9}ajwEyIcLbyD&$gdLvx?a{ zj!4>-TCy3A`-&XqMv*o|&s3P?m2j1O^G|Zhv#qwh1GkwpfhSoJKmN<LyUr<%N^ykp zmt0;5s$B!RCc&o`6}sMrx__05yXx5xw{?0AJbK#(Y4hz2&GX-Ld@eF$>hJ%dKO_Li z;#uwZGkpq7)Pv+*jXRB>l2q%<CmMIw3NYxM&o@H0h2^`9U)dPS<(8PpQkS>uHsEV* z8&D}%@GVJ!#qZs0#}V31$newpDDb{EYXvgq+5oe9$>rmWJIxrVs&_AwW+LVNOkU|t z_~({b<ZxGe&e^dn=&OC0=GqyHf}us5cN1+_(}lKlp9cuyKRtg*ANYJy@U`)IG(9wr z!dQJ<2bTMxw9TZ`>@8JwxX5sMh@-o5Zi#6!O?9m~x#l)Y9wl98Cu%ZN=_wiYG~Lx$ zH{?MLiiSQgFER6RU2Z&~DM--F*lxo*SHkIA)=T?_uZS6NUaU!HbFj4DllrREnOPC# z%9B*R*-S6MR&^;F@Z4wd!W4R#Cv{!T#s8Bg9+kb|?jvt*&o*cBE_ic5k$JQGq<=uN zlU;W&rB!M#wKZ@rsg*S3)uSQa=_B_SadX>g-l(2M=EQ-w%!!G!($rknF6_d4X+c#; z!J({Pe?+CCg0j`CMnyf`J$uMGe8If?JT=nA<hk0x=1JGV;F)zHQZvf_#D$$8!<Aix zEIBAFjQRKBk$oy3JiwLRTO}#T?a*+*#CCb61Df5z@!R;)p$fPAD7ypT>0H3#xmrNy zNmsz>nN<Ls<!(Dm4I=%K8dS#yP3`YLWlqfSl}-nI7*d?+p#N>$elISjNMVo`BxQgM z3HJgrGkQ8Rb9$PLw<scdwiFQqX9dJT1}Q<L2B|?%gQTD^X0G3d(h@^ieOTs1n~Whv z8RnyzbA~@acAqqFJ6_$8$Zvxzo#1ozHL&uNiZ8exsUE*y%v%bil)-HLor-L|)61jt zYlEYpS4tlf9gGet+jDBChDT?&cGp=>_+AF(z6cFYFmfYF)V_EzsYFG&(m}<3zD)d@ z#6NDJeX^6acY1me`kLBEV*2MO82v1m>&d&L>O<`4Y}oobi%Nt->E;{r$bv?F7eAgq zG=vHAHQX&r0DcQNQO%B(H#w!Kfx6R2VW?Pmeb8EUqw#x-xrXd&I=Hj0CY=?#2|th} zh1$be)7gsFgm6;_ko*#kSCo%-^0}Nn-^lzW?EF{%OF@{jsF^~O$M)ft?%F0r=-A-~ z#h2W$VeOobKYf%mb_Ks}nSdAH=vaouNf<l!%S0?gjcH!6&kfs?7_OGY)f~0OHKgY4 zr7w-8aG5Jyo6ytP7p&63^(n&DLY)%?&D=irzR~=|v~MP!>rmD3ZJPfqQ|Fs=LYKr> z<RqNvOnGf{>sOL(S!JAFNU$+YF~^(^6NzE@`?#9v3HABDGx#|}01LX|<@4R8ludD8 zwce8dR`fqxb1BS6+qaxwzF-K5e_Qx%F0VHF>FN~4X_iu%cB12mTKs7F@fiJ0IJpkA zcy){7veqb}WWbtLD#GYN`ZGCN#U~GvCB1&F60nF5OPew&8tu>vLYMnJs}6qi*VhK7 z%*`qq?y$V8Z+^4W8kjZ5A~FAmv`G+IMn}f1J@vRr&x>0sg_yq5`<Jr;pNLKRxSJ^9 zhs@3abJO#dq{fwDi0Kn&)%sfLH*>ND-?xefc58l`7MJAfrnb>01Q7~Tov{S)P%`Xr zeCgD@15R}RCtU1&_jj6_=7is4D#lrWO3`Ebv6BK7|K?OrznzRt`hzNoz|1+2Kvrks zXw`u$d3~)^-rQ_~_700d^ZztaDr1gi^ZWlcF_xH8)VoJ|?-4yIW$o($Qr0l$OLj)_ zVFDB*0fnwT=zZ0JvvmEn6=X+P40I}&pY@7(yfh;{+vi3Z>hmx4Rk{FWC7CJfp6n2W zc}Vr#qavulEuijq#f{B0WLZ|!#!0_|)A)q}k%Hh)C^uzn(VvU0*Yj!ZorZ`degP}e z{(=8^Uu>x8u34Nol<0^!W`tT%2N|H)b)8Ufr~#6e=BfC=uxCE$oZlp5=3)#Y({U5A zK2UeiT;DtKAD_3ep;jv@CHt47L8NrBG*Pf{jn-eYCK7Ao%w2<M>0qPQ4uI^={`XFc zJUtXwfpx%_$C86$K3`HidZQR8q>7fBE3Ab#KQn(!Q2ijJ>mpGu^Oesm{W4ypg?mYo z%Q830u6&`m>RU_F+#_I4XAiyU8!NpX&it&-jE$<2z7!@t%j7YW*2*pIldDm>gx%@# zY(d?3?K}z3@~;#>SSR(EQ)G3x@Ni1l{vV>gIxNcW`TLPrQFduzfdxdmyF=;j?v{>~ z6ahtCnxz}0yOs{=6a)lm>5x`ILPXKu;d{N;_5N{hoSifGoH;WmW<KZM$appKq<#f_ zmF?Fk`x6BpvWj-qxWbQlgjV_eglSamUz`j`e#ae&yrv}R%6Mrlx5=m}CN|*AvM0K| zgXNfOzU9sG6VqpGq9{6IV|0XzZo>@fP+WSAqk<9sn`D}8UQ~JQUJw?VHVqPLn$=I? zHZ%B_mUHo{BjREMaF#vz*BzJD%^ea3i%oAgKbuf4ZqE8Sl$4vd4c)SZD2j$VXIPVB z(wYM09S;LfAKL7P4pdljSvQ*^pEW;4K0`-oa`TRkGBHcEN3hlIR>Xcr;f8l$28k#x zc^6T^&}W-ukPa^@Y;(~tBtiAd&VzpF45F)Y<Oht!QFLPmaW~gI_d=1ob~2Db=?XDp zQA3)s*-s*1u{gj<amlxg(#zQHcQ*Ilgw|3M{t_gR+L3UT+~Mf8&P6hhoyY5{j&ZP? zrC0|tQ0q~86urOWUEQJKeos@W@eNV6-TqCi@49YI(|5DV%dZ#ef~9}tM%mS?C_LXb z_sPFbg=leBVI*zr+%e<EEo_FCrY2Pa1*F5K=GZqo8WY)S;Gp$?;X_eg4F)(W;|)aN zndJR^1F|@!W|4z}_&PBH;!bD<LG7auDrL_6(1>4xCw%b`w($}fDsQKRJ~xu`=k63R zxL;Cm;a-C;c|T@eR&?GtveyMa(b$2W*6@>z_i=t`uOF4NTTy64jo?Xr6ok#KScZxk z)V{vX7ld<gIQ8;kLh^7PM$wY@^S%#|Hf2O$bIDu3LMflO5)t0Sj?Cfq^S$zjd-9S> z`5bWR7Cf1bfUupH%20JVCCF%zl-ECtgg}kncQ4p|sYdZ~HWQ&U?Fo<S`9H`q?ixpq z?Y(a-93FG%5W-4#dWcMf&~i`pj!+0HZ%V>Gi7JIbrM2GV@!>qY8hu5@m2TDaF#LkN zcyd>qTK5bds@gbWY9|M+;!>t@O@;AQ3%<2*F3!uUVxXz>XMp`Tz_-0APs}*|9XE>? zNQ;(8`;7C=G;&Z1|MWzNMsP%js(kJ-jFDibcYvPiYTw}xruM&C#FH?=>#2uf-Hh6f zKd66f97?XS{FeWC=j&)U;oq@gSy6G*$R{lLiTiH!v>j75n*mQ+6*tVNl!KJ82??Dy zwuxc70qS9bZvhLxi)9_E*wk7<>wUu>Ev$q=+U@!miM+=xL}&~0N0=E|MnB`oh_A!( z^7r2qNvu+Z(h4T*t6&q}J+QkHeG*1?IrA_sSn$0y&%IgWB}MG;8vDHc`i5cI*<%-a zL=~sJbqPwj8bd_*;UHhEW$KBw0E|(1x_3a4>S__xo(HvkLG7ATxw`*w9?$6&Ib^~r zss~@sE}7fPx!@}0e>$%Jwn#K!L|%;cT@HtPNKu%i7^b8)b5>MT-!Mk;)WmL<rXp7` z{GmsN%*cr`N%LlYI-_b%)Q91ZT0$ThV;*gZY0t|u+>AbL%z5M-CUv1w@pszg!KJA( z^|3(6T8Z+B;LetJVgz9-*Wc>GYT=&UJgVT1l%X2$06#%7u0P|KvP}l4yrmH0F0AOs zjW^@raLq-c5#)yg(Mhw&UNQW{s})(voMs|AM@~l640+Np8~*5q{kiz)U(uh7-ka;s zXP%vov>UW#VP=He$S1lQAAhas_oZs;i<94}FFN(!U|;e1A$-N(fj4%O-*&&1vl!;V z^5bPkBFknTz0tu!UXa0ejEx%gajntw%e`@fMLT+TOnPPG3Bx}7vG)(*MXfpWX?2>j zSE#1GKBt~NrP=9y9Npy(Lkiz*LM4CQYIVXE20?34D%iujN&6%;?PC2?bF!ix3KTge z{m5A9?p`F7at`p#k4_ugj4)kMg)l+QnTKHl)3{|=8da)U1}#tgw||Z`DEBax-K`Kf zXY@r|7IRN!&oW&T1^UjE?yLDcZw~qQA<@Y%0T&wK+>4BaV97Z@<lAX~ujh(8%Q^Qb z(v26HIh$hCs%aaE;)S~F_iSS)4PtNQ;6!ekQEy$L+q#9e;7{+#TDPH;SL$bXH)~N> zZI1M8LGJX<L3Z?%D_XPPPvc#mN&QV&{JKrGx*gB?W|ME)|Bi|+2F3@ir$-m0$sr}{ zi9-G4<6R$3ugOaKV2J2wxEN8I<VlK-_@ma^kDfK&v=z!TwGOam+K4iF$L8CICcdfG z-Ox!H=gmqI8`f^<UEJRx|MNFKItT%S56`@^!-C;3e|lm|0+UoP4UK0LR4$OZr_bY? ze@9M<%FCxP^ob*tvQI&1L@gLo;vj53@s>BJ^><VVjr03G{>`jeGvqJ7yOhHC#xeBh z10W%16HzEG`6Iq{S;_H6B06s%pBOM1Hz1#(AnD{^0MXw2@p9c@sZ+!3X2F5w{>#%; z12}m04Lib&A-cCE@=^WGmp|^JRZSJ{dNZ<f>t~dzj{7o)h*cGfeCi4{pWsrJtuL=9 zeUi~#WQlNsPDG+8w@|b))>#pE6wiGVdCjrbsxtvb>wS4_G_D{~h8lL1*wf{6x>Fj# zW%5571(eNysk;O~iPdFIYzL!ew!0mwWkMKx3_Cnia3ezoJM=&>A;YC5u4;gmcX@d3 z&O)Y+w{Ll~j*7L0ev+tjOB}^#;UOq1A)Fbgj|4W$2o`?#o-V%;KQ?T1m!<K_q-+jZ z7&kcUyyu(1q?0~K(&%0|)pGju$@5-bGz4Mi{E#;sEc7N?4tVsI>{~P{vA>BFr5$Q# zkGH7!CIo{T<c;nPQ!P5THN3vQVARf4!4CZ(n9z1E#Xze?<CnW6tVQD$0UAQO`1R?s zq;JBij*2a6Nw{vRCFXyXLLmsNsV5tfFviJD_p>=0tt{|*Cp}B&Xo02%t?HtkEwxc~ zxRBv~hVL$62T8dLEpzHcCPA?Brk`xJEw!YGA4>`hrigE>ln+i19fzifzi%p6TeY?1 zM`yD)ea)cMrsYMH+!-OJ!b6mX4$?QeJNqu4J)L#-?fr23T3(=&-n&|^W}C6p;#1&G zGjW=B7fR#QJN*`dr8VC2C3MiP(VfzFvFPb6WpF`;j^k_rZRUc#qScj7dgWRtvjD0^ zivPApA_TRo9H{VCz_L?h9p6#;dOmplebagF?+^yPO*q*po_Tx#qb5Al@U-^k;wNkF zCT)fH3X^ZBL^1biDzc?*vPqcAG3H492#ur#Up(ZQLS1!K3UiT6KWs~Vm-n}@tlJc@ z(b<ATZ8F$VN5Co$K3lhF<kguyoxOe&Y-b*2o>#vNx@2KE^?l6Vv6?{smdcAK*l17Z zhf|aA5ZwG)ibi+wna{Q@8jhwB6Xm|8LcE70qEx;rD?-bL<4u~`iR1z`je;9jIS?!( zr-ytK?-hX{%-K3XC~pCmT<43J4jhlnGF?a0^(=Rer>2eLliW{D&zySs@*r6F&JXL~ zyJb%{ea?hUXBxh2q!*uYp-aW#miJFt^hCGXmd*`9%QKuW3hpRbQQ?8fU;gE-Jb_Mr zJ(Eq7pu^RVWM-MX#1E51-CAlgoMF7!>`zUxo%5gCQ{h2D-x1xCcQ`862-Mg;odq9B zeeWS+JM<gu{zoo!OFjUE0pc?N@ju-P6P{rNUb_X0<}>FvfL$yVa;FymPx!9!d-*_` z(mP6YK$?<J`N!UGXEP0XZW|ixaC?&%Iw~7S<&3AOr4}Ik`mOM={Lrin;1!V&k}%*E z>Q#+P!nw{9!hpSDS-G0E<}4F(+Rcn_hRPPAKi(An>6INE2H-?bY~Lh0A1p89GQ@oH zZ?#Y)FY)w{0AmO`@)6&M_f66MEs2WZ`knQ0k9^V7#kJ&1#oT=JqGqy=rd6@+GW+VT z(MZ}ilTB$#7FgS0@X#AxA{kP5&6ys;?d5$7L6CwSSt5i)>#gwCbmqM6Z4=yiZ=38m zoXYA`+RS#CwD_k1xnf&-(RRY_fz2yfcxOb83J)woQn@qSXVSNb1zdu;-il;b@eF%| z;iF<nI9QC9|D)MyV30LLzw_bunI|PRg4(1MFvf40?lXY%Z4Z2Qz10&b@StzNgR*b+ z<hyXIr)GgV4A2?yAsVNL)6)n~K<jc#EA_u><i7WgqdOSqvu~{^cl=d!rlBJk!V5y5 z7=a;NV7XMFhUI@f{J%Qr-P9_9nrvX&aPQ6s0yW>BJ{eN4x(gEx{Pe{70gTZw`&OX; zZd*;mf7|NBaz-ynb`>AKTeF_exC81m3vL)IVTWD_CM*J{aWu8c+5@k9<G>3p3}%^5 z6lR&GM{Yarv(s(I2~WSW6M*4>Rg!JhZ4X}vegtO3oe7MzN)8w)-(eEm`qt{)1#Ycw z*}1nq41y&AT;Rj4)pZMej085F0mxmg^+`l2QyHZNZiVs7z7<AFaAQ#oJ2Y5OTTPK+ z=2Pn{+To9PE@(Dd5)}@pdnY0vc`+0!2ie)14lVtAl}P`9<zHCwBwy4lE^~6nAlbH? zO0Kg7pSZ=LdQ}~A34FPTQpM^jN*ZL{b{n-V6`H#pD>4+Z(Fb5o0b@nx(`BDhp_N;~ z0&hjCdZACUPoB!C_&@bG-l~7zjmm4hAhg$<N_qT$>Yu!=)4T=`0M1TbnAAI5&Nw}H ztDh{-Tm6tvy|SZ+;gC;1v8`VcmKqNPHnHv2NZCy5bOfkB1L|crGh{UvA2vHdO9@cE zB6jE(!31NQTjk%}x{hlJWiuFE6fLkm6mmC@|8EE~%(_*N*vF(-cHA(WUBLZsqoSnv z43+(@?YREWBuK!JqQn&S_USSZ8%rSHwzN3`f{1Z?h{=GEsEyxp&baNeV2|56jXEk8 zh-n%o#sB%d+Qe;J`Kij8cwsn(pmR%ZDdTwMW;5s8%8SW+bW}8k!8Pzd=IsAto&`a; zI^~Cp!5HVIsJmwlH|HnX?2e}WR@$hK`usQx@epyWB&%@}e#qjQmua8oqTkMn2`6=! zE+==GZcuZ53aieWek3(aKs9|x@At3}?>L^7L@t*6!N>v;L(<j>mFgj4K-t1tv_dVG z*R(idUa?3a(Eh7H;T*QBr#$f@sScwb6&E|YGEtFnNJc1nR!kA>-Ql|FaMvbf!g5^2 znDYQ}d-<w}nf^$n)kh4`9U`~nB}hPCAozB6ZVJpXQD8V3bxO3vK!t2)j#39erDtE1 z<#j%Ey|*MOyWi9O*5C(MmYck;d8InNFS??bn>TKjsT4^6A*Ei?Eikn~ywJ-Wh_}F6 z^@uhqMlmLuBZU&&0e4JYcP~TX5UWweV(Yx|*ZO}8fhUvME5EBMlSJ(zppJPH|8TW~ z%gJ#F#=Pca#A?OLdwuVwwr%FqdVHoHJZ-1BpVKH8b>_Ffi7#=E>?6=?bBb^_Zy11b zo7rSDb~nj|o6Y~7d8z+yLWZ(Mf^~%8?t{gHe3Ey^^xi8ia-ns{+FNLvz&6(i>2FIm z_pO#~sLYOe!)h*UzQOce1i!V&g-c!huKO=p^e`Vff=JnJ3%j4=r5*JVF*~60B5bzi zGXd`9Qo&$|#JN{5K`^3efQ(?thAQGKZy1ANx#BjPg#F@8Y|X!OC&{gRs1l97LlptL z*NO~CmaK6b#y>l*mkaIkW3B&4Aa&d-M|by_7Zv80F-$KpzHuV(>+vAeTI1DOa&<=t zMVIW!A7`VWQ;uJc1<NZv3{6UVG}?VFDniU#&YZJ&k1<5(uV9lmA<Nhzo%?S&j`v>3 z`pXy?pIZw(`s3Sr5ckD=WvWk9yx#n*(jj}3@HGX7bi)|1dVOw5AEcx@*t0%5xF5D& z+DeaZ7H0IC_v4HbI=8$|oO7YJHFl6=M;64F&^gpJ0Vb~%1KZ!Ngu~O8h(>g&Nv>AH z87Ds}*Xeu&n>%OfEl~$KEEad=)e5I#iZm9t$jvqwGN(u@+R~f0@hcNDMKMq)U@(04 z(O9@B!BRr640VzyIM4C3{J`&^d4)oFuzuaJpx1WU@(}&xd&z>u3wPxbSy1{$I?Xca zYs=S?2CZiybBzlD_%?7ydW0Q_4#c9AW0}M7L$U)>V0^Jn^g@QCXuOaQ0dL%SqBaFT zN%4VwUifDsB|2&nV@0L%7j|NC=aOsbwb~!IZ`>k_dSLgU82YGC!B1yb52<b8ZgL1Y zH)ZQ6SjF={l!ukmx%C;HZ+)yd8;H;-pyFN-NJy6dIUtE|li^B_@CF;>7L@Y%qp0rG zi6)|ON)R(y1u+wo$U*(2{dbFGfXs~^kqpQRK@jg@RCnlVJyCcar#x3Bm;6Q=N;yb{ zB=~!WGd)y<^UZb#3eUWeD5{Eay0wObyx(g{R+JmW&J;lG><LNm$aTLge9{bWMD>~5 z(ej+w2Wb+Kys_l8iO2V)t3Gf%{!|pAyD8?Cp{yPv_OG<`buC)z;)|114M!#Wn2Q0s zY2+9qq6%m%4(#N)s)gqMU3b%&IxyA0JDGTK!8TCazrai+7{$UpezJ%Xqix6Z$|>0+ zBxv-lBf?bO{Iv;}LFC%-u7*uaSZV!|jDS;men-)XMcR5|m%$bH2TwNhKg{EIxyQZo zqB&bXX>L*9b-2o^7FSAc13|O}i;V3oe0+0X`C;To8QgE;<%2YLFHTa!u0dR!ZROdN zV7Jc{)T2A&WlmCALHf>P?oOAnSADLVv=1!#6YWqGAGUQj<60H;sX8TSDe$dSQ{?)N zFv)FC4qZZZ1LSH8SoqQ)P&=@I%YtAPfdyO=gjO+O`dJQ(Zzx-FZ7EXsCvVhjusY)f z8FNA))wYUM81mvmH<&y;S$qI<O;g~T+Bx&8fDo(;W}f7Og-YP3UO7S#KP~{n*_&A= zNODJn42T~;1o7invorfzhm_|WossqVd)@aM-=TQj9w|FxJ}TndENXwhx=!s-k>m`j zvN3kNsy%7pk<+r3-CXU2Si`lj(MUleIM}Q437+tFoUDf1^qz7p-4DH6%#F;Ol?swO zl<sD}Ap4dWNaYV?jJnw7nRB!us}P8-UMDuyvi%PRzn*zjL<hsU{`91f5GHxfT=WGl zbBHydVqpufcmY>Elnze_ydS0_6(>{twy(E8y8}P)TQ=_t6TE@s{^f}1V!_2Sn)-NV z=vREJ2k8t;)GiTDJ-a>k&Yt)reRxT_+t)8);{CAgmtQPx+lk?vCVBr<`-ws@anc|a z7z|u1;)`NXcs|WUQ6A)51@BN-`!@7!=S>NMh9=68sv;KV--ollD9`@!4+Wn6k`%d5 z8ta}6t@QsSM4fMm*i3SCW-RxXWLSCj%x`-3n`{3s3@z)@1AgN!yqsBo(|(0|FGLnZ zx=SMKV60k>_<(0%{d|+77~iGhFZ1{V5P?pb6*Eli=yd@RXt_h$`J|3`Ul4)5?#JIc z3;Y}Bc2u;WwHfC|T_{&_rPz`yTx{3{LeRqCBnvZs;vxv7wHQa5I+!ZoU*=?t`%0eF zhu&u$>-KZ^-+WQ&68$)Lf=j7N-}EdRTi~4ak5^j13e@LWxQyl`-{Po2$o>wA90(kr z``DzecVOf_DK4i*P{HTEn`GDR7*%Zx(XcxNE6h<g+~d3}(^c0-k9I}H2HaToGQR9! z3FMmFhI0g^F1BHMl_?B=N>E&4rclA0UYlgQ@4TqaK*+eAY*LC`+s?NGUqHPmu=&;8 zkCo9mc912r1y|PUC{ssA|6aynzf0G*ewQV1U4V=Dd%p`8xKzO9@V(ci+7D@y`ba#` zAbu`_=Utnrn0UKsl~}uJBe>pm9pmK{C0F@k(O4K4hT*?(`ra@7x!vo&mU&{?=^{!X z;QZNNV#~|)SIs$jdCA5`0SK!+{_2;^Rzfn#i@=X1EHdoU@$n^}*+h+SA8$q#Ss<tp z`qm%?<pxqv*)+2w<Y0sVDX97h8G%KQGMD`pjz6|fgDdB=KbpSNC0wE99-o>)i3PS} zo_JEE?Qi4d6orLV(jVoM<RWI}9PeIC56S55ALcvzcuzq)NCh9uuuLz=P+k*TnB=RN zGegwx2$&;n&{f==UK1#$+IN@;>cOGx5yTBm4LN)xp9Gs`cHrUN3%*4ey|#@=vA7t5 ztUe|QgRqOzF#aIt@2XP9-{0{LU<3Ud-{$5}Otj)ydu?Cxv07>Lw>a)zc#X&eulP=p zeG_w{vXXFutV^EBY;}FgB7CX8P~GBg5p$UJnQl&BkgMvN*Tl%+2B`p>@3a+aa$eG< zOk0F<8V#7fqc<D)Wb`_(;R|$k(<p)S-MZ@QFN7V@_DeU0)OVU|JxJZp_-~2Cv*}-6 zeesiP3BRbrAxudrXixw*U^g_K=8P(;!_m@KZ?0{wBz+e}14k1}V2%kSqG&|Xq!K5` z1j`dNE)Dd#{QmDNmuQ4ZBzSWe{obRRG8aR)1#BbCmCYh)a5RY|A^~MAjj2(6H2D{m zV<Ery6-zW|A_?B(hkoyyH+k#BREHyJp1Ch79Y4fGEYdH8-|P|yEhYG6O4!dG6Womi zC#Ogxc4Wns!S7Lv^c0^8j4ah9^{!PuFsA)=@Qblg@QAVV^-#06@KAHeXy;1R*lh_K zA9F9^{mo;g*_q#Rs9NcgY<#{qL^80Y9c8Cd&WI7zPckNFe{M|6{>zw{y#({)W1>^B zTFIQdX^H`3{g09GUd$Moei6vd#<3|^3*izTsrQWp>M7d{#9L`~nvxxw?yu8I{-U^$ z58b{{0Dlh~!{+uU2B0&W%9E-l9#47-xUsoaxfWo!Ff|yi`Yy~@8zr0Nk;#6)`|m>j zNJLrjxPGp#tu9n>SBMk$bI;{AG+ancNi}Hlu=uId_|#!;!mLt-z^eO4RTSZ)UEvzn zACo_lK9l%{{p+~H9qviBvDLQzU%IKWNN<U5r%?#gX>e=I_R~(44aH87yXzbs_!^KL zU$>>fwh|>$f)rUx>67Z3C(JfYPi?aHbztH$2-gX%)tK#SmFBKv`%Sc()tMJiC0$kF zWT6xDP2+u_>*sRaBhz!ILgw=8HeZDYfuH7D{^~f)n4TB@tTNa<Z^{3mdR|}PlGB{O zTZ1gCA8R=*66P}aXt05_D-7+NLTXWw(u_3HETCQJKl++0Rc;3qY<Fm%{>a}JpP73q zQA^sD_pOb9yjZ-p3ua(9<|?R5^E2{L^zHYy2>p>L*^yu+-<<b;E3I)+<k99MQu#*{ z{wp`b@|}<N+dTJO8fktC-7<Iq7<{V*4EX1e;1^<zNHpm$G_|G$Wy2J5puB*+kwzq? zL(sl<JACS5SHgqW7xq4X8!`<f@OXI3;LfcCJ%9np9Fl*(Eku80G-%`^?p(cg<ea6u zv7X(xUFet17R5ld^A%X}a+ziSG2OZg{t4x2V48-lnw%RnJNr-IRz!UZqo&~d5wA`e zX|x^e$3295g4ULDSP(6N$osu*?XVy=gj)hn95(ES1Ez<*EY40(u5w#t+$|yAMlmc1 zF+;sWnlb+{Z*Ug!OH$oA;p4~-<d<&7>_aOmQtF)#*0OS%*}cQz`YcKC^@nr=4dDnw zTRAy5+If+|T{{aoH@0f`NUk^`SiJ+LBP!D*t7VKlwYenKn98$qcy__ObeE~d^}?=# zxhLm}>0L#Kc?}lDNFbbiC{UDKT#9QsB|X(PbVg6_FH(bTSLBz>R&bTl`ebR>C3Tcl zi?}R){d0{qbwL8^i=r|{;cm_Mw4Zev;#um5pWTmV6^k25$&vZJ(32M+!kC_J0AZ82 zFz{W9c<`kSUx1F)>n3<I*D9u$oXMA~%GD*z?Ca+RE=*?w?tGWW+G>op|3@t9nhI97 zk?Vztozf?bDr4ab6M81oO&Ukh)~RG}6>*HrLxFJSZV$_mH%b%#PMO0^PMOh5r_7;Z zr_539r_6+BTN={4BiXtc2v1cz)lRmsih4(sovfR}VQnY#+8{=JZLpqwjS<7Y##sMo zZO7($=jcd7fZlzzZ4GD6C=myY=w&rtY<!LKst?6bHk^EzR>Ri3dSqbHI6j`Q{w-PQ zP~fKw+M`kH;iKLDqFV@4bVqbULq|haLv&WwA=SC7TVwgZ#<ah26V&R#YDZ(_6P4_| z4;!9wQ)Q=>|MJYo7l69Bt&yqc48mC);YT}&?HqFpO-*jTzELT4pJ#N*Ip!M9nzc^_ zSd2(Bt6qpf-uW5LEC{kVW_;U02;`VsmnN%?KYK=4WHCVs-|7dm|Kb2I$keW&^x&KQ z&apn5P(>kOfzC%BH!3lo#y&G%4~xQuheSmUM?`T9heR0-g@wxy13H#^tLFdSzNn6{ zd2Z*e$t<aI9xK9k9w~zKiHAkmnN%xTJ69{&s(_}`3_cH9L0=S4v0NHEpQA7Ar>g4P zxof`vDle{VKv>kY-v9Eut)o;jiW^BDK8YkpYa+=*{gC8QBa!t10c4J+(>13OQ44Zu zV+~1Zqt+GEY(L<9N~T6>h1;&4ES6Of?8*C2u){CwbRwo-YF_)2X7R2x5~1IbKjNKz zhKQmk-?DiHR&}0WRo9pxcx|c-3ErRFbW-|W=waH$^`;;l<#moB3iTj=G(87$GxbDt zt04FB7UVvjfZPYssu-5mzITo8dY_L2`#O!5QR%QcDM@*vEH)jXb3#8hRWULTLK!6Y z`jAmvnE8&}-Dh5hkMUL&E~Ed(ObMg~i%x=-+8+5M<Rr+&wGz=$fLvS*V9o?`ar~=d zh_440v*qo!^iU(NH``fYztl<;MNPi7S&F(^bf#xJZb%TkG**VZIGEHag6wd+%pMnU z=oLMEY>}yIae%)t;6`<oAs0rr`{`jE4M<oz?%dTfNeB)Q&CR%D>~)qUv(jmNxhs^M zfyn57K2dmQ!0iX`^=|W^EIiFLQgsOI<JwWm&_*J{0RYCp!&k8>Miuh`ECyV|pI`(L zNFf9r0n5uV(@4`n&<-5@#0)2T+FIhqVrJ=DW99qlT#Rv`HGQ~STMpN|Lg@q=umPU^ zu~}Y8EhA>WcxhbP-I?AIEUIEm0>qiKPzDmClJ8+z7G)B4kiEcWTR8nNN)GG`r({J5 zKyKXwq%6l|C0AOA=vslAcmQ)APR6j{opybZy5#fQ#xwCigU>$O(Iei0jo&+zvKtUR z&m=NF8DKEj00yH8WzUQLh6w$*5A^kv(;|~f$Zmg(QFCgcEMi;m1Q`oqLx2>&5=hc- zzUMixm$}^aWu`)&317PoN+DthgkX}mXx(0;4Ln@k`v~;pW2)k#^MD4T&>MY+>yqaY z04Vg(z(|qKAs$t(qh?TQ726sQp}i#WJ>bFLU9_P;{K3MG-^~(00h2r~KD51NHRF`u zpfbn|!95hF3RqN~8@kW|n2}zlO8y($k5z&vUZ_g7{UTr)q2AyQt=>GJo@`;kB!gsV z<PQh(T8KjR^d0)%RX9IHra@>YweOyuZyJFuv`b~f#?TC?{u<(pC?nW98t?=OJQ!e+ zn|lPXNCL1=i}Ey#C4@cwvN(pisRtB(wE$tzEU0V;^Cc4M;)Mp~G9$u(5)(lG2jt>C z(J~Bn+9;rdecD%}VJwpSo93d<+am=$<2U)EQ`nqi;O>!@LaAeS#5t{VkP<@vWfjW+ z4gMzRFdPrkh<n|KXRcHMZAxK+-*oTVh-0Y9`;j1W5J*Zx8l<(yb$AsRa+3scUMVK% z_qAS)nyI^|B!W-8uZmk*wqq%HGL;N*KF=q3<YS%7`KG7{?8x#7idc@g1y3+h5NDr| zo3Ozb^h6<v?k5i(cy9>uj-!5srI*Xts>Cvcaa_lLI3_?p|Hg{5`wm8ezXBn|Uxm=c ztb~)1zk^=;r%HQM!!_Fi+2PhKb_kL(TLh)K&qYfJ!ykJ89IS{{NECxdn5y3@B(?M% zj1A#Wd7izX^$rGgG?#iXPL*zlK&0Cu*c+pf<zyVg1<z{WN|1DmJ^dcNzt!(V^zZKq zE1M&!CCz=QgUr3Db=$u2@B1(D1kO2I8(&oxmA$^_9~c{EXBsPK?Gh_zs|tXMJAlKU z`JVp2+*K@p&vKJ#_k@f+;ed=I;j4^o;bPuphpBY}%z(S~;<rOK2W4A}*f1M+z(XTe zj*HF~K~iOlpfv>W#H)Va`3n&SH}9Y6y@stawlT~3^E4h|@fsd-_o*!)H*rw4RY>?+ z?b{#cCf~`nt@q*E8~a4tL{4<u2~HH-Cnq}(S{cIBY8z{N;tJE>_22|{z(}Nk`c{GZ zR)P9DI-u8PyxqP+dM_!5ag404ud4~;k-X3tq!%;}i3g2BDnro#H%Yerexv%Ggy`@) z34QCF<%fLp?kBv%?k9Yo-IZ-pmJ^1F79I`MeXuV7kl*vJxbBGPnnV4%?OME~F8i_; z!4BU1_z2J}d`iRti0y;`G9Vf+0FxRl_!jlFmb~Lg{A9KMX~I2W|4=w!e@pmk|5&(q zYSdl1sAxH%Px+P#xl4Wf7O?ATWL0+ID=_P8fb+34d{9&r0wyj9n7AOrqLNSmF7^8C zySneW+`jjXCzP*NuP|>s&W~C$_8E0htPiy=d{elXZ^iw+^_!!P>2*?{wK>A;qt}z| zwb`-V9<=}-3&5qKwL_vfwIia89)qHi0DWrjH4IpOSH50ZwZd`)=EMV3NU;K;qgV|m z<8FsJoBL7o!*|;}Uh`o6`J^Lv;`^!<5nKaPIgUZ{BBGF92%t+u3{n{pj|>nc2D*H` z-EprQ>$C-QNjJcjerto#e(Qiq`?bT^j{T@VEp7|jV-_6n-a<QIP!@~eiF4nX1-{>| zcjbEEca{FZBYH6apL>&`EOm>f^_l?Ev80r(+%S24c25x920Gkqi}Jd8(@?gYAj{KA zAGhWq2MIQZ+{B)l8F8EoOnx^Hp1ANm%e8Z_q=6Cw2Z#p_z=K9A`=F!r9dh{4(8+hT z)Hh^JVejN{uiGW`0UCD0rs;^bAn!GS*Fr-76Tp<%BWx@NSRukUbGa9@GPfXWB_d#l zfHvA95HWTLEHz-sd*%YVC&d>*z>7axEX0L;>gWeLJOVm|d4xr5)*dN7c3wTGrAI}1 z$WbM)ghQWpy8L}$wwRx;7m<^*{VEy%*#BA7Juf8P?_5b#FhT9ib*koM{lF+Lfq46% zG0RV{sp*j1ep<gDWNDkNq&?(Y6C59oeCpReu+8q9eYWNqIflHzeJZrb!PnSlNhm;d ze8Jb%w?;NBvY0*G26-VMI;G8{Wos7J1$Cu5{$jjpUDx{q@`A}waPi2-AgoJzUU)IP zzXS4O#(3S@6GBaO_&~+Y4U=v|I^L=}|1;e3X@gi&gj3@wa{?Ey)m{N?$%<$0e8+Y7 z1D(*^ONl%QZ7&ov-@Q}r@KKl-Qr-ELnzakIImwLm-zARCtwkzNl0{n2q(w^49~h3c z9XtO{Hk8z9so>>sHh%{E7e^k5l~z`B*Knw8oxSH#-Mcryl%?O)JNKT4aZgz&P07+K z{q8k>Ree7JlcAzz=0ZnHacPT&TJX|Qm5&eUnFo3Qgb>|>U(0z|M#niQ1O3)vWqjB9 z4#<Lgb&HUPyrp7_Bb{N8uAzaBu3mKAqnZf`)3lV}NC{zMz3KZ^SsB>kgmWr$p6{!M z-9PksLmsSgy?F3tj*GqWw5034ySBlKo9(1g^PG(5LSEf$+xnkCxh{km)$xPH&(?K= zEsz&dZl0Kh5j5n5-r|zAC)IE2V}oBZa$W3?MHj7k1_~fA#0W$dIlPMdETf8Gmw}@5 z)^+jQu**`f!oD@ZOQFT=zP0c`gAhzvpJn)J;zjNyRqG#%M3Dezxzn`AhrZq?5#Pui zmJa-s?9Tp6{H;Q=42DDZM1LoFm`ZgNl9v;W^x_2gZ%%;!<^=ffj9dIS55Rw~z?G=H z!89@M=ii~~vtm%bv}w5WOJTO}#2_|o+cH*e!zNa4OA!p^699A0V~1c1qS#j6UIybj z;iCiJL3+&4>Vb>Xx<8#fY~OR`ZsOvx^aZUjaADy#7+bYJ^(W&!;KIT`2(lvSe}7A< zJ9T-aV`yM%U|>|)BT#UZy~!^}Q8Yfi#ES33KSn|m*APLVxTN%=C`Y!{)?~s*#Ybce zDzzqGs8efR)stLN#>NZf{5IWGjEc8Z6ho~I(VTxDW|<x#gI{+qiJs~zD{p<HF75Z? zDf9}W(ZT7CVkP;>5FK%scxa@RSRpPsGHG}qGO4dfBv#SuT!6ZOIelbT(}n*ziH_)J zg-a4Rg%0De5*Z=sqv-f3VkVRdF;m<qF;f`ZZYI&br|GP9E|m_vRDleAK&)V`D4&=? zsUtZa%_=>tm_jxzpCYKJlmb=Ej7xx9o7$5wFx(%AjO^!=EX0Gxfb1WTgC5AS5t-Eg zP~ZunRn`JJ-mEmC-(636n?vvY#w0aLr}QsJUq+!!Iwg4~)`+Ygk+JoCk{FG?0TR8F z`tvyEsPvfE(Ky>0S{&+vs21a=Z%m_|!wXVu+S$rW>e=wB1#zPcN;hnp*{+Q3-k4U( z<$45~+LW?24Vf~`@{jXD9iT_*p>|ztcy7G7QE`AWneA%P?f!#B-5i!CaBSI3L*YyD za_nX5!6i(D=|<3PpSq64QmD9teBYL~?m=mmtl7BGbpfIXCMPx^skf2gc^`{KLuM<5 zEkM-$zVsygH2sJ<PDrYTcarS$RyBQ7ZQq{fz7f77s$cFeqqrWwT$#w4s$c~R%QSkH zvLi`cNko?dE`R8f^vIWRRb^F)P*<yfrJ`&)W>#Qd!xvjgX{`2}O|Y`XYJ-Z!DlWK; z%LnfJ<l9GGrW|kToa`P%9&byiYHrdqm7QiJ2?YGO=7^&3ZphNS8v%LsR^ub31NuzS zWCOp56WPc5qRlBK*!+In-m#>3zgJT~QPB!{`Z^x2akPz1_3jjhV&-<G4td+t6sB5g z2SSn9hWPBw|H78MTQ;3gCW`G`EfLt91F^h@0(%5S<MlJF_{YFx-vN`AQd}CbEHV!b zE_nVhga0S>>nuLPH`!$B8G29!3%ra!!>&<A{n1(6cd3+IP%3~EK{&M~`kqa7>6je2 zDMp7})Ha0)P31s10&cp!nIER|ECt*&5s+%W`QQ@%httaAt%Y}W2#5JoV}}$mLn?>R z2Z|=P?K+p3Vb<TqjwyPJx}0}i(KU*hHXqH}1r{V*cNg97L*GTeC>K&BagA%};GlN! z)e{~&eCw7n$F;;-CDEXxX=BFsUv3Cf{VLh)mm9-x)jMw(pDK}sixH#jJmiTpfH!~x z6omM|8&JR-6o5Aj0dHV>$}pQD$mR0a3V1^r@CH(1g^k9@M@as{0MQ3JK{;qvwwx$d z=bSiJ${-J6S?g$L9oGzRV$^1k{N~l8LOk<GRuT%}4r;(1z5sVP1#Vdn+)}5Jm_$z_ z#&iG?#R`pJxIa<=KoPu!%7{o-+Dj!ey5Emf@4uM%PfA??mu^Ob9z|K2Fs!ck-g@4w z-3)m)RU;ZD<VnIm@L9yW0!7bKA3aNHm>@p#Oqaq~@sLDK#exg1ygFj5Ys8Q=J7cVT zNXePs5o$-{V8q}nT~Vc;J3BWkcZlT;EUdcBJ_?p{Y{S6xdr@|j&8>7tP*~>~q-uS9 z)g!f<zj@}@h=xq!CR%6rYK#?sMR<&)HMYSthuR?(@5{oOjEP0-qK&AjO_`2I4E5%J zYV{nk2Bm(htls7VV^~IU4TBGgjyD^03@hwKdmrja*o&HO<^$50&Hp}NcmU~TyUuQ# zb&5f)YYNjOFvUG!6>CG;&IuJ4Y?Iha>NR!r<?1z7$iJfwE{+3nQ2yqxp1b_borV0( zOT&p~Hm!i!Ur!X3CA!N7NPB1Vi9xCG6l+y;j!qifEkz2-Hzpk(o=t4;ayOQtr9TAt z!9c%Ixw?A*-^T8t>AGH%$il*PWMNl(_q-A?H637TO*)snz|`QbDI9#Yi?4Q<Twi7r z%W${}jk)tlBfQuq-_&<pvb-V8uf2&<G_g+7Ny`9tS`j)tLw;m6+B({D_#`Pou1SeD z6m?En-pbxG)*fZjd{Yk|FdxT1f?dRUQlpc0HOcxS063{6|JZ{7w-k<3#(&kkW*(t_ zL-oY=BTjVEEPacjR31LO!f3J)MME7syAouvp{QHRa-rPSc<Qz>ysCbhFNpcPYB8?i ziDMDx^bqTdeV3GAAAzydeOeDgUPClBaZ|q|aIC;LuG)4bj%|OCxPe_4wp*Fj!F(n! z(|s@v89&mm@Aetc&8N0Ff9AaE{+!j(KzKB}$v&1WdR3dtj|edOr;0{ZJ_yAZ0LKr| zHQbz-ArzDFJB;aS8zw%EJEtE)p=sBJ?}(Y4E<^mLk*LafT7xf84bmTTfOZVUWY~d; zIO0AAnbk6{In+$sRzIb=-+4u^^vI+OpU)_Yl3V;RZSg3d_ENMwew84rpZl(cU0K*u z%(lhN<RO;9Kb&~jc~u2nr@IVvy}RaRUY&I1aBS;^XNST)eD*(+V{qD}yLKI8Cg&dN zM!(*JuQLYx244_?BDGaPwhqv*YxGq+m1ED(J!FlR{?bs}?LRSM(@#A+U@xg{V6Z5e zHSjB}N~s*n^hteN+aO?3QdV^=YjjY-UN*B@?Ru>Gy@ccVlE4@Dz*``@nnzS<b@ckR zz)V=RR$fNCeQ@9N1C@D-oHR@O;OlD6he!CDs-6wq8#(9nM>A#id?r?2iq}aOxGcqV zCVA3yYNOXd7FI7Cq^%Z2UR8fIy5M3sQaiYYBwdvF1c$x9)~)PjvJZB)ShsHIZmRXf zGqEzAYmKbtDLSH7oll>>fH53>D8DA}rs2%>mY+zuURa!U%Lv}E9dlt0;s_ukN}Rh2 z%KkLB2td=505px7W$H-ki0~+`eK!1)O$t?8{8o`d_hbIqZ+Q`~ASK_hF<Eh)x$^TO zh6-<=hrXeg#oS=Bl9GHL|0!4!WO!*ohL;dzc)zbPbjWPb&%xM{S80`s4p72!7e-p0 zg{+8?l;iDtOl4E(4)lzZ)+nV<!0$Mm`wbz(<yHu<&DCr60g5+c$hX?KlU>x(Ifh|B z{X;ZIp^j{s+fXL_oLZiv9@EDPqfi!5AfQSvs$(_(aw#Y$i4v{DKcWK)bV0#QU`7%p z0VoJiC5IbX&3iUdtBpeKSTpSenebyPd5-)`<BILWiBrb;a*}Yv=h82-+rxDmI;gez zN31~=eNe@dN_q59Q+X6|!)B6i6>RcP#l=e1(BwZR@AFdoP|FL4o4rCQHWP;@DdW?* zNw|iy)HQ+ooGkj`4sXWlQ?zl@W+e~{+rfNH0-S~RXjBR(CcnEn?5)-)^bE+s&4fQ* z!E<yfot;Ga6bP!WN}d6lvK=hW1ahSI=!z6h-jeR>k>5-Hc$u3}Chr_@Q@slZsWmNK z{sG67apdbHT=YWvMZjalQK%A71|AbW0%+$nBoZ`Tmw)7rD*2+-Ek`;gf#VW;v=orw z-7QCM)u)RpqX-FB6YJj+)6<na-$yToG2I<3#Ox#TvJWz}zh9FEcCOb_p#FM5gA_&) z{;Vb&9>FH@KVPJbp9nE|2g!|M{XDvepiTOD)T|T#-V-l%+g0{Kn~~Psz$v7Fe}z#W z&2!IS!%)hAaA^5WWniYZ0Sjs6;dWppZ8+iK1)3JMPEx6QbI-t`|3Y61hmM$iz)to{ zfNVL|*TbQz2&)&e4*O5a5j6J<^7R0ZuE{rJQz&h*4wA|zBHIUMr;hc$C4m{|LCczR zG^;XmajP=8rKT0MvNMPjo9M7->VDdxDu$JN*-->zynQkT{YUxi-<x%%YDdJ&$6nLu zGYt?_KC|VR+x|Od=J)?0SR8X_{jj?RXXvoXUn4SelJ+HmYXHUJV);y4=&@LNR#~<F zM~<He{Z&eEJp>oa+NbrWIb}i*4jF#`G3UunzDA072Yqy|sPTwGe?RbyZ80`($ui@k zwa{WIi@?1&N1yHoUW-aemhbt+DlN<m9T3Y%-PlQXyp_?6haUc7A1*pN*f<Z6k;1+$ zyrUUUH|xy;3ZMU|{g8bB@L;c+<-zRC!hy=&i<LOQi&kuV6zAgn*?jW7!-Ip4oyK$< z!d8WaPpiI+Z)qO<v;|D=)*7C=iO%F)*l%YZp4%%8EdX&owoV2#6xl{MzCA9_IKC|v zxh?hoUun|+O80L|Z{YE@dj$fwR2$VS670hgQcF5WhFgh_V{gBU9gU)+>uc4dU;Hxn zH}m28YOX{AC<Fk7^Y>d08GwUh6UWZ+kmQ*AbaSkaNkjS%gIgJw97ZkQGpvwXeDF$V zdO>N01l3o~(~cD+#{nVK7Z9EZ-4f0L!d|&s!i}H(nc5mB1uxmtsyV8Zx7rd9vQjn& zm#+zD*i4tI6@249|7(Qb9T;uBsB&XfI%3pZq8~VD9q7r>dBaJ4O-b=o^mog&kWCKZ z-!oDC0Wr0In1_GP2*yrOTu;acU-p4{<@0Mh)D_Q=37mWRk-hj|4uVQU{+9oq6a5pS zc-rQHkEYn_A$HWMqB479KJu?5jDMv8m-Y3PCz#t77GIO1uD%bNz`uQdL?-_C1*j_8 z@}C3IKM{Z(S|`up9PDA9?8i)!7tEe|dTEEpwfxuh@b4GyaZEpXj#1=Gha8kmDwnL* zNY7^(%K6VuM1KSCIxN~}QpAM$dxOYdw!<@M_%}lUzO#+ulJIJkU>zV(Gas^fCotTH z`9NaM>*T*oKR~PIwnYC9APX){w%7?4Z}<qpvC}qQZw5{gP!gEfeju110I0)35+h_e zEjSU4XvCp_&OZNcOh2&VzrWZp;DZk19}kH@SBWY+4vE5_n!d&l--k-rXLv+S5I)M= z&4=o7A%CIXmw^}<v)Su2-<{;+1e-#p7JZ^$ICmZ3o>3eIkwNA_-fE_{6m(E54S#IQ zU>d<Ax-a=q1}<a?eqwwi2pzWKq|YaQY?TYgO<Uxb*}<ntu}NgARj2<k1SDok+u#@f zQR4R=!%32@dXk+sKWErfJNMWVU?kbY0!KP0s05>8fLi-dV%=Ugp=aEj$Vrq`&^ITF zk#vAX{2;?rD^VWdN^rPc8CL2wBs0@?oKI=qpnEfu>rOC+nfsjDN8tw+9N}}|%<&is z7c4ji?*rV*$}~5ci+~-oB*P>uRvr;YaA+46R*G4ZnK9nWr>qWE>Y;8`&Q24ad7P<S zxUdXPskr~K3?XLZ1BsMyQ@jygd}6o<JqZKn;D9nXS12t=v=1;*At0`u2a$$MJw%0q zz&jC)AMUo}*gVH|^!jYx{GXHp$@Y4yUiiK55j-b&8ii%}0_V?c&}-bB0EEMI4c0;- z+{Z;d_+`Aks2TWvKPB|pIopW*$fRUc9{}VGqQt;S&0b!LH2AlET1BwLN(4(RR<Ois z!gu5yo-V^mMo7dk4tMYlh$^j;Bm*D`832;Z$>?S50yv8Q6g03i?*+-<qO8OC3+x$A zSf>M1%?EZfM&$8tByITi`T|d_Phis3qqRXV>9s*5_Zs8L^G+3882}0B2ao`T(^4|> zk?c>#<ep>J&yP(uMJde^v)Bv=v-SUydTtq$dXgAZgWwgBr|vHmkS8q#dD3i<Cw05c zlL~=6X-;&F@`RAYmIhS9F20oimvQ{qo}CnXRs2Qyiu8Q)@Q|?<grYm|g`4xKJ`3OX z8#w;JpN#ezQw^0yTPdi3ofJaARtjszPRd0*`l5V^b-swX$T&VL##RdCVlV75g5UJL zs?k-76LKE_{j#kT!pu$z%gk1aB->7kR(L4z&%ck0W{)QlcbwloUR{0b=Xkl4*|jXy z`0enpw)SvK)t|6B^Op>detZj4*P&5mEq7!6T+gHb_eenSHs9{})LvXEYt;Vx&ojx* z%}rwTov51jw{Wv(Qul=S0(ITSBpyJIek5(ieH=C?><jO-z%|ZxyjRcsk;KOLEz@wn zq}prT=V@eTFZDv)8|;7mDtlSydHnug^DaY=7J`KCB3L&hcrhX1XloX4_gzwP#&Ht7 zw5|9*Jqz7PXKuEBpL8<27ByAg5vG!iql7H{j4w%ePsLR$Gt;*e$`rjuQyGV#>4RQl zOt_+7lVo#{D#Vi=#qd3I-PdQ_Y(prmv7>tmQH_S~5|LGDR(d_n9Dky(YjSdm$#}u@ z)1kh_O7*=3yqrF)cgV$3h+=3|-`pW!8h#RyWSt<V!nas@;;eON0CB(1%j^`d<kday zDC<H7sc2#XlufbRJ>Ic`H}J38k7ALme8b`?INJ!-=+mCmBa;f`AAjOAh*zy1L&n+i zkN(bz2fRyMKU!uo*@xW9hIv0!;AR}mUU4p0oQ6+T3}x)jlBoN-u$8t6uEC}M#Hld^ zoKPzjMD1eItK=14EY7qmMgN|Z;$QK0x${lDndm0>cg)nsilP0ZS(0<43tOOB(^KLz z*QTG}&8cMQ$`q#;D)`R5o&P{D{atXdbEt-%fP3!ye_<+BCylQ-Wj8fP@Z^tpO7YA5 zT#sH4@E*nOypGdi2{@QzvkdSJ-?NnJ6{w@#sxXQ_8LS!!IG!Vw{Bg+U_d7bhFuN|` z7xZbo(cX-2oo4;VDuLslhj$19FNh*K1K$i-N(~d&z1=7`(n5|KbwVwIv(HRMnd&sX zrqhF7aZ8KIJLVw@lC{vMd}dTFqxaUXcF5{#793_i(nJT|p{Q1Rdw{d|k|V_EeFX(( z(DR}3p@=r;88tlZH|&n#6^!2S8b)mR3&v;o8+LF03dS}csFt;rBXVaAQhi2^H~$+( zM*Rm89v33_N+U!raw0_T4OfU<Y)y#VYxfYj=&x%@PeqS3UWwe5_yc*JyC#Jrx;-bC zb9qRt`TPRT(iQlc)KY2)8C<P5i!$s*2CExR<Dn0VMk)<^EnAhnM!0lW{9>T3E4Ht) zJ@^J;t?<nbvsmN=7jxSoxt=*Pjdn<9FTB&w$}a{dedW=RLhF04J}!vpcXGKL?-*c} zLvY6x+t)K5d_!!G2Yd*m$9vaf@c-go{h2PW1~lUcU2;s{glTdO-ziJ8?$J}L|M)o- zzow|oB=8q$yt>yHQnTETJ6PYI`@XKR%(cxna$Nj2hkbkk4jBYq;Jxb%j9s;q>Z7fD zYg<<rz*c)Lym#TBXT-AjeU6O)^l2FAQ+m*+7^8SE(5Iq@bEJr~!_E(<4|oQI)^|H! z=baqlumq3ZZTpt|Tx&C#VdZ?3&*Rq)PHXV9Xo+tOt|alLT@9CCGAAA9=lfI@cs4@U zpLnf%=C>V-@S2}eW0_x2%bK522fX|$GT62$!q|2oQrz~1%Q>224JUxwYuR(7=wVOj zR_%JP*GEshsh^~E^zw8)^s<k8=oMe~(D(h<LqGK7yU@pzl@}?UV(^_+Y)?YSi=RXr znLo`V0uJXGBu@|dXkT35#CHZpM_lW=Av2TIY{j0vXVFZw-e>tz*1&0d$1~)}@ZMU- zPgTDDE~D^7558X3R=91GSuF1`*D(AWIVsdThRsqUzOnt4zenOmSL2^StUyA?B?_ad zToaeltBo~|0i{-WezL?8QSPek8*UFiMWfb?{A@Gz>6_#qVcnUm->{?7nWX;=v!CcT z-`nc@?e7<ARk38`UG=)0l1{qb`t4tqFLgugZLb^+HBV;8*xnk84cIMvu&pie4wz9B zoD7G{b+&Bc)wzidt5Iv7^oQ$7F4P%?4!ta-@3#ov(A6JO;U4R%p{B^j7`oS+<&Enb zyu~8;Ge1sL_adJ2*G}`df|3=5;G-WB)GYgMU&wN+a~602f7(UffnaKasUv@KL}3|B zY2RjmuVb0F&Qmz2@aX%v-W2Cwj<@+-X=e-OQZKtW_v+f8{k=4vv@&_H=b7I9dUkiO zha!15)vNJ`KB}77?GrT`*u842GNvDlS&C)Dn7#^J<L|Sw)!r_|^Wx2L*BsN=bDCU3 z?CHZ*L(j?-G2;eKg|B1%V{k}wDs(x0U7%_?rmu!HxdtDVk=qpL#nks!Fu{*zbz^@Q zUwO}DGE378d;Rc-K)vK6ws6bBn4y^O{$D&v$P2ER3zW}GQINJ0eN&kjx+Q7Xfjg5K z#yeYYV0T45j&(99%$#1~LmsUEG;=JtGf$--l<e7qf{0pcpVD-xkyC9H$_%xBH#By7 zl?sTemhB7f2vh3^*?FX+AdBwWr@3QtfCvMKSmI5cUfJ~|-(?$$_&4a({Yt?v)Oz## z4vRyj>>U9x>ia~<@=X-*s{69uDHTm2N(R$|3YZ?`!SsLu)5D;pC>j27_Q|gc?Fd=k z@d9nAKP!|0q53M3fN795g2bjtE{bos;AHqi!Aa={OHt_A*X$Fv(}0Nn<>xPK@9Zw2 zh`hhbz7g}IA9j0}V4Y^6%%}I<wCBgAw#DUQweb(yOXs%{)>maej-ZBwd3<}>c#yqp zR>+rZIAk{)3;JFJwV&s?<;b*7X6Mn(*4G)N7hTcrh_aRUknNP#`XF-Z$FnZa$FM%g zE3i&xZP?8=cpRknhV54=Jo!xH9`l!MUG;G(4k&{+=@xACO%Q3{)k+sGJoV@vpZEf) zje0H1@S?faYh?RdGP%d8bh{!_8n`P)mh=tAc(glB?prtc>Fu<yjoN9W7uspVMcUu~ z=jkm)zxE~Y(fJ29htm-4bx>Ox)b2W)Bp({+<UI2$H(IL=5IC0>p@}90b>cyt+-GN` zh2R<8vgzhpvHwTaSBFLQyzz>X(y+i1qOi0}DV++^CEc}1Hwco-7s;ihk?yXQ?p&lp z>1Ig*k(N^L{GR9jaqk~zIh-?f-kCS%^S(1v-I9&H;vvb|x|{VdOu;vNoIZ!qw+-X0 zF#+rfpG<uPS&5;#S3c>sktgtpgmvF+R&><bpIz#ICAIZD7Q-Lje4teQ6ZgU4gcS2j z=+XZB{72X3^XFy3bVd#60gb3Gy^Oqp#`)azzec5fV#M)cl`~{jeICRpvC7HUufvn+ z9v;*x%W6K-bL6P*OV=3D-luSIaax<lI*{i6TY79R*64U9yoj>KqS1Zf_7VrOOVv$! zwOoSES>pc4miedtDx=SEd2-(sVoOB5{x(Hn+(>XHvSV$UyoZ?h<dNCLaC>yR+t2T( z%X~0&mRZB(J^jK%WFVs+B3M>g)%``}b%06agF|f$8Qyl<uD7e+5i|97Jrvys<6$Fm z>JN)Q(p;!Uj^C*cy2-3{UQr5vCFWwgDIwyLde)_@p?8<x!%g{&VXDa%VTdVxi7vSj zJ`f<2HmH>Y@6x-49wC+f4rz@1+Qv8d;}>|MFe}AfBUGk!f;UesE)YMJMWVK4Std(q z{?B}8vY*!;j<VIxtXAQPv<x?;!08&~iDWjlOMOL6GtU~|cMTrjmY~;u^C+p!!*pvs z&<j-s_u8bW2xpy<u2xj8A}5q=i~Sf=mgT$QDhiXo%J2gBixNF)K`$q6?30&eM>)oB z(JpM%kJ<L^`}q9=c#4~KO)8({zpD8=#YJZFuOO`=1MuLZhdmPh2#E0E{XVrevh@^G zeD@_D79R^5Es1)9=+qgHHZm*pW3(hxZJmDf-|~RfXkC)aiT?1;B2KVqPKGr{$o*n5 zNHFJRKZnf{PMD!LQ@3gx86wP7k#B{kFwKVYzL`PBPnl>nA*L;3r+Pwup?Pf0y3{3{ zVCzP$=vUX(o*dW*KO;5a4TMB0hcZEEZ>E0cHZsdR(+E^I4~u~%jaFqn0R}IzaULgl zs_~i9D|<B$4(vpbB^pIYWc5=f$Q@+y0o)x7xO<A3&)xZF{j?Wbb(N{mOB3U^pZ9wh zRc_g_SGzznSwS-|7jeP@K{MaCkzs;N*T+&!*XMv>sGZ~~G<ZYV-_S71Lk4e1RytXv zvukM#k>MNkrbah-t##6b`FsCI3TliP@n-7rZTo}>;?_ry@z3*+5uqMga>f1$t<uqQ zKjd|8qmdRAng$sxK|OAO93iHP$D^HRkO^+d{5=_SL*MARl#u)U*nw6w_^U?BukZt~ z4`1UqE>afw*wR2(mqPD7K9q@w^JbD^S7E|MyqR6#VVSqo;6i(6Jdw9GKD^&!WBeJS zU`r3Wj}3I+)1UYIxZ21p8cgv~13a$`9BB}hb%X@7OQ8^YCz;XT?&CcD%{DE{XtM3t z<gd++8;IDG!C?YMV{ZmzqWdxrS!QkVtCRj=+H51=ppSZtm`}+HB2L@<u?D`u1$x<- zw9qK^v%+M`%rB5vsF6dfGB}#CuEx5OTWs|EtR!D1>hzv%l|(<z(>S-OVJnj_r`R2p z*Q!%Xz35<YU^n}oD`$j3(xj8h6goe|#?XW1{gO+e7b#IerNj%Gr=(Le!!XsRBjEv} zry6`;hqXulSosQ>?Cgul|6Xg;4R-0GiF+@qBQ<q7gxw@zul@F-G@x)p^TF~(Dbtb9 zH1?dN1CoARmN{Rz^=G2h^1Bg4_DwfCrNmu_55+Po&GB>vrO6qq#>pW2^Y)V=EVJ7! zvYG&okW?wr0m7Wj^%f2FlTmJ(IkSLgJyh??sQqtb&9c^Od3}*1QlB>xG)Ua0*w1EX z*cE&*iB=MqLj)wisK#6QVqh(aNhFAERY{K2lHQ#m7{5&@5!p$kjlJEv#~$DjktikF z%bCNlS+Ak)Ho#sYa*%>%C=1tM+xx*Yg9)(zq|XjLRLRay)v($7nfT!RWQghJW()sM zfJgLSDbb#LIhlyux(Twe8?rcMzUi!bj#GZA&lZ*J&PYqfWXom+V!qyjFz63W%IMQl zPI+<CNF>wO6%EN}JsldRbGe@geU4@b%&rnjntvtIGG1-nBMtP3PykJ`0!@n40G1Q` znb{<F6whUmF?H6~(;U^~MbkaB`j@D>4KlGFkKe3JVnf269nae@hOkzzx5$14dW4Vy zk}2e5<|h8?)_Uu$2SFWBF$xYSmvH%g)&(~XC0s57)hDIcj#=T52<;9uJEhY_p&Dwu z;92GGQY;~#X)=0AhhQ~EL9|T?R|>4rS10)AIEI5q?eO;y_9p1s!{bsSaY=_DQ%1pl zmpD4hI0d!Zxq{;PT^)3xce_Fie@_{*;a4PMvPD8&ZdAp3UEs4G28~5Xq9j)zB#zFa zT!EN>tUx~PNYiTcxRk)gZyHjUSq_yOzbM5%%ak)@kmb1;!X5!Njs7krB9w3l3I#PW z$E91K6x2{#zcDLi<%>sa#*MB{NAt_C*KT+XZd(>Fbo&Pyxettl5o5cvF(1gyt@<5q z2f74Oh0SzZ8m5-7=3>3P9xVw9|DD#9AnV%nZ<DG0p7;9DgM+B8Ya-U1V}VKF@Bi$- zvxAM3cP`26kqSjVH>TIe#tqz$-i*vTr!T&<bNXK0R|EZnccK%;^!MEJU>16Tck(K> zP!RHP@Afkm!OiDS`CSCGxX@4LwGY%3`36HEAJqo=`hzfkAe0nD&zaD<(sZ9JYq1Qm z`oQbn5C{ys9te7gWu-PK&Pu5jEzH!1{UEG8ONhxeTTPLFEQCl~4QhcTkX04344IQ> z3g<VY<>Ok9Cm>)<|1?1hl;A`N)(yQOQsx{aaAAv{nhA2p%2HK4o|^YpJ?RM{`bC@u znOsnO&a{V+L29zSf!cJ}2Vt?XK<#9ZM{IBQPQISPbZOy&<dlD(WN#$8q1@9-g-Jea z$MmsKQm6_sp&^u~grJh*&wTxFDdp2Fd!f&X2kA2n*;vw?Wsst5Z_*rl@13ba5uF4u zIG<&7DDGCwJToN)9OR{-d<GY^46<e;LM?{`c)XF3Vt@9;721~ZDL0&e(=Yu~UIxK~ zw}O@tJZ#aE3nBbsw4a0<AAfLt5lqTf=;JCA_KuC{cs7K|@3Vj0<WdOO_xh9YdLW>c zA?2z1Y)E?|DEvP*BL2Y;a@-8Q0kQ|DxB;aEzU+=N$PMtR_PzIDs!&V|0Zih#WpoMd z7V#A&C4~fN7>x3ngn(rb9vhMSS_t{kXTAZ`2dC;Au>=Gz8J{pI1hg*ceBH#9Va+bR z_;aez&2lVX1y6JccB-1<l+v%;zr*6`<7`Be=n$sX^iS`W0p0&RuR7xzBli2NdC=Zp zd9UL<yZZc6Hs_G!ZP?OWlhe|CBT1lhaN2|OIzntxsk(=dIG_&_Cx*2j7}`;oXWd@m zwe@E30Hc^Uyq6v&9l_qiDi@$osHQDz^(gzjUbe!ZmZ#N7SeV|=gX6=x(|Rqv5B4rI zc_<F)IgJ<g?=LXVe_}^`rZNLby&7D0o_CmEy)9Cg==r0aH5gFQcy$$eRh(AdWUswe z{00-|VvaQb>`m*#m3GH|QXcnJGo16oO*^_oUFDWU?dPJPki~w^XuA!MdwJXYAKy7! zeZDM~JKqk>?%frMKVH@x|5`8IGE(T1rTQ<?U!nJPIPG5+e4CrZCthjW8o`K0X#%5g z(Z4KLSHn5j6@3yPD6n>JiJ7Rch{>s2)%_pTkd6gtt&9DX%bFl<Upgxb*59qetzlfx z(kDHctx)heEAg-vertB$=TFIL{(pI=i?_p^ja=(R#w<P?%l1#D;2-uh39@~4kR^=C z!qtp|-BxjQnE2Zuj_fwMup@IZ^gYRCM1=U!8`!c}6#r2R8vFMO0*R-tc}G@Yy!D*I z_Bt}PV$Me=xPdVlQL11K#b`btx-1RYI*@uImEjQ;ptntZeK^d0YM5fYRma^lXlv@i z-^10EhG?jRq|?7gzt}DA5<sL^ggUP1{G(ZABEHi0eUW?cb1{nTO_<}E_fPutMWOtz zr?x*A&xCBUE9RW$I(3(B!Up`#-HZRJc=qu+PtED}q%XaD(Z%~{tP46F5pVjT>pzR2 zrys3lv0$DI*aaR8kOEHzaDD&-mfyCB%=xJMtF(;G2!8gj@fO~(Ff{x_o;J}r`%6M- z&gK(n>Siu9W77hfxQWmA{3|)aFBaB2&7cs?DH@BN=*hszc1@UN`AM4KB=LtRNif0R ztmD~5SA70{*9g98*9iVmS4F;MS4IBbcbRB@AL+TthQlX&2kEJAV(-gu8{*6F8gdM5 z8A1nk4DUye)P+EEY$N%YCMc{B*{nT<5-P~2qT3o%?Cj(xw~u|PgH?Q~kx{<XVT8UZ z_jb?VOyk>z%$Z|#{UV9BN^W8k2^byq>$AUHAUPp3NS*<bFM;I7Ai3}<oT+-(klAFU zuD?0mR;iX}A^{Nyl25%*XMTxAe+tK@KZ8RuPT@ouXK<{>EkhZnvAS3DDYlU)$%zCD z1uz*J&ph3=s>*!Td*2(h-5a$160}`?%kVzK1UR!BBy|&*px9IdZQlTGmlfT9Y-ym* ztcitp2FJ!bg+p%7;6%3`9`sf|v9O*91_fk%bx}2m^ZUQz?<`!c%S!Xl2uv=cm9c8N zj(=dgwu_;SYsQbqtIs%Jo@_Gh-RKHU37iA4b-lyU(`d0h3j8kLeRTBHTWqfbzsv2~ z)(@)&^MeTY4yg%Rb=bO#4PMOgE_1ET7HGY_EG5e7bhAO`SBQTlS^+rQkqiGT(k5tL ziMTAkUo#qe1q@{uZ<MLknFfy27~{j|a>33n@rX9#H}mn5>a(p^UZ|X<h7lsnl5o4` z-_?@mhhvNnp6X40D=^^}pM7U%{@e5ReyjD`45}1+rOKhtTxIF<xD-3<(80Isao5`l z?8lvOeKIAgCVwMuCv+U)uR5hfT^G9x;dW1!*ZRs9@v#3A;1mXF+&Dudt6xq61tKA< z?>VIkeoPOoi5KIvUw>IPTT(+v*O=axJ^g9>-_ytho`kfVG5(Ph^8;~#rla}4bBz}m z_wKMppKZarWs<%x!`u`+t6GVV_xw-7PiM-?(Ba;fHgTsquTB)#G7hP;UJ<MsaiGNs zt)b~F*2#83Ow@FU`cU~aD<$Cplf=^$_v}!L(Wci(9O~iPNtp-mFOy_Z+ycE6gfXb; z!T_<BQ5k|1V-EB~LTdy2JS;s!yNDTTI?I^Q0Ru-ZsXs=xdDsWsNr;c3&z$Nf@AYvD z^y3r8@O_i9ihjnKQyty-I+&kYnSWM>cEHds=mgXq4C=Pa<7fMvMEZ-}n4<?mSd`|F zNAw*o(EXN>7;HWX8?B#&)~ODM_tkMHt%rny%`IPtX#>EeJ~T|kDvx~J$S&HGErw9U zH4mExo`lH{eP;4y@?I*anu;*SZAQk*<hi|8w-+I6vt=Gcm^*1B1wcX0c}zzJb|G!l zbXJK<z6vc1G6anV9B4g4>v@|ztVUzIh)3YFN<s(bFAT2<iKvYBA;16R-8m_T(zNyc zk$=a*h>*0%!^Y!I!h8yS#vsJ`t1;GqqeqjlNW><O=&RarTPh{BvfDQqPIW_$KKsN| zm-XmSip+-BNKfivx7EV-mQIkJ24qhNeOCF5(^XF&d^{atk%40#(E<2)KSE+uj||MI z{<T?*>Tt%)81-;PeCVmeo4j^9Lyj8x|L5P&Nx~Iv+({O3p<3;LHu`MaV{49ttUgwG z5LfP`ytpZ7L^#CXnByfcVbPv_9+719PT~3MjiW<N;Yi^|G~;)(fJ!&l%Qxnswi8rG zbzGRsv-jaLZrypW8Qy~6IjN`8XC)kJn6R0vL-!Y^%+TG0E52b?{^+4qj&el=Q~7z& z#>OGJj9i6;r4Nfg*X#I-I4Gmw!{+no4gSpl$m3@c*^&-r8U?(8)>J;QLc)cu>tNF- zBChz`fhH(IY<=Clyo8FtHDjFMKdqm(_rWAJE14<jxBDOunbS?DgeNxvrm8-y*nBZX zmfu)mqQ(R6wRe$xg=imP9#>a6AHSH+(`cmAywJJSio;ttaG`F%`rY-^+^Ur;q53N< zXE`Xm96oD@)IOdq`mbNCA+6kl-kp|)+p&%+JE5p`bP6S_T~VwDO|Mes^fP2Q4gGR^ z`c2k|W8`C9k+NKMvE-nl@t(DNE*sMIxo~5Z>q4upDVBJb7ZO{%Q#ZDiqp|$9r6n-j zJI0ybsNv7^E=bo1>Uj9Vw8ioh_Zaum-y+tUc=ed|FDjc0o%_@HE=FIb3wLhxvP0L+ zE-wq;l(na{jA%I|H$7`=lS4YMmD_&#lA<eRIM?}2D5WOhU;+77PNzD7-u#Dsh5nEz z%=rhGXoa36kGCCH^((4W%y@vVS>~5AgzrJU;~C~=o^x(zVSiqU`{2R|Re5bT=BLCP zRnes%Rb<AUqHBbP56#r;)7h(_zx=&DeTXoG3mLYzY<q+mVYc426pLlvs*i<DU!7DG z1T`!s=j~%3baG3>U1r1^D;;l)t*{Op-N>De+xF><h5u-E573#k<4v7aWwn;zH2A3a z83t&*)-e6(x6ep(UO%J#8&FQni}c~PrKVwJwQ9~JSMV2(z`Kf5G6ib1TS1VOc`{9* zb(sicqYbi2$}q!o{-prrY$I}-9A9?o@Z0ja_DtxJtWEJg@-rr|^K+S)pf=v9DuIHa z_U#O#8Fb&+J84O_{jX66wJPB+(vuy>qB!2R{fzf6OL?dkFKrcmBPlC2rPI4A>x28_ zGwaOFIv=AjM#MZ}^n%Uzm&<#o5L?389%OxHW+aqdCxt8$Xb*$ECG!Qj+GboDbON0T zk&2@mj)C6Cr~RijGdIQ_CxorKvIRbLO}~X5E_e?<IRB&6D8+hOl}ed<omTaOeRbtu z3wcGSo_Kab32I}4nRL%}<)!uc^GS9}qMLzIBi+yDzi^1g-N}fq2TEt<6mCfZKJicc zWhM2e5dS;ay?nIKcSv7w=`o>EwJ4O*rNe(mZ%lwMThVy2cNSCSLYVv;drbO-jlr?A z@hGq5q^A8djoSB0*XY@j&gCS=I_eLzPLCRjmy!P#Cg;se?kQB#C}s=@ZA+T}S=8%9 z=ic$%ST+@84n);Bvl|jo`!eH5fQjft<&V^DjNq}r*O$jzj>gwiCAHz5LN^bXPV!q^ zxy7p^_NS0@v3RrjG-vMd$Fe0{)K3@#o!hunMsR(cBspc^V>{-ei8~h*k1MkXcpsXv zr>94y{*gP#BPhFz_-B0}N{&0#j~SkuHFaz8P<!WD{Dh8u^m@QALhgFvE`M)-hQQC) z3)yLwm6xMW%GX^Hp7wJFHhR9#Ukscxb3apGD7o_FhU>HM0dFEm8MbOB`9i;jF+WN_ zZgQdG>)zH3Wq<&lqs+esXUQ7r@G7<Ux8_l<Ul~x1vxp8A_`gSBGW@l2Mb~h<&M0Se z7cKP}6Q*I)d^ALhc8?oAo-DxiS=W?Z{#{Cb<U0+02WITzV8-rj>n;$v{XJ&(vIu^r z6t7V{f0#(yXs|t8Qr2j66RqXiu9h*Z2brRE{j+))ip(NIr~De@QO%MyDX>X29@2FG zNtH1p_{`G2RNIz(Zj`^qhP*DKVy85sZQ8J(%2D|Sj`1Mok%}tqk#k4k()5MWnP832 ztv_@h*C*LF>^C%5PB!cp@~n4h#&4Wk&!5-)7-eZoTz#+2!7X&&S!iHcH%l&)zoLAy z&aj`+lUg&O$gtncQ?XBS0gkB$J7gKYn)shTHqJF>ST{KeHh2U~RhPwd#15GxMeBP< z@fTjyQ`^Q?gJ{Wb@cgr;Mv6fj@~ryg^>QOx_n*)4yn3~=<N^e2$%(fc;{MEHCg`dk z%qD?4ULN55?+u@=y$LTaXy|=Uq8!m-`}QWY2cW^?09Tn!f8a}f!UjguqS1S_VLx-7 z0vQEwy8g}~s_;!+M5+z%ATelUs5Vty#O}_ElFC!f$>*LChSVdEAGxz}+mJsUW$Jtl zil+SE5R!-jgN(iRb*7xeGur`cFoJ4?#4%rrM55-Mr2D^d#G6Ah+QoY0f%CYh;&~-- z9?$w-kU4hvg$M%Yu|rL^MY6_jT|~pIU&asY17KhJ)r{BnRN#qh|6f<FA8^&S`vq_A zz)kkR_bK~tbB!_U89ddnKQ!w0h*Pc|HR2w2!14sp3ulh1`#1LIna5kvh%yCh12ENr zUzRda`%dE%N;*X2Fbehr#HAy$>qL-s5p#>gl5%W5n~9vOH*dn;>9stI@^M{_<XB1X z@do|XQueWL2cDldPr&c=KQiybG3BCBWF)@SsFx~TSH~}Y@L*JbvRUeKNe)WOw&5Ah z$PJV@9%!*PTv=$Obl5LeC5>uzXg||!BZV<+zq?m#;Gv(O+>+rmWO{KCq?EEeQ`VAU zH<VSY<0<?yus?sVbk1dq;btd_y6d-pKf__J&~J{V{R|_arirj<ym}IYlL*bt_s$;q zP_8Ve-!(IBB~+;rYfH8hjZzy+#!)dA8r(w2m4<n!_Y8utwvHNXF48d1>{NrMwld~! z#5V3a$@+L|;u$4eHT<S-Voq=#|LBA6#~6${w@}zp!#ohTXljfLcO(992Nc@pbrg4x zY*oj&)3!o0<Mo^1uZwD{Ure%Ce^1$zea^d6UC{PFT}ZU9Vek<3<OP%`Y|9+0@pp_P zd*dP0bF@7}pyybpt;?%kqX%XsdJc8RU*ytZyiGKgLP}=EV|}bG-{xcH*wR0jh^d+7 zjZKfl?mKz^XxgvSu$Dzprst|<uvF!tyhhBgb>8I*mBe%B!@k(omIYcjN-lK9Y3U4O zC~gTV0zQ90ddtGU`|d~mw9Zshq-nM0hneP9ArzlI)|zOdarROdo5<N2%TQS364D zU~6C&?U_mSY)8yPlWu;(y$l`y$H|{s`N8mg8}N;vFN1kUaH3E5u?srH(9oK3zS#=A zwDlQT)$>P;a^^XslN0QC(8EMoq7$%t{>>J&FTf*sLrN5__^w4DGP0M!nXPOe+Y{uK z0D0H+m{in;IewnBl<UyV#g49;2)&)kjGlCPn51KE;vZ=wADP_9>}gtPv$>eNVwQGZ zwJ_zt$lsXdWM4wx|D{f#G0VoDi;Hmz>^RLBt4)-=)0t!KWc*e)%sjwE5S{Jp6|L8O zW#ojt>44HauR#ygmQouBkvGiC5P_bwwBT-pK5#&>rkzy%Vo3Vh_`SnkccAejx0P|9 zJYOL-J$ll}iKx#3g#$H<;_i<yaw3<XMMUwJQyT|QrMwKUO%9K<0syqZPuv%V)v?az zi%k<CJ9zRU++=+DUXJSI8jr2jQd3Hb{@}v=WtKj>k1MUS?geK4QWjWF1=c!qxy%24 z6jtddkxVUT&A8+%c@&`z4(64-ocvecGgnwG5t?UMErp%lA91?19JX3Ay!4Fsl7Ie_ zHff;o`Qa^xToHV=KBsng-n+HvDV3PUpGHZ4P*bciwbfGFqS`a1oq}Qi%lxWXXN7qU zHz7bS+NFnvkC$S<&5A!aw|5d>ETaC}Xmx1FK^*)LdXVV*wRlDC_4a)+f3IL3v#fj& zP_YLBD$YSbh2ITH9HL#rCT%_Of$HfH6Wi?;zBT~9%K-2#0D$kXHk0)JdGE{)nPjhE z+mz6ma`lttisurj%7qj&NVnU_d?QQpKw}@=YH3&;AL>B6BvcB%F9lUq3;v$zm?CLh z^{1nFA6OofI;@U7)WA(`n7J(PPvm~z?GVwJ#KW+CyP^-*x;`6{eb3S5#Ho~jLU;3V zQGn1)4BeiYN!R06BG2Dj#vlFG0ZU%Afvh0QD5O)JTfXb@bQ|Mmy>|H;ho)C7@$2cv zh0RCQv@Xr)d%`P-2xAxiUd<*bI664x8OH~*qh@b86(es=;#nb@8krPnt&o?CQ3ldY zo1Qf}ivn}P-j%L!i(CyhXztI<k$rKU3#j%WO2Fdn%c?KZQNn^~^Dzu-#in$#Zm^Yf z=|={`F|%5+^aCc5jk6^4YO&AY?n1W6_a>RNY{}cs#bE_yee$-RQhz(%;mmr<R|?2> zrJ8ZKa=%Bq7Xzo=4PC}8d%pv>_sa7x_wCk9NMGidkjnisA(gG!cMM1<sY=iLe$5vA z{F)6}cg+^&IWsONl)M%#`Ad_4r&;&C1CO6hu!>7VXV4sD@_LN1Gsk+B0;`X0o5dHJ z|NL9!f*IB0A3MEkQk)#)JeB>qPkn6%cG-CF6TlE+x*09y23eT%8oj&n%8s17lQs#` zo;OR@H9j0{+h8-5_vW<uirG2hrJ{c3AEVY~iFuH5g3VB|h2b*OK>IBnmycq*epiu| zm)8lqYagg~5#6LInfO(*jz123O+SG3I&bxV%=~j{^lp8_!8Z8^PsQ`~w~94V=-z6S zf&4X<<oj9^ebzqSyL5)FL;~v8v#Y49=2fm5_1eV>?aGsVBA^|Eyv!u~{c0F3KkCP! zrYhLyw`R1RE~$9Y%xgRKLEL6p78Uv>^E)%N13nk`tUCN6+|49=eQFr3g7r1{rYcI( zww{`Wp*zP6u@XDPdLZVfSSeRqWGcXEVhx-o9yys7p&II_Z;SoOTZfv}Wiw?_TlOnP zs+W9YvsV$+Me|ZNQF@K1n~(HlMdN8_d`l~%Y8b}q8;pwtpT@s69{nQNy?v3t(D*0C z<_((sh@nQEZ?5sI+^m}s0DCoSFHjS%7W2P^u|?K}Lqzr@m65(?S(cspq_x0&oZp^- zfiNciMqoaQclaS!s_zf8iM{LmO^1J^M<ZJqc0YSt1V4LMgu`r01ZuV;a=(4pJ*4@k zv;orp{e!;1E~f4WT}=J5T}-{OE~Wu15KBY}Vu`d<^8#UymrpA4OAfwp(&GDF69??u z(f_0B5@!>R4dfbgbeuXzD*0U;n9`SOv&`F3*0pIlt}yq|RT!-9I)K0zq)lQi(jYLH z24<(nLahsTh%GdHrz0q!tFNk{>(aNh^{xDeUAieoC~kfTnl6Yh_qFDxskY?$JZEXc z^3pVIpOijAZf=;A=5VO7X&<`{XaXK!%zUqI8h+oruBsPN%=2E%BHZ!pl$0jZ%UL}t z!}XoB+24&|&+0K1X%jcGHa{K1fVi?CZ4j#T#@ikkZ|VTJ-DFJ0q$ya7?2kjx<RHdu z9>kb!QTyf`Qo|VBqWCY{(Ac-j2xNyh>bwtUX_+}ks#y-n?GkV4sb`o`wDZLOy6IfU zw=v?0cs<_jS6jy>s<_hQg^yBlBh5~;t_E9Q|9!Q2YKv59t9Z6^d)lGgSGcNAn^onS zl2Z0z_CwN!as#vB+pv-I9OJu!$<Sf@JS!~T&S~175#AALRWoax(WlT)2sS~BAmW`J zCLy}pjq5+^wpinLxzFGKr0c{FCrVkb9l)3FY{AJQXSh}$dqPgTTxk92n21rBf{5>{ zB40m2AI(O64@Ks`>bw6mdcI5`scH`i3E2$(%3Y5q2m8`EZ@0f|^gycVjaML(@#YcR zOpS|Qlu*54w0etfLApW=hz4Q>1FJs%*+sWtFQH7tADVBU$<k=9g+7uy{gY{hD@RZC zs|}W{u@$B{$b{Lm5%H~rFxfyw^XD!3R`u!O-8>(G(O!%1Peg4M+(V%%V1QIoE?&C3 zdpG4*nOfmTKv8-7<&GRdKtWW*R%E~_{Df5*HLIFz8Eay%!q@FY8J1=Zd_`T~y)|Ct zKZhsfJpPE(+gv6+ozX4EF{!l-UXo6;KW=GY-M)7V7w9)5+%B1vu{vy=gzUyhyv`1P z6qb4_;nXyV|BjopzuETnKustfwQ{YY{poOh5W9RCzovr*xBW5h=pg;(*ON&M@$sOH ztW#|vnPo?4v5!+B+3}`<BZI<|*v(dVhW|uj=~DzaW}&x)SMHUKuUFNL>>~aHXjxF` zz@BR!XEm)T;dM)!eO|z~%cA#gc_KT>ID|Wt4Z)vr<sQRm@<ePpBG;21*M)`w1d$ws zRYk9+bg)9Jg(WkKo2?l<`&AGP?hta`NJjbAc##}x-aZeQExB}~H9!l?Lgft*dHl16 zmnjx4?oQw-6>iM6=3C)d<{bsps-iIX?U8u^ut%~L_z(F#hsluCUpBDNklo5C%{B`6 zBJQR%Uj;{{G)rVCZkkgb6Pux3NF?>}tpcGMYIM+W*i&frxLCq=6CiK~gxjeNtSiuh z3r4kQCz^wf!o9+A`qbFc^s|_em**XX=bidQzPxE=&!SVm{$+(Cl0KK=!_=TwAq3j0 z({V{iRNw1AGGz5Zd47p7z8*dmI*9CfLQ#H2j>8Eq^vy{a)G3cV%+M}+gE}3NjuM`l zisNt3AC;#y8&<*OuU<Vg>JBJiDdmXty`e46Kl^EasPVR>1D<4=7f(2qb=lw}dtNt* z53s@k1k7|Q%SE6)|Kl<uk4Od1iEZGJ7Z8h3V(JwFxb1ftZB^lKRHhp|eNS79?QyBb z4ox=cV$q*7tXbejYrZKg&>au~hXd%F2k84I@9vF(9dbc_{ME<S{$nJr7od8Yy%N&^ z(Z}L;pA=ejA`)ZxhF=>l?9Fa8O+`%y&V>mRxvWxxF*Hm<6*_0=xQ3S&RQ#ei9Cocc zvbgoeQ3L!Dtu3pi8Tl8cB~6`4kB_K4&4~!lXZ54!et$M3b{`KR=Sk-q(4{Pfme}S* zviVLlEnZX92a)^9vhek|0n*`JU~KZ0I?HL8Db-E|35mf*Ik4s0N$4EhU!_)6npjm} z8WwYhbUFXxK8kKxrQS@7S~<`I8Es=sBC6ja(IerU)WhJS+h_)z>d!5J#)jdz%dH70 ztC@UbkGA45D@lw-P}1xxS-rz3OIqh)sRI=FIY{3gI?!y9$0<aM$6lP*6#bD>a)Whq zJx!#UV4x?m`WT54pNg*%^rkspSrPJPZ*3Cy+vVnX{2AIt*|BP>LP0baqZ(o6+7uc# z@0v$W0>-;6bvmX~iWY$|@|hU?yhr$p9#22>A9o(LDr#E9hJU8b>QF<qlM%|Pz#NH^ zr{EWs78phGX*8uh$P~O}XM@E>vrxqM!(ow08IS3AbkcSWMv1~>w5TPgpAv36pO6|E z!+AE@{WP9bV@d|D$*R6tZ_fAsNVD9kXr`|GErxh;W%P^a6|0Yp&693V%CLFhm=y<( z*_f6B?7A^{QFH!d?I*<&sqm!rDzhdW?%WzP^9NI0UEeicJ~7(P^6SCP*Il*FWAZk( z3z4Lz+w8D*C1BexVIy<CklS4E=lo~EU~-a4I_b~+AHfa%SNQlZ1sDwm`<I{xeEe97 zaGN+f^b~hM#_H|2aLfNhMzmwBs7riv<ISi17^fZ6b8*^d=N7Tqe5{i)>~zHGTgYPe znP6Y@H6;PN0*w8!2mt))<q7ca(!PSi(-(#1-^*#=ev=_^p|kC3zW;lbBN9O<G035Y zy8muuFvH+KaT|tkyOcQ4o0On83qfx>FBk`N$}2@sHLJ_NM;k3Y+DwDFkGjTK#rE@e zJs(!999<FgV47V&0`84lYP!4<R#$#TgLcjvS~GrP32PHH+TMbuU}pd$(rWZA*T?Qq zW0XW1`W4>V97hejr>vp)aohp}l!R(ihB@yeEGO(cd}%H&%TOI6>$~!u{U@EZuaPZ& z=1<B`pLfiW3ASW>uDG|N2E6Mnz9BSh(I?+RIJ19r)Rk7*o;!AlOw$(cnnl}>@6TdB z*?e)Kf~9-5Hi+cXmKdW4t!v*GBB(B+JIC4dR3_3tZ3GiMAmaZ{<UagXUc{yss-TvZ zAD&%ofVEd-et^aak}6Kdq-U2xO-zNE9&4ua^_x=4H#^I$250ce{W{!j$97sd-_^Uo z3`Ji)GJaP{`A*u_M%v0Q@%pp)-cqKe*(DW!27^VlM(i=$ZKy&9!)AkqI^Rf^7c+@- zqdt3DVqo@IHBId?Va<P-7nh?MGcWkX{fQULpO2pGe4+vP9{)szLfWqxtI^XP-X28| zT>c+>AU~GD7H2o+_4}@pdvcVLy@^;;Mx?(pdl7wqm~KO*EB+IHxB7^W=wiRU<7A%+ z*Z%_lBZy8%XH!u3)v<yI`==8pQR)_Dw*LG+{{OPtf};AGm$J1@4qtowsiUBa#OFyt z&@I!G9lmZ|5U`#O0@hb5&g5q**qeXMXfL1c<-2xl&JY~=J!}>zJ<rU?9D6AAIOfhD ziOL~Z{3bHw!cMZaIR+{>+x;R?zzT-eFm84Ve;{D=xq$qVNG@zv-dr$?PEhbS%ES0v z7ws}oD~v~d+1>w%i*(M`X2D%3kdR{uTZ(HBCtF7WuY5o7%J0uuNu2EyWcy!0cqLk) zDxClo@RzjB^~v~~_CM>^6Sp7gl_QKtbPG)N2f$Q+S;M&TNk7hgzJgr@Go#ILv4^lf zX)d`J6&3Bj0#iZ-H1tU7Kn@HJfCV19K)5O-IuLo-)b8vNoOonafMD?*4s^n>Nue2k zqhF%)*X$=q`cKzrCrFTl|E2!H4PH5tH-mC``_?I<##e%0yT2dzBW3Cq1>|Z6<m##T z+PpVI2_IyHH|=xs_%_lACyfUl@pNO<3P-Z<Lq4vY<=nmvZa6ZN*~2mUy_G9s)VVc= zg{GU}gj5vKw2KnCO;v<9Z_U6=F8BGXeJ-%|fnDyHBkqV2`6vt~{Zbk~A~0RM3vC>K zqK+<AmerOITyp!J(HWhiIL+IMvdfo4<VROqQ2zi!mD=7FZ=`Y3yOqeOk@rpF?(Q?c zJW~Bm^34xdTbc{s-Duew94(1;Kg(f2g!7)@F9mu;JA-+))>@}F+<gSG+A@>XoaXHU zFczCzirw$;K}cNZEjXEe^T9hwPW3CE=7Y$z8fR}?Q`IJ$)-yI6W6~90%EU6YqkgoB zL%}Tqu3l8!_Ks)g<w#)JbNJa1R>}>S_<#UAG?@6n%v)G|4imLU-5xik{X2Z?%3UlS zwOnMB`RDMRIUl-ZEbuoDtXPO}MS;ggs4d_#VeJxo`21!f?C@fUp!j;28!h#F7BTM8 zZOVhD{VMscB?67XIr1QX+OG{-;fTgt@m0Bwe{|D+=N_34U;T4a7JE>yYrL%cMfbgI zjqFhGcIbj*K^VMKqIs}D3qHP@AUN$^WlZDtwZ)c)5|9zn6!7d<ZlFgHy_9IbR}RBQ zx<;(KF73ST)^OW*eE_7o*QmRXRy=3mPBLHB@yc$J=X$nqQqqcX99DQ}-gq^-JF;3V zmFWua5DqLomNvfgw5e>b9<MpNHa84~YIin0Bp~^~vyuewni+RoAg5;&xoJ5Mw!op8 zRC(`S+e_eQEGqZ-sqLQQHr9=yZ^YkI{|~ggw&N-OVVgn>>mkJ9BKCdc>I-hs--R;Q zqlrsIZd=aNv%1>{@dj&Fsc3uLu4mdPo~{q=;<ETS$RKUm-uSrm-s1(4Nk#E0NOE}| zT6sEnH^;|NC@{CJfVo}SLlOPl0C{4rIObgUZFx0m>D7tau=00JCl~W!;&SGwoy$-V zo_3_#I={SnJcK<L_)OXg1VWZ+kR123hl9?9Nt_PxGur%0tMDjl30wz~!ryDv-QO#$ zt^Q7?qg>zUD<)D>WBAvOxSAS9*ih+O_8v)UGd|C@9|zCwVU_OEWlWWO=+*O87Ac~7 ziM3a?@U>Scfwlfx77#=44r1t;nJ<E=wPy)e^R#wt&a6Aue+F2U(X=NA_MmN?>=q^` zM|qXk4B>wGj5~fDi~vAj-1WQPK7J7*l6?^AmXHJvioH12>g8mvilU7jXcYM=SkJW{ z4OY|4W<=_>SF~nqK50(e%x%uvv}jJ=#CHQREts%>7M$bP#6&cAVfSeMgpuk0!IgS) zNslo3O;54>n_g@Aj4u75!JaS~{5Zuc(SUEzolJGa{k7^CI2d@y9Xl;^6Cbtqp%!xX z2RF<YtdD8|>!U=$`lto4KFScRkNOPON71e(IJjI<-L@;;eT$&%)T_GV|JNa<ab5BE zYk;_e%NmwKU`NW8P5RaNzj&}#z|#D;nGn^a;H@=wTvV<x07Z@fDB?H0{&2DmS3kl` zu$lS1x`(PjgSZ+y(9x}EZ<qdOvI!*oRD+B=_rqTj&YoMkZ}~p@AEP#$fuqC~I7)nU zKR)dpp)=t(n_gEwZpYO}+FlDrY*@V4sthU-Y{t*t`o-7%Ux0Q5>2)#w<1;mWv2F7r z0<ql;t+zvMicxhTlD@3EnQVt_+d0q9S{}~p036&3;NZjn2cMk-ICwR{!5aV$URefk za4&#^S2&=G3=I2P^5=DB5$(hWrcp7u`XEPk!@Q5Qb`<xE-$qVMtuu(I$p&iUXddu% zr2?IjuT8v*1n!;4lbu7WJY4#oa3d$kFGm!Adj*<$-I=?Q%+&!U=VKbhJy2ofL|`_H zP&vu}^r8CxVd;hm4vs{PWe!$P9mtdDu)x{ZZ{&o<HjN<U@1!;kac`JML|36xEL6E0 zAq9@5`b>>;p9~DTO98YCb3|!Im!b#w+5xm{`9Em43P8Jx0NVZNh+>86L~*0L>Be<K zoCR5^srYiK>0kZ>b^LKa@t;?1;3J%>dXg<vh^rwP9UuFJiq@D9WR2>tr2E5j2-B{+ zMm|uC-mLvALjTjfV-VO){8vChrg|0k!R{o7Zn5dW04$&mB32S)HpscNiuxxW^>B|R z9Q&~qXW`Y8aH3z^eBI)rL??PAu=e;qXw5cX&r?y=lV{aC@rbM>PN}!POupA-+bs-Q z5{a^5B_7cANQN*UcsM!ZkY#kZN80*-48_g7+wqoFI^|fiikcBKS`f=*2zrl8j-XXh z6FE*`bhqoF!%Izjgnsy>nf_lB^*_1$IMIqaGJGa5NS{D2pAHNw%#NksBIXseFdq6q zFu@{7Uh7;x4vC5NBfBH|tu2gUZ>f>zxUmb#Ym&cz{!K9=n=W!v7RKM|$nsJ+rZ6pu z3$KUIOtSpmrVbgR@*#7y=F8|QE=4|N98URRT6+r0=7xA>pP1OcWoYTa!k|ZD%=2Y1 zDbGprK9@&0^92QD{$3EvoLI!+oM!L?F=hAH;*3(H)2eqjB89NvKC%3?T$t4BZ<;|$ zVh{NZ708SCI0kecv8ELlK)yF@TF#fo-^&o7K-9@IJ5AyL@)k(2$dK1^iu>DwmQGPR z$U)aUqTyqjq3!Ycs2Tkn+BaYSO=^aUPacUcUy{6oiZ%EAxb?{*mqCl@lWf>u4`^-< zL)hNaaPpf$%ji`t9u9dW@;*h*hkP<f8KTsD{Juhtf!CbMe6NsNMAdqKTK%TDersX9 zoT&F;MCJw+(J>k1lOxe|mIj6K_Xq_k5dX<DkIpGZ`#Ruq&XxyR#yq@VsrNEMmn))H z3x{8?^hu8gRiOdfZlzMa+0zPrr+Bi;QoTG1PK(Z1e6Up)qB<73v&Yfx^e8l~r~v=D z7sM(oMH{&d$}f(;rvl24lxK#|Dn`rEDb>D)aZ<lZcKp&A)H3t5KHEaQA$a=iGy@)v z{ky!N%+Ji_-S(%ASO(l??r<iTA<K{q59nqX16wl>INewGtI!3cu1NKS`cL`RcGWeN zXkjxvoG5jRuUk%($o(Y=EHkway1T{KV<@WXE<X(GgLB4J27OORCC^NdMJ9THr8OiE z7*hMlzHagLYKlfr$*#HvA9<wZXS}U`Fq+h#8&vI~I4MWMDK^3+%PvZEtT`-4hWW@K z@539;zpBJag$PS3!T(;wF(3e_tBcf9Rr<F^{jZ9l!O7)sL#MU>s@A&mskG5uV%W8A zoY#z>;nwmEo2E906q9zhBR!Z#^+9+O|E*%Wl$(|6)f|~0P*j4TT`tOJZ)5&CMp0YO z53(EaQivA&RQD76ux;m|mNzhEPME+$O4ec@cvjMYpG3m<LFGM5uhBuK912WlyY2%< zmgLyZPL%EvCYD_}Ro;r;DXGaWTup2KhIihigPQK)JhL3OafRNAar!M7L|qJ83}6s_ z1Z?_=Axs3YX)G8-1RiM?`3$EUZAl79=Y+wf{2>oTK0t`#VWvI-Q6fH5<uuDS2+ll{ z9Fw=QW{9a4#HtCxIuDcMyktujdo8AqGdfA$qxwj5^c#7f(<7X;#5-y3*D}uUT~_h} zE2NvY=O&jOI)+M1<Fd*xP-Ui^yl3S~DP%ohH$OPwF_}j=289J=QGmykE>4|6aLbuS zRmS_CueDllem=Z<B8UB}Z<yBcYt5johvKmg31{{oQ=b*+AVm^ciKIS!(k;G$Kv7jU z4HE6;<Wt2Hb&6{$Uj>qDJIMqYsn@BpQu3*N_pG+~`t3xc`IJelqLcctVOxCY<NdUP zM}5Ye+U@Tc*nE9|iGZTRK+zW9lR?o$py&r%eDWVeRVP8w=gFtg^_V1uRq@OC_V#y& z+~JUptxtI+47htt;Y_U~AW$8Q)`FxcvYH}K`VAoBd^qI@%z%j7-S{_$=}Ij~c_bzv z)KukYci6q;wjBM}HtK_3(ib;ad34LtOw`cOw|$D>d)Oo8D=*0*wLcY-$(-i!(Ihc^ zhtrBdrgQ`-V;K3R9m{}O49k2;JmmI+4oPra$IQod-L0Tj@)}k=K^b>N7*V(&#d?Ii z48x3Kh!tI=y9tay-HrvVbnyhLSBlqjFv;l!Gm9y93_*m+Lv0*by5TV)X;ty~o&pqB z)$$ah-xZP9`!BH#${4Y0-Vjd5_(&R;k|X5+J68gB22!jHu=97t=xqmFStp&BA!=L^ zC_@~A#?mKfJzrsT&&K!tkV?g?$;w5V6h*8iuUBp=V3W58#QXu1nnAzC9-gQxkgx7@ z4A4Jf^{Fa=)ZD-Dxti1(;jFRZZTRWK>YoRbs+}S4d;SQ=r@Vkn<1J(Z6^oGgrWqVA zrhM{3oH0yc@#J{l+k7c@uE}2vL;2<rKM|=Q#3C(LhG<4Jgh>p^Z=gVc`-21B{aP~n z9%;kohM;Ru<D(kCKVGk<G!%#ey&$=9u`=hgnjvOl$SLg+`v^QE(?~aS82^zyOOI(x zVY7CYreM>rNs6cME8hk)yR3+1oK)VSo`rEgENYo9f4n_*P-_%<fZF*wjEXQ&O`7FO z!L7VSJ?nCy)-a^{Zd#MJXz`JvsjqHdUi!?XRp7-6O_I1Dv&)d=9~2$A#6Ofo3K{w1 zj$x)A1<}I2f4o|QV0=9|PwpcN+~SjQ1(HdHgz`M84<h-uC|v<bqs8MhGHH5xs+X6+ zp!GIcHjD@8fI6T9Si;HGN5qlt@+6d*$$gOBLXS6K%wd@+kv~pQ(hL#Sf^1enSbf;# zI9F{=AH?mWulCy?;`6)-l%s{XX~WuSCh^hEG2m<*#h^Ai0(T8qyNx}|z=N3M9W59q zV;SB{cg=?5=XbAQ*l}QNF&nROQ-&qd5x6PCnA9CvdTC?&MJnR4cLfqK0gcNh%tWcv z1rOZ}ahR%0pY-Zd^*0v>W6$Y+!i1R+sUe))I1l`XL)H<qp>GO<D8-mXs$obgF@#7t zOv+|PGonun>87Z_A8S4KE{uX<MiU7$j53pl(9{=RUAP-8#hO0}fBVY)wE_{|TZlzh ztjyte&5#Z;BuYVn!25s$&GXX-I__u-?-q>-5vd@5|Ji|Mz&?gWq=;OK7=L(#s?bm6 zNXEOOs`pCJiVvxRYt@{|T}t7ua)gACn~dq5ywd5G6lH1V4Tq3!ecH%lz%^hGXPN~} zP3!@+Do7$kI%@U1nIi8mf66BlF5>9r=0v(1BPo{S^C9mlbj_FTxhxVN;tT0#L@{$% z#L44dI)or{<e`_2EZtb3MWy6s7XlQUwdpUB+*}s(<-zxsfF;uQkooZS%jhgF#aa~{ zPVW&>M~bSsWrv>}E4RI24pdz6_t_32EReAr#Rn0VVX^l|r!^xC#gKf)3j8~EqDAwi z<YDA=1blihrU84FUZ0r$wA^@XO2Gt#R-=z>KX(i4e@b@Ut|2(W*yCgQCbheYOD(V> z;$_3~JO*v)JfMhX26-r0ej`6@8La7%hIs4cq&*={&l%Sa`~$wej#7FgoC8BleLg@U zz9f-V1rjk42-`QJsz5zxt0tcgx|s~aa(dJf+XF5y74@zmdL*<!I_La1Y#Cwe0o`a} z81P{VComgk%8^vrP1ndOR6Q|Va2y)3edD_I2~)-JtUXay>HsL^$3Q77fT6F%RYn$A z#33WiiJUS=QV@M&mim5&YM<6fGWN*&0AEW;<m=jZ?=<4A`=L+69eT>$QY|#P`%p-A zN-{$***(!NgC`7^rTL8va)j+oGewH6P2pRWY6T(frvnhl<YugnLJ1w0p^Fr?_P9rs zBmC(3L(Z4vb5<tsqWI*=!o$cWX2|ogp;JBLGzxvOn_=#jvM)u%#UqyDqn~<bKlF?q zZoC4VJeI&5yr5gr%-Zydgdk;@Nse9g%^o})t98&aqS^xr7<FKeC!D}<&=Q%g#mKp% z$m|;BH^lVP6)-$3oG5Uc?}ap{Wmt<A#3CCKn#L<fPOYdJ9jcXN5f3RcV9?)x;jL2L z6^oC%7lFu)g#vNbz24lO`EtwHLl&dl5$`&bRWbYZFlD*cAz^{LWkW0<ng<Ju7kkL3 ztiU9Hz|q^Yzir&Y`mS+Nyz%LJG=w~J+~s>jX^@<UVyyuQXA01ATB1a?swA@T)IR*D zpih-WRcn<=v@26i72TDA0&S5eA($OzLW_yMG2jiy0wW|s(*tT*&oBUmg%cDHTO#eX z7_Z+NQ~3V!c}a5Z^n&EN)`aA`8C>1q8U)uQxaLhrD4i1eAQ?pxxFSrE?eCVE!?BIG zKOr(0o;d-vEDqGNx@feU=%-$&e)&_EpE3-orx43*2pSND01yQky1*@(zn0KOm!uDS zs%+RytuwHUago8=tSf0&39^uB5a$TKAL|&h9wN`7?hvw(B!541Rx#o^U8I{HjDH-6 zbYCFSa~ZMGuV#8H2IXRotBqjoIkWh`3W269mzOd5t{8FvG^H$zz{P<D%?&hVaT&E) zxQk<m#b<er6vq(DQ2G0n(~1$gbdj}2F#cIPmL8*+LW2^<S3!B@q55Ag=$Ph<$dM~_ zmh%PVQgbtk5r05R4H*ArIW3X9A`Ur8#ghSYDi)FQV93|46$oDVT!jj^oQzvRtEw(D z4#PD0%Myn;Lm5bxSO27(0#@rj$1CASa(mSUP|3GR>xSOK?6REMw!$xlBQV7&z9&4< zK%c$g^?G%ptUy%b1zC@Ym1zgc@0}R(#7u!eYL5d=4&<SW{nx&VB~52>v$a@&>NUqg z)q$a|^e9vW80sZnkj>~=icBDBJr_@~o2wp?*7)uM6+F|H3qwYUA({&d?xlJ`FvwVD zlNrruM<aFF<*9w;5J|d?89f*QkZkDxa-Usut|lyz>5IL*NLe1(hw8PmHkFCJ;{p#W z`!D9T9vRs*Z8ZY@=u|OYQx0yQ77NYi_5ZA`Yt;lfE)Fjd?s<(teX6kpBq$F`9VFp= z+5O;0T)Fer_rsjI@N0E(n1E@~YeUsPUl@!{ulot{F~Jl05&2&e-GT0lT6G+Vqi%Pt zJPwv#MbK~C|9{R>yp_2TM1eP7)6aCt=^oOd%wQEq!9HKr&*^RL?oLn>6t1j#{A9#} zb0;hMA%1mP5T^X$RggSRJ7dL@epaei&85Lmnxp{DWqm}LzcRymHO1LYbw9bwQ}<|N z<<W3u<xGq`>)!^`mjTXy&9~Dm;wZEabrE5K%A*qnkf_HyBDww8c*$v)PZZA?^f31n z3JvaXdmLHWd<yy@uE}W|Srm9aylBk9&EurB^#Tg*w>QF~HhY!*SgnevtCtPc%BquU zk({kh`DIC2NhY<CV4G=wPX3p!ti^i;{da!xr@WoG|5TA^yk&C^{+_2`l2F!9G?6UQ zt<6cD!}B4(A3F}z9#8RHLI-n?^l!s*V5wu=g03N0T#Dgp&vDj`rak)W*g{9Omb|mj zTyQ^li7U6c=zdrR*E*#YZG>afuP)A@xGG&dv^o_ZP*g!b4aYw?Ea<&?n?Z4AkD}0a z(nN%f0m8IGq9n|;5y2H;rvtf2S{@ZtsYYs28mU22+D0%1o(L~G|8FLEUkBdHN7AZ4 zbq~_kg6~f#vF_AavF<#0#cCr`+>aHjj4G98ue;HV_VrU9{jQD3&Zam!FEp6WfhnuH z8AST}7xxnZf@;+N@7W(^)mjbktfD_)zqmgj?ZkDv;gfWe^WHq2>6emZx%uet3sms; zl{zNxGr&ku!nwPzgY|f!G|2qhKRrhH!`F9AlaB!7mXRY(0pnK1-}_F*%=62hjw!92 z9LY&%nFb8rL<lp_T_kvvOO8CDvxJt!-?O4(F7JoNkVVhpqd8*uqJeQv{*XM`s#zXC z%svEBDh~x<MYlz0gu^pM81@4}8U7bAqCbKZxj8bgLKjNOgNf<#3~I>3yyysO^_cSa zE2r@P4^w{~7UlE(kK;-Ti%TyJA|>4mC?Jy3-L>@6Eg>ifOCw!NuhN~;!b&&NrF5$x zAc*yy=j;8se%J30F0R>o&fIgKIdkqg=kd5_`dkuqsz2WOpq7YdQcQOCfqYC{zFvck zN?jaVugG>A#;f={X7zp|8Q<KU0h~l35Uqy=`IJymJJb^2*((pTZ6&64thm>!0oLE2 z9de7wWncWF$<Se(zVqQlBHmINS<2^b`b{>?M4gj`J0AqVPZeak4FSkVM5pqDR_92Y zDrFou-I(&FTyd<qcA}2k+?@{upeuEt)}nJHqDJ|_0y5I3MfsXcnH6Jey?Cc^5gDoN zCWdu_({JiCpkm@eRoU=pz#8!h8_7QKs(JE?1F}`*uSDsKC2Po|^ud}@4@eeH-|6#C z)M1>x^FcT9)HL?LTGiyQ6zGeytI4BO!BXbT`mGwbz&;VrJ=!{)IBy;VZPhCfx~&W8 zHmCr1oUZ&p*(K5@QW=ND81(mpm^?@hQ7M2NkqO&vaNbsWzg!|2$@Cr1Z{pb1=ZU93 ztiW9b7ytjtY+c>|Nydx)zw4m<-(~9iJU=WFPhr+<T_d1;E{<gg1&<}8z=k&qMo^QD zL|>c&5eGV4@TZQ4H5+*Q9AF_p)mjFixmhvnP%QoCwqoL`H%9qdQWxA-d+rVkmrG<4 zrTG6{>vwZBVVB+%=Gl0oNPYSQLwrrEiysp6;2S3y_478MTU|D)Trq6DF57MR14V-q z@KP};BadqVk=L7)TUGz{kSCF>8khiaq7WZ20S97ohb{v)JasYo1`{@tcrgk@G3cOG z5&5g1K%-^kQSCsZDxfRx)2cM@@%%7P)ajbJ^T9O{FR%m*t+h+!21@xsfotSujxvt4 z;ZtvFV6b4Ne+HsRQZS0)Yre6zJ#{#*o&g%kCN=Z=>-0VdM3B5b3S_?lg<7KsUr zE)UZ#w!cRlkW74yr-mQ$_brZWsNU^DnGv~kS8Hmr3*FmFp437YdQZX%M9AD7NU6#E zjBhJRPz(7PJ_!>LA)Byw0zc{99#CmdR$}=11poG@f9gM((=L32{4u(ZhN^M;OgrVg z2L*)kS|PW!8or;iH0oHaOCIXn(UPQ!+lHbxvlWQE<|<=KuVNHfX}{_`h?1g;Du#0O zl2zSDAM`;{V8b^eq3OyP$DjY4PHH}}@3)A3&W-SQ4E_{#`1MfcuG2=&YVtD8`g1Nt zBZrr!bU&3GVR@fAkFB}?Z@0X?y6l{sv!~GJtjs)`OM{y~H5_B9eEh1==j;l2%0m5E zuP8~{QjW?i+EKEgRUySsk4aJ_QZvh;4)+xJNDwiJe@VQjwbcz+X0upvLTPP_B^2C# zH(&Jkk@D*@eGG`Y6Ji&Gsv~JQL$N|-U1N{BWrY<H`9IVQU$$nl!WV@4`&{^SE!TOX z?o`{ypr}b28uD17nTH)b^Gtm6xZxbZ2%84xC6l*=P}or;bqx_eBBnPa$|QS;jKP;~ z3-LO${J3y}EZmf&K`4zC?g-lR<JVo^6pOl};Shu3CTS4PVTE!!#~#UZi6|n#v9YjL zq5eU~sNw96i&MLVVUp@uTsoWMaFXUrIpy!c_neL%7S+B;W4?1ThYRVv=pFQs@v2uL zoGWiMCdK=47>@cAjMxrimXs{Vbt#v6ONbMF*^4EYNtQ`smNY5Gb@^>tL5Ndz(TjDF zsc+CEWprD-Eq-w_jY}tb5Kck|+7puT5;6eoi7Jxvku2acL|pXt$ua3{kKU3=-fUwY ze%i+^`^DhTqwt;*u6G!&cKdqjN15lTAN9vj+a#Pr_~G2%eb~7+gq@j1vZaHCmoS?^ zU^X31$aoy&w&M`kn#oq`ozO!^goBWTz@71U2yAZ%p8>M<it%9URlJgS--oTX4Lenf zJN04$ktVRQ_C#S}+(!|{CHrB-ukr+k@FAXCuM*#R_w{wQZES^FoSw1@Twl}D;Dp3M zSY@*cx?=F8IAV6!MmE&PKagM3)9{7FL*P9__;l8T_%x5VUqyd9o4q-p<kh9wwjE*~ z9C{t95yM27P5THcTyeqh%ERBk8$Dgt>{$yvZ@ZZKS3LVKXTq#yd6Mqr=ezIWy`M(I zQK1>Q48P(Dm-lW+n5*7h&_MB+4WWF})r?DldXiq4^AH~PA}F4$F_bT)f^q5EOgd(= z>5KL*uGDv9sQr|=RI-hS-!wbsoFrb0p6L!r4dbxs42uId6*O3UOrUxgBg+4PlRV#9 zdAApPF&duW@Ryyp)@!=T@a}xleY9X+O+dP>A7azVk98(Ik>y{h78BEjOU8M^{dg@I zOtzxmQEUb-B#nm<zNb?58XBxLg_iz3`)~d5rE9tKB;wC|n!l-Okz3Y2dOE+=GquhH z=`Lvg`7~5sXGLcWybn3~b@gxQ@L%f7%Sz|eKSyt0Rxysa88Vq>>-gK-h0Lc!cpIt+ z3>q;7(dzr}*j>CIf#|8UwEx)aa3kRQM@xyPHGmH(+kTbk3x;SJ43UP#X}zGp_0m5T zsd0ly`EKSLN^*XS5=;c8!G+f!?SI;3{}f_1>GH1a4n3t%JpcH)G<V-S)a(6S?c4hP zSs*k0-;es{-|vzfUjDAj|6A(2dDdWcIWZF;8NADo`{>HWZLsC@pU|@R^YY6Qh>>r9 zi)UZz8q}QW>{q@2HKg@&2(jDKd?Mh)o@4ce-}`FJAs{Z}S7T!*NvXTf5B2|*zJpRU zzt0cI|4MP7gtYYe@p<$s74Ma$LjYas!<`eFvHwakpk#2fb7K6zYoVY73*I>i_}{g= z4p&84d0|{rFX~RT8hos+pOHRSP1{*!NnhtS`s(`9*sWf^TZ?D&cl{iK$e^}L-O0$; zeFediF<IR=8tSlYsg-`%K9%{u%A;R>QdJmE4jUg0jD}h+TRywj+*iQYsb9S&k+Ht3 z7!O~1^S{1kHg@Lm%n&@U{O^vRt)6A2F|8n`vi@r$8nn?N&v4T4zcyYjTTUt>BV=@+ zm6^1E<L?|(C2+5I`rlKmmk{ulo$jpdtKH3%+wExbbG$UT?Os2m?zDi|ebIIz5WL*n z$ISiT&5bie1k9<td#pz#!$<w?M&=KdR#);<c|pN<#Bj2H#MCp(0z8|!daae<%AiNa ze}z@G+82T=Jsz3=6&|V8J`r4L^+^A(K&W18Be+sq`#%}N7hG%)mF6EM75x5H7;r;6 z5Mi~9Hj;X1#JgU?1+7f7qoxWU;*sZNw)c8>^mIdOz>tb!)x1VA_Dco3<K`25bNpz_ zd9|JTrmcJ16U40$=xSBQu#NdZU*8+zXT(tRN>K70=o^?Neg=Uu{C7=Zpzp(%(eNbm zjEGxTJcO)Y|8*wxyoum6{Cf4*!2f~QmA21U1KIJ79O46>Ayh)O{uA2_+FgfjmMR(i zf<fu{`Ff5$)9Tn77alzd{<Vt9l*HBfXy{jCmX1K3(1Xo^!oQ8Pg&Cxf{k7ji*|2;c z{Y&o9uIgv!5D$jR_1~{nZ(QEps;gXo^=fs+<z3N6Yv~Gqf3C~B`i<6(6@I?9nj5<^ zt$)w=3B)UMEN}D?nK#h9;GNFrcCzv3FMnH>z5lwlklGSF?_c5UF$uq{bUnzMYISso zs3`$oGKRQHNKLKuiG+V?`8RhM;BE9-d~t1hDVdkOtH#KGw7;l<KPxL1;aYQ)-XclU zop(gaDNuhwx^kWsNN_$ufCCvPfWgpr-S|WphGS#T?(^{p`!I+mto3QI1qhD~%Z$T) zSbP(tdo9>Vg};%$y*<Bg?s9Z|PUlvfST&3XZyLvPW-c!cix4uH?AgkUNmtwDB(?BC z>PvsT_q*Z68q8YPa9k$RRolko5iI_-Ec;i@M#s02+#3Ql%^qT9@Riy@K%*_j9lr~d zeIZFn3yhL986=}or`xh2QV8L5d>W=iLe!w6?L)`+FQVX1^>svRpig5a+~+BRGMdkA zFFBE)(WgE`LEyz-tHJ;XZ%$QXLmVsANVI=|J}S^;jEv=&mI5K8An$hl;q$W_b@@h- zd-F!tJw05(u9h86-rYam2i!zU4*wW$U6>bJTx_1VKmTdL9Qs#Ixdo$n;d|lgXTZCK zEedS;@$bupbxRlj=cRUEcoJ_55B+OXKaco4^CrzUjc<=t-}K#3MB@p3^r(yxksJ{T zr{rt$%5#9fZW!;=&1{#%TE0R-7#q(Rq8an{ljD6Ezk6jW$WD4a=yisoB$dojibuHb zc{0a}1euhp+IkZSD6{(NQ&t0~i%P8{Y0x`1mU}BaImcyV7CDf$x%{qi{xxwQDb<e- zwKdu83N3i>T_64yasT)BUdhoogn>w#$K4}lM`nf&74P6*M0LWu#cFG&NqQD&>w9S^ zTThoG-9tod2w60j2BPWzcJQ4DTeKvu6C5G3!aEM!i<n=~`|NH<hZ9_T6tVU+45a9b zCj4#YtwV(0ZeBMA=fBdo_dZ~IC$uu`o*?W)XqUJ)AUV5hN{ht833BQq`Z!N)V~C#9 zx?p9aa=gMeIT>yHS%wooe=KowHP<wM&O10eTwYSa{RZ>>3f;=CE4x~B&`F>FM(3br zL6?f@Gm?p8WJ59Y3C>VshZscF(Q8Q#pV<|;NN*@x>HEB9#66a{OGF1Ecz13Pg6n^3 z;VTM5`iW{y8@SLxH0ErnC6w^LYvcx!L!`xRAle#BgLYs1x%1p>2iP(zKfXW~;gFKd zVMB3Syr<-t*2Hya0!ZdQ@X?b|RS<i-3hRsBf(06+=`UBtx%i-o%(FeP@JaAcO`IoN z2}I8&YYufGlAl*N%cw+=Psx?I+dX~;Yb^FMKdBj>Q=4*b`ByXhnaf5qR5PCVXg>HI zU#l2pIJe)6RG|@sUu7a=j-ESTf<{Y&@O}O<7-w0|Qg*uI7Qz-C8&BlS$vjw=b4{D& zNP%!etHub6eHytcclUe{+5F+~3-t{4PDUU13UO6iUOHWSUiP0SX?-gN?#qJ<=+eQ- ziREBM%yA}uS_oXEukUfPvrCVPWvG=EwhmQATk87tBSyWpf?0uXDZT0!{;xw#+9&=r zBjye<wWsU?dC!C_{cClk%n->7a(@kTU28oj{>F88Z0Wu<jB`q=4V<$s*;=mQFAxy_ zIk?bau~;ikccRunwv=OQW1|v>O8oM2I>XSOm2e=QfXAy(j{mn~5q$Evg2&c00=Gq+ zc4m--fVN!jC2q^~l$`rTi7PM1O8S@5PwJn!2ILX``O8?W*(dqe)Zby)j)(B9b`to% zf-;<3Fi6U{Ee)GjD~=4}ze~{`rqy+`7mOnP6=S={=v}hrzgNJSX^r_>GUPI>9_>!F z8|BK(8&F$*2vjkE=W6<QykK2yX(=^quX9}MT>PDC7&8A&RVe>k@xm7olM&W%>i1k# zx=QSC+%kz6ZF5i&d`;eA4$vP>;{&ppK}%yIEYD3~2#GQIldZUMtk2}cD1vJY?^*pg z_8IVbgCd0HrhB%KF=-9p^D6itLMR7TPH!bgJGy;GC!rh%oY{7ECcfR|cn-$z_1Oxt zFz*Mwx?r+nI(ey&I8{b(NE~U~do|oYUM4m^UaCH>+4=pZ+gJU$zAMb!Z{00ap;bj& z{LYu@kAn-DZnA}ae3sgVZ*iLL&S4pGTZFH!&{oww6;AF`ndk+hlge33Pkrs2?e&ho z+8A4l=IFi}RPTXYK4&%e_Kk%v?7Z23W=<c!#)Y#}+t_}&)Xnk@<FERSD>P*~a`Tw- zxqnbOJVjSK-!b7RuV!R%FSr>l-ik2K=kz;C&9IBCZbiL!D(($sHVZ6bV1Nj@#0HjE zy(`VEwWP<yJc|(cOfFzFMQ?feG@|s?Kbyh#6+17>wM0Ls60|Q2?zQf<hNLx1VXE#q z>mzTg_?LVZr$<1--9=x||M4$-tc@1iZWHjGXom;wp;tIf8wCAIjD^Vpo#FMTqi%@p zmRdIC*LgktB#90NfvhTiiyuUm5-DkEKT2^Po0c6~`_|~z<b5<WGuZOKn=dNqj>U1( zSi%}owtAxmW+ra57SU{c$7dqP43-NVurUGCNr;At7Kw(*B>2<1>*rq4LH^C@Zd?HN zi6to+UGtn>r@+nYqP)L*t2;Yi(rQbn`M<ZX@U<@dNz(d<6pD%acmD04aoImdA)fp% zW8d2Q4eHFgFo_+%;EQH&tD3W@zPuKno7-bJJ~dpFpymTk_)K%Lj>fABeVI4^iIqdZ zYjT*sYks1GxXYM8^dG7WXOSV$WwSMWrR{UkVU5|T*1KD?6vz9Yj(w_s+`%w!D)2A= z%Q7I~Wx15wNb`_+Q=)DoywT(_P<-c2tDl;2s1k0@vfxesZZF^JFXMGvT|CI*cg?Bz zDTITU)*^h=Vxuc%taE+X-9pHRkj6hWKt0QL_Ft{Jz1txMC;U6L#othLVsC~4!!lFZ z%2HdV%l1ackGPHns-x{_4^*+@n*}fZ<3iWU5sB8ze(jo<J*|dXy%48^nq%e+6v<<6 z^W3&bU6pB-MN;YtIcqS*wQ1T~6}QhV*QfLAoYTS;Ugl@X<KRl#+Y||K5i8*bsstp) zDU)ujz0IW@AY{6~Z{E>0dXrJ`&hN^5Zfc+2tm(a@m#Rdg0SVsZ(csC)#;<hD#Cdzg zsI-p1Z(5w1NW9T=+W3C?`Pdo!!f8!GYQy*18`5u5yQ+?}s4|$udXB&TS}3R~5#BGT zwdV1qt3IaiG<I+6;bNXviKI$kW_VE^U@(qlySby)qr%$rPmb5h{iK|oMPCp#mwph- zT#?^h#z+x3$O@T~vfsv!X6)KYjyG<Wrs6r7>n_DNT{{`wkaDM0;uH*XfE6^34^n1I z1&)i*Bq3c>C92&>1}uL`T$FmapD-F$SN1wL^QPFC<*(2dOtOa4`S0BBgI}7`(R~zB zL}bF-584X{7%5D!tPpi6dpo*lMn14Mpa$+DRY8^jxC;anMT<>OLoI{sK{P9LPo#f9 zIw~-Gii`yUmNl#j@_ZjDsOg{%F}*@jCbW}e3~aPp2zI8Q_c2=~W(gQkR4W$dYva!y zF~beRvD4$@OVDhn7k(XePYFUdo4DA%*eWq60T)wbS?G<Vf`rF%!(f~<QtI})^zK}< znjd)tgkrzqv?~2FcVVroM~mmwmi24mmA=g}$woWcZsV@+S7i@tX=!Qb@$Has3}CUj zR1gNi!&<Ap`zx9uHbpp7cMag&H(jbZE3}#{@16A@J-VAXkyv9{pCmg)ZPRibSuAdE z09L1(yabB}O!KeFZ)S%YS1_nUk{j=*gTo!ON)IB;FWw0#aeBo%_}F4aq*!foA{h95 z&(a;33mV3U%ro%=hZ@Pg9oqThJ8p9BJ35>uI^cXZb=>3{bNh|RiKqj0Q4Y+qP2)qZ zpzc5;nO&Qa<2Lj^7aivs-rD<AK$Y;Sjo9ZbS%JC0Yk0^GiXYftOm@{`oH_B#{qPle zhZg44W<7+IWYM1@L)amk2tp!k1Bn2u3OV;2^)<bu+h&X3Uud(qXDI%X>GoewQ=Fmr z>VA`~ffo<q3ZS#F*bFXWSl`NhB7q!c%1MVu)QUPGv-+N1Ia<tIUhQ^c#yT2G+s4wS z7KXV$$voV2=k+|#o6gX1&fS+J<!7Aj8{88}Z1Jg<G*j67<}TB*AO>G-**Blpn<Z}w z@3m<PM=3bsG7wG>K%v=pElwmD0+-5oBWlHePP(`>WkutFW8rK9?wTgL>pxfVvsyP= zd$(-(#u>i>^~KK5;f;MUto7x=3UVI%W0IbdX31s;)_NSA_Z|C#q@&*QvMS5f@37-Y zLhv2Q+@MTH{rbWie3nD3k-5Wsu=!onJdb#zns-Oxy<g}X(G_x+QG6bUm0it^q56w+ zmBy`~kB{ma8$%Te18p3Bb=3M)8nxCPYS(s@BXN?dI;`4D)Tv-rGhECv<!?p)8kx_P zI2?|xgh;eD`(>P_!mWv6-GYsuzE=t!pe^tm;`=>U=;M**ttBmI|I%ht&nw_K`(QDZ zr`gir%{f2V=j<*n*1ESdU+~e&-MQxX+uD~gH5pTjM*7Y*A>pl@K8^lhcebC7xZy#L zxDek70{C9`UB>a+8Il3&h`~LN>=ORy5O-Gv0qZQou^Z8rT@$l~LSVzQ;DsypB{6j> zGtR3rWsI&q#XQ?>2hK^?9ua%f$n9eoOzB5%WMgOB1*L{_4thUDKtN=&kl_$yw-qM9 z$>XWv_7OiWqx6N|@!F?q$9+0RupECw;IbJLz9Ly2e-8XztJ51A5|6pvj!1lXc0KBn z)6FiQ=#hylR$w8!K6<{RBkq~&oc>0YU&h)>cSF0Vk;^Q<=ez3ZQu7s$<<i^@s(0p@ zz6H9!OiCHxYODPEeq*MFtNiNz?{w#%jZs)`m3+w@=wR+@TW@^&&1A{L)Z~qoxIq|d z>bup~?=<;;?OIa%)}q={P}D>NgZ+_P2s3$aVYcGH>>QntakU4mjzd(J%GbONe3ixm zwkp_RY*(c1cA&hsmq>A&WSWlj?@XN#3ylZ2;T-8?s|-BUsmCiI2(4=MwUITAx3T1@ zY3h%AKI^gq?;88;cN352QBgM#BaqQ*{PDa|sVbWzB87lQXjG0r0j|SfM>5y9B#_~l z`}W9XFeN^*`#v(%2^VJKgoQ1j>l4K}PY^9cohA-gW+QZc5_LXrw?28+C$wk}Bm&RM z*9!K@Yk1HcDit`yO7l%>XA?I%B;>>*r!qao?c(|^?$P4?Lhu$<-ydaG6CXzC(#VjT zjFUmsX>IMe6&U&KV&Zo#n{!%E4PT1Gdk(`Rz6Eb=MKcFpR}jhuANx`lB{4@HRN=Ri z{BW5{p<H@XLw$LZ>rFyVJ4Obv18s;YFecc>#HZY7m@m~3!k>W_xPv!r8<_*M-xA7l z9z6*o3MR7+*|(>$X6Zh4MAf#=UH540Ps_w-Sn^B>z%C};kHmcktaGkh++D#T2yapz z(AIp_i=|Lg&R2hIWc@)Qyu~tA!E*oO&xY3yILG44dUYS-cS9p)%CoN$-zt1rY3C<q zIc*7lf>S7Izlk612Hsr}#*98^Xa#1VnIUuF+=hzDzExQ*=*b;1-MQOB0UuH2-AkFY zJUa-;_kCnsiuE=qOoX|?Q=)W4l4}B*V6e<_q3AUTaqFC2{6f|B9?Eougc{)|EP9tf z*F>f`ent*~S?<NMe~f|<xcspbiG9INnbBBK%Iiv=acN*V$!5TJ%-!)Smc-svdmd|R zs=b)elI$R(d2GmcOt(T}m~rVA@x)+Z)?dj~m@L}{UN59?ynxYJXnM-HLecH~rlo|l zaKLT7gnE=M;+x?&E;YIEPx<2YbK#ep#d7Z_(QB1gmcxBMufM9@=M*x|TErRK!2C!c zr)uj}fH(yrx2Z@SrUn#f1edGQ)A)YyxQ{#KMBwxJHY7Xvhz#xx3<YK4OHMSBWl1_Z zc;z_wz(z$N#-@(jd}EAxZ~iE4-sPd|0{IGGs3}+yFlbBdY!F3TNbe;_t1x~)s~2q# z81sx8LG*+Z^WCGY;X(J^ri4i%u`p;(ec}hslZ_anPDz(G0SE-ds`qjI>zCv#`Gj>y z)$h&?-NC(EKP9JdvN*HF)<GvNq!a$D8N#E}662K=;qv%GfE54h=`_}zd8KVYfl#8| zHFo8k2$x#Yxd`@}p*+zJ1nW|CMK5avzhgi2O9Vz>kG*TtDzePRbPc@{Q@6-|HayYB zlNB@gQBWB>?zZ^RQvvR$)kMDZv=VbPq`p6bFuPnW@s&>B<{)*?<E(eF{s}bo=le9) zlzHX4CO%jq@OGt&?HqP**Uh0u^rM?awb|bUcADq(6sggnsv|?;Z>ctP^isEN;F<Br zpi5n88W-_PH9a3Me(v_RlyvDeH8t6<>?OAX()41UQ>%trn(-T1SEifjj&9h09LMgC z8p7BaI@3~5Cel*lAsHBfr?LW^X{7a!xlxkYhTq-`svkAU(~&sG?80x#FDlfWX~Y?v zV)lwj&n{;Qk+%uR`1^aK0v$0tp@ovMm9sWPPKFh1v3s^+Gt$y*hd%?;rspJ-ACrTP z?PuIVIMDHeisz>}NLg7<u}k+V(pdTiL)NZ)QP5B16CcbmKLa(JZ`H-XwjAX33mHPS z#KOyBNgnb<L{M<l^hn=CN<N}hI<ZK&hmPJ<gb1Z0SqZq%2~{G#tlqYl93Nj0IbD)} z>{0Zc$we~1NWBR8ea|fB8<A#c>xBe{C{H`Rs7xd@kJe05MU2oXc5l;9Uf-Hi1}zy| zr{z-!ewG&_jE>pcyfuR(&2AP$2n3Ta;4>C$?C7LpMToa}aRsR{i{_l(gV{$KVl0HQ zoNwL-URB5*bxGKi#<}=@93QNGyMu7j``*CMjlYCWmv@UT6uF7IoDZ}!G9z$`Ek^Mw zHZ@4d_A3@P^eCQKoUlD(79i`qwI2)HvXIx$%`1!LB!pn3B%g?p4#mI_TJrjTzW!A# zn5W4nC7T%Z+dAI3*WfFhu@saoFUFD<3qw%M$ZI@B9^oc40FBGcgj92wg^4Rd8Xgu` z)L!dk1xCbnZ2jz?#`<8EO_aq5)nhrGoE6XOvyrkea{gX<49X-KxvQHMS}E&M-f=Ra zm{y`DM#vDusg6c6FOX|y%FfsyQu6m#-UODu5qNHl)$v~*ignz+GsDQpiH=uLOzRey z2{~ai3+o0q<tnZaG}UH9_rC2PP*NE1u|?WAZCUAkZu;~@jBpinkWqDN$OMB=n>MUb zBqAzkQ$CoUqv$IXffR5Aqwj{n&(4+fF4vNlvMHC1?aoF*Gs!gvg%r<)Um<TRFAOOY zQio`%s^REU7Vre*raHn}2b^#!jo0#<eSo#LOJ=7_Gis{Iz8>(ksj_i(?V`~$BOpCW zjS}(fUmkphky@hmlx?MNeMa$!^lC&ZWDV%b6$~qHafQ$Z^9)`s<plOFX1PsOjPPCT z-WGHwB!ty0td2-iJbXRYNB465k*+``_KNHgVQ6fA{0qhN=|ZF|F#ldT42qWOeZ&d! z=sTY`>ydu90>z|@TgcNB9BEdwm@*>GyPkqEj@ukFj9vH9(GrU1XYk_oM(+@IbZ=S5 zHNJ|%a&=&rOI5KO>x>)UJ#@rRMPK10B=a7HCV|#j*yq<#<*`Hx;))aZ&<Qaydv?S# zI2xR0F{-hYDj$Z1Ba7*I2FWM-Ofc=V3Sum8fKeF8L*|;fX6TeY3~7NekeqQNVM9k0 zE2as9Ie7w}dL0ZFZ!xOT{mVUc?=#E@G#v?VrI_f0VcM58#7J|uAo4ChZ4~q<ObSm8 zI6C;89c?W28XMr;QYLBX7S&p6%tjm~w|G<aVs_6Gr08<~l_*l0P<R%prQsTMk^0Qt zYRQ&dHBl5JoQkQ7F9Y*E5-H0}$=}O{K?P<{&)xDbMB-d+<=1``X?sG#&lsOXtaVY& zJ+q^ji1eAee;CxJDaP^+H~~j_{j&*w43UMp#Z#)yJhmuUEyctjHAbpULyRU1%+&ic zAr|+{!ajq#A@^rzCT1Sb*w3wdKisVLNMh}u>_Y&hD2kEd#=>mn<n?(2|HN=kOL#w4 zoE@BsC8_joA@y!OOIsQl(ZGz9J8uWr?r$^9$cLyRU8?^miiIaBHl2ne;h!ic`W|80 z1J%XoAY>Cmt{D8ljOjV~&%PL<3oR-?G4?`^D3LH$G{C<1Hh5>G|8qK`M4FnPz5<nw zD&kl9_arK&iH-#BSJ5xTMCNof=ctM@Y^SA05CQky{FVw4Y@s({`%I5QlEO1c_ZFN_ z);*S9%~C$~3p@9SV`{oZmzJ7r0awYbB;dkTyj5G0i_bzT2t*{UxO9IlrHJ`Xb8)v{ z=V(~NBj4_9Ki4BZ<>y9SGiJ?+^etS|&GYnSzO}dU2LmX=EtOr6Zjtcc0J3wisHf?L zDXDVz|8AoiUYi0F3$n$*ghFwpIg03LtHCqbISAjRrbeHEUPgjm+UgN|-!EuD&fA29 zbeo`pc)=4Gs*VhFyxjq|@?Dsd8=p2eT|U9}!DF$HOKYWn9nSBH`!5g6J+n(B;PWbN z_}yPj;N-P5j1Q%2M@1-mY=@;hTJqcx>6h@K`^wOsB%>@)MgabZO1CmLBS$Q|nLZEW zKcj6&eNpzX%T0L{XuBi8%+MaKqWpEcIE63}vBUZ`H*Rr|JFPRnPlk=A&2<O%m9{-` zRr%{iW=dz9^A58IZF|zOGV=yHCA!Up$BK|k^Q#ttae~E0V~Tm3{SI7!zC8k>{B=u$ z?aJ%ZaA=+=by7Sf=gDRp(J$~B`|a0guNbz(T^13YwJO<FgIUptfkS1Sc=~@%WiQo) zQ(5f@tMMHDdPlJrP?P2Ch1<M@`i~I1wrYgc(i&gGGLlr8>WS-HaE(}7-x?<;_<u}G zWgFRpnh?1ig=L@;nd%!GigAsg3jw`q1d2@c-|Jg(jbs=6dU<+}pe87j467$_*jp}+ zAxs8SJ%!24ZC=ROBZPyUDPc7u-<PnAcn%;VR1IXJ0)R{mkfF`7dIH@m<Kj?h(giZK z>p;ftA&_wcG6}~(=IlQia93H*Qku&6phIQmy;6ps*=ZhBh-V#uah<qpT!l=)L|3r* zsS1;~eJ=S;B5ar1*J8jBUR42yF_*3^1*U`Cly_xfJXZyrxuA6AIxy3PawDixGls_5 z&}P%hOvGpBd5#O)DbM^M_Sk@d!Bfwju}ibX`%GYd@WU?y1{M}QcbpK77NRrm8XmVb zO?Bnh-i7+^cs*}dOs>%`cH8Eai4or_-~un+t_0GqWIJx#J+0IX>#KmX5|mupK)=3q zFn#TaJFCSp)OZ_o>INjt4zgUfi#$Q6WI?Bb%T}(ha~9B+Yg(dbUc3|iLla_Yw<JDl z$XnF?mT%x*Y;Vx2sOffrOkEpWmC`zWC%C2|kh;dQ(iDPVg)-Xy@rUADAKDnBXw8E9 zUW!w=;06kf;Sn^9%YPo7Vxk;Zez`E!mo&=YM*%kdc)6J!ea;8*4!3b3y`~?-^Z2d) z)A*5UW}oK5OrONw{kc!yoT4g1*HkpnE{_9v({jN6-BQO$n@3}Aj36@-!RPB8<G>66 zs-dT)d-E?&X){r@V?viP1CYItBh&aSjbf!=qu>7K_%@%$Y0eG0f;86$5gJd^y-lXE zkS-_FFo_fK$iOk7mi6lb2OO5arq;-rf*tLJ8LUd?@^!xmVWH{X7D$hWIOHUG@<C4X z9avuoX}D+qaO9EylJ~<V=LBNPIb@$HbucADgKM&9b8jq!#5RWnB;9TAe3;WZp)MVt z%RDhG6;^uQ6kwtPSu}CnemKUshRDe?6%MUiS?wOV!EXa8op*GlKLWpr(6GpSyo&Ts zKj+R|ZkrMzBsJOFVjYu~wlxhKBGG^JLEDt`Gy&x7n#Knq;9*8YXtZ-i4WAFynY_PM zX9}|wm_3@;k2*Kutn2>1m%<J>t3X?_V{UvvTPTo6%HyVO$?uN2Ja5Q$xSc7aVfooC zl&q3D*?f?da7=2)7C&0LdM7!_kde3^t-!i)AULkqaQZNlsM;w9b(b%#p<Y(&^$X9| zl*_a~kB@0=DYwgPS0?y;>roB@QH|ptgff59PBz|Rqb-%+OyQ%XElE0}8beTkK8TIE zC4jtF1D`MWbc1=YiLVzg6O}zIMEzCEF{hWR6oK&yfPs4VX3++1k7694rH#%V*@Gga zv!*ZvbWDESF}tJ3`-Z5t^1ai|FH6JE`p}?2EGr9TyYEQwu1?I^jj4vgqv%U6{R~mF zLQG|@E~Ag|D+T5#-{B!|0S4CB2V=5m>XYftir$xxqZv=OljFNVk}_IB_L=X4UI8d- zsX~RP@^k6?y@U2)^Ss=|A;wf)+GuI%jpU@~jKp5i3L;|j`?AE{FK0U|hJQVbX0!mX z2rs}ua};C+K%P@cMsM@X6uR6t#!7f4wX^jgS~?HNrZEyv8^4UNAmsaXm_l4e==BtF zFPe{}wkwSHwHwK1azrucr$&;&^e@AgBRqRdwJcni<abH3S3FyPCQFdpgwc!_dlY_W zY3JWhQxs&iz@7d>TlL#M1V1$h9tpNo*vH003U?m3-Y9j8^F~DTQ3m#kKsrqv?VJRH z$Mh3g#Ka9hskK-0`ezc%xN_IQm8@N-8@8TvzuU(I=p6DjPAp}{e<H|u%YV6qo-MVw zd^O^F<7?b)<CDgnctD-TpwDMdRuFH?=2Cp*5-Jdkt)?IRWc;^#q#yqUc)$F$)La|9 z#TFnmJ#0xL;zJsdxHd$#B6g(yjo(^j)gpzc+93xe&ezm{c7Pf+j1Q<~2ATAUusE5* zHb~q%E>Quwg$opyKIi%*<dQk@4<TwIOCMOnyBQnnlN3anw~`}p8GXF7M><iIBn{qx z&GuSY@pT2snO7vMEL51i_eoUbQVmx-Nz}7>%5WRYmVq}`0kXLYI87i|&l&ADzL6Yp z$e7y1#rX{e_;-VVe|IoMMiWZ6RZOZ7asjaULd{@`Epz<~pDjGyx}*i8!r)&&=V~8j zeKmC}whU_RskvSsWV$fQsu!T&W!}YT9<q;#ONc%5m|AQW-$S2CUcG<#N5Xb?WofOQ z+guWr&X9X%kQ@6W^9J3@SKzEQCt79IR^C&ZGqLX*q;W_uBURlH+rx972US(Fpl!68 zCa)V_{hpZr$mu*#)z{?3%STqaSCj4&?IGq_A0f=vWhQsJX7a)DUk8`tf$HbhVFM&x zvr<`;e+r^;SbS!Tx}mTkF^#Wkuc#gFk7<The_{K=$dQQC1di2}RADe8cklwa<VBE6 zCKz*Dv(Jez2OcxG6A!WvZ~+OZR9i1A4GnPH6wx6TdpcpfjKtoCi$#^6?mUbglr*&1 zK;*`nOS<g9wOS8X>^Bt<ZEreF%}P9fKI_P}W%bey2sf-_$uIBht&~V+p0<>mSAUuW z77>&k5WW|OBv*$_%jsh+a|?4LuFn_8<-@O}n@@{#cDNUan2_S$rWG|i%Eq79)9)&G zvt8SXYU9g_r$O7~ck{%ZdT~NEm*gK)w$6NxWFir=p}{@0s7~Myfm>u{^tn^Q+U&5f zuOOH99Hb|Disjuxgmnn5@6HWK;9j1t%Z2_H(xIEBMaFz`)7+iw_r_t`;Wa@>cb8Oo z5s)5qHO4{KBzz!O32TFvYyn<F!@DN4_HELTZ4F~jyK16Gw02kl<=pycUCtA`w?u@2 z_8s+Pq`6NE7yf_PzlZn?fqtN6$59$H60ILgEG?!3NfHY%&T%}Qi^MO;sYmT|x^1I~ z9tAoNw<Qh{9BstHovBBa?Rt4BVS(;g*r)9J#CISMSXo-ekGOnx;ml_!F0(F&??_vo zr%hj;XbLhT@I<z_=F^AQQfPsy-w%J1c(|Zn&_ULOY#@u8OM}#u&%8`$KT~;aDqZ?I z2b!Qg88Inx^KRQ-yxx2$S`|rlujY)kJzcT4Jw7o(V)sX{?zkwG8aevO))a>%t^A0R z7itMx&{2diJtfFO3LAKb$18hmx>Dw_s(xzXUtKc0#s<jrh^5SLy;7AmzmB|i>fp)! zrfXc7m38X6$pbqgmNWAk5udjs$9)rY&9y>&-{d#+%GPpI)k5+MMaQINaie;lUQPJ# zD)^#Boh<7wU1RB^I<>QqY3ih#Dg)_nEd#S9oS&B}Kb=~$MQj1&<{dYz@m#H;{_NoZ zwy%l`LL;cpC*Ae5;q)vI8q5I+N|FId=Q5CVjtw788IWT-DL%gCaAaE-9=zmKB>UsI z!o{``UvRc{BL?nRzb1!IKv$0HBXF^c34chdR#x;sxE^j6Rbq07M7yD0=+(Pq%k~q5 zQ0kO}K!ifb$R6HnAh1oq!_@}H%gy);KZ`%TrucdzZOL)VuB2|(*rNDY_&MX<J-}^Y z<e&0)ck^(o%3@lzaQ`v)rQ|?<@DZkQB(d~OaKE{$S;jBh!S3f1f~Esm(<KKXq%9^n zeZ)|r>bJj?mD2kJGKo$cb5OVdtk3>Gw`d03;*bc-DtOruj>)_20<hj?Qj_5H*p#zA z&jFSRFuMDhQYO73H0P#!Hq2uo76{X@&nB=fX=8i%f|tiL0w)trFSG+pt6_XlHB(A* zRD?#wWN#C!M^5Zb!~SYALT2h>xdF$ijXj^_#z*xbPN<1vu>?SvexvN5FY3n!0y2ZH z2Sr${foFz{$%p(!af{F}wBPp?z3%5YBo499L6Pz`ofSAhKLIz6S4(d}x^22=$2f+Q z;9wdfY{7e8AImEu7%^j!TG0C-)0B2Zgn_NC`g&>HpXGL|*Moj|2eE<Nx_!EJ(&ozf zyu|3{vjhR!<fh{vll)&aYfKa7zel-g?sp(xh-uMqPzQR$#H?sIRO35p<v$X2Y+8LR zje77iwnIuMGQL84i^Qx0_TGDpwt2qUWQMzR+P$su$r<~i`I6YlgG2^?H~MG7iMb*4 zTIqudvUkg}2iaurN~moM7e<+DY&*yI^scUAs0xJ3kV!De+kd`;@6FYB{=GW;wxD<M zZw`~A!y92l^sV_|RV}Gt)PJe&ruBid-&Zbv@IUBm$FuoRqO}!}tVq88#p;JY2PWAQ zz7mKif-=*W$Ey$=Z8n781m48gHll-Jg~EZKF~>CM;L+5Z@|wNUf`M1Rdp8!anpaw@ zQ1v$1#k_$gYrl-;xhDQ9qhXgbBV)t=F&pxVHU|U&v!UFKd3>WqfOMV{r_L|2#kZBy zI`?>HWp3$JR>$B92X7fqV|&*h6bh#<2)Q{gh(3oQia)%-Fnn^z;#B_|Uc19_q<0|n z?HC-RK7j5Vx~6%w*4d#Ke=4XsK;?0)(V?v0hHcar^*Hp={c~?i(~`*GacrCJJ1@gO z54Jd)!V@PPaS6@G2?ScvcctA#X%$IBpnvC)_k?y9-+#T>SB-Eang1!ize1n8>f(}X zzx}E9k?(*UA{u1VwScrGknWry5crXOSNcSp;d-eIVu60%GB>v^vHr?bz}WmCO!;qR zY>!WkE+xxZ)nrr4QU~FmN;*ZKnI`<Z;w?W1ALh;1+`#^$Ab2akE4S>`&80187#OuE zdY@u`P&V=6a5Iko->820A9v{%uVFcNM%P)fdDz9gLs?0!m16hrC+igc>eY1zHQGgz zsUe=Mq*JP9g?$n-WY^WlP39d`nVs(s9+uuu5Rq0jZvT&lPzPuTZS#6bEd1b51jMG< zi=&{1$HR!m+THZ_UTHuW`#kK<2WSWo|99ym$HWrKh1MfYVR4n-jUo8)=#OrAu(A~s zlT;TwJ7*AyIjry=ndz4&-CoJe`hWZbFH4o_)H?SXR{`l)(G4qu_xSBkP}D2>>a_ki z+kP{eDZ~j9IuJ@fU32w0?%KJ_toN<uv7Zzz9XV2M0z{2w_HU`xgTzSep6kkvkeF%~ zH42Z@cNj~xzROLu9?swwfZ*`3s2fYHzPRBlJ^EU=(25Q*uYK|*OLN}R!MW}`87V&W zd>Tu>?moZL)H1iJJ?mK2k6(K1QfeuVxhcq=!O#t_n4i0d29|!(6^1nV?OMkOB+cCC zlYJ1jJXdv*+{-AVr+sI9H~FMVlD(4`I#Lj)(3pmyu?%8Ikn`7kKflFw_)6oaO_)C5 z52795lYl=Mw8Cf4klA7u(&Z@Z4r?Ifm-whcV3%a-f%)~vy~d?_5<$e@gF|7mBG0U! z_0(|7>E_mRMZBKrGHPP>h!-oON~EwK`^l)=jE%y{3Qz*?;Q<6Rh!(8>6{M&Tw9)b; zPHNLw<5E0#@P$OgHxlo&2v+77BK<0!oSwIDgxD3WzmzDy8-KB)OO@Qq@KAyO-g39E z6};4YxX%yTp54bvDk`;O2aCoT-_wbay2xUos0j0oY{fI|mr7-YDGT=xBEgp%3MxY} z<wDi4Ux)O@=9|v>ShN-ap&lO|wA5rcM-FhvK5)aJuiu)qNuq5xH%;PDN!xys56p(M z_ai5}Tf2*U8j6c+`2~me(zszh$fpg-xOiWN+2Zx=g|nb|h876^e72U$<d?hiB33s1 z$0U|MvAK8hG({V{1Sa|ie~M8OzAwpXYfE<A+5}v?B=wF|!JOfzoDpMy7-0;E5f*?L z;mtoFuO+I-XThi4a9V$V06V0nIYefvn8aBlq7yz(;9`>)zGC891YMvjkG~{1vWW=4 zS$b;BvpV;N`Ty}6UJve&8zmJZDDUF2Et$>6O>_vnxxPcxid6o9<l>L0VWe0pePkJF z&sLHfuf&<lHD>?8J|~fd&-XOVK_FPdcU-|5M1jMNw<171iWJqihqd}DIXVa>sDGrO zofLtvfe@J7nD40AGqXK#K!mi;bZ`6a7)}VnG$zR}>s_zg$wu7x<i?|{xBP$zA>!ze zlPCxp&v6itZ5aRXJo9JZP~$C6Q`i>1u|4#ekJ6s~X`cE=^1wk6h&BMdh{hPD9dqK( zEXMq$69B8N0kGPl99WfoB}(HhEmGR)61GzP9H_=cAPOKxA{%2QLFB~$<vX8Fa*(~L z=j-LDjawt5X*b%lkubKmB3Nu=mkB=xh8*Dl_wX@2Ylvoei*o`3&d?Reuw!SdUmyRC zWSOnIDczqRz3w+Vv2S;;Z(b8Y)&Onu3J@$p-N{t}!GaJFEGDlM+=-MQedap&Ce23m zg^8)`aZ@b9JMlMdM0_rtO0M`5&k*z9VIGY-E&MMcr4%~z?mhDyw7J18X&tToS?s8p zV7m5g(#iGc`PM6eavt|UmN`JN`0(o%DgVjh|4}SNj8+#le%P-B`_(wR)w)i-tF%OQ z1G<0=pbG#$41bn$cSp_^?$z+YZNsLsmZW20=TX(bJ9lX+0MJ1saz|P%%t@Ey#MK!G z@@E-3jFPK9ZAxopZK%UsqR-1~6JcJ>8=?7sX*=Q|7NS0MKac3W!>>jWjO&}#H)q$f z9nq$jvu7U&T(%|GH{FhBUWxm0mDMyp2`b4sQD{|SNY4VuwVvp5n_kh)43)*4VURQB z`K#IrLr+_Q#d&K7%5#a(EtKZ?dB<qQO22g3<MtyAhQl#$KCzD9663r~7rHegNN6y~ z<r3e~j2mQ@>tpAt<@hVTb|O9CM$5(7xy&==N2<?vpE#yzdB7~e0n8G>mS8v^CxGr9 z4Ze<hV&^L{KC`~$vRcoYRX0|tIkLRs^T1tg5=@R9Fgc=H9nY8b$h9PV!kv{pY${V$ zvu$^voX2CO!-j{NA5r}%_xae4Cs&Iwso?qYG8osv*RqcbN9s5COo&=HehQ*%<@#Df zYTJEno_?<E6mg!FF+W#Ve-3Fq_-?sWus+Tjt_dRBC&n|oUzW`;Qm|W{;`c*xWs@Fw zKW{P{NZl+7CnlL*|D)t$QxraG8VOdeZbbr7wB^yo1V?t+wN>sDe*s;#Hch<VYSBl{ z1d&f<4k#9IK(UCJ2ZZGeqUR<RuJ|*K9V=?q#jdh7>)@*syY|{{Bll=QQJ?UVj?Ri^ zrXEEJJgRpeXpWg6tD6kD|GH*hKERe*&zVn4G!IgTU)IblPV&Z$y8)I&N2INtyS#Tj zXK|ZJzK(RY+5=f5&h%Tn6lyWM!b+jn`%cd-Qt)Xz6Alc2_)>VlGTEsZ;wj@Il!yif zu#sCfPvp;p9~a|gXX(g~yVn@l)w(WP_|~mVqH;|6CtHkH9Ac?i4s<Dtn!dc8Jrty9 zE9rqbt?+(ndAon?f{8W)OvoafGQgo#1w?189228w-5M9ZnRPJBt}v8{aW@&o#@Ohc ztpJ6B7s3;0kYq0_%db`5K6kp2(E(W|oyV|GRfIm@7p#_vY6$4MY-4&|qf7!nkTH1D zZEY|qSadBqnQ1+^l+$9r?CqnJ@j5dgm6o2xWtqCX*9(!kbEXIJp$qYx#R>0O5z!UQ z{5@UDh4YQhc9TiLt;;4R;vIO;Xcjs{LNBtM-@Id%yspq59UzrCJyjwN30a7^Rd{i) zf2h^o*odWD?R$j4JC}>+Z+#C628Mpv2bcvt+1maw+K=hCt>b)d4<`$J`fvveA%mPd zCLrq+7l<szv0j=#)SO=SiiH0{=M6BYbU4Au+SG&VYx7qz4O9}VwCr9H3b0z=;X!c_ z$&a$q7)$PKihxLdOD8NIMDoS_rYQ|k)1A4uAs2_48YY@`=JTtZ-;!+w?uV~3HhRS? z0FO4@cNf~;Hpxo5D7j-NP1hS=cN9zP@G6{G!>ukdPq^}ahAN{0<V9N010htj59hc7 z!))LI;$Z;4)Mfo587?)gOA#!Jt~@aXM66WpvH!#J74k)cBCxCJjxENHR7w%pv2-(Y zm+w9l@DBi!a0xmb*rE%m3EpJi6`>dQfz*LGT%9f86gzAw4bY6I0Cp%dvkKb$OZl<n z0dx25LvMzP-1|H|9xn-<lR0ory;oc6C2Q9{?p2CZu5oso=2UUKcef`qH0d5LgqLM5 zJ^!u3K!Tw=fdL2L2poW>{TwfCd4lI0Ol>ZARF#)>_jksc6LmJ&be!4*Q3TrqOoSlN z``Yl1><`w-d9LR=TAe`;oHnG>)4Z8SgQQ4zsq&+JS|=5xyq$_O`b3yV52Z<W#R{T* zl&2J=+PQA`pj#@vUEv%hf$@<$B^w)B<_`WH=;74{*W%nh+SJ_2!kWu3gYw}oq|+5h zN!>|USvC1yFuGxJBA$LUT-Ct^x($nl-JDMkPJ6suKAeiv`BGFhpX+M~d6?p8_e{@6 zn3p>!J10G4{HfaQQmzuR{q0mU!-uQ%V<yeje*Zy?f$t*iw>3VF4jjJS*)-7m?k&QN zFPV}q&sb-#5xOnS)4)XC^vcoZB>=Jnx!ad=)1^;T)nuOmT&xoyk@;r{N`<VS0b{^j zv8g@-32h~t7;wY{U8iWf$o2s6Q38XyM*pkQ`d6VAU-?r3t22L2gK578cxPb@Y{O1o z|Fdd{wpe&T>^PMyxfTh37Y8~*LecjOjg++lSh+gDE>ouG0*DJaL}){Ae`6JHK21l; z76AO$4qQr~o^z^WA=8}9q|AwxMM`kb$j<H8d?R<F35eaZp+CD6&OqKS1zd@p$WmE( zi4-J^6CnD57%5s6F&aBig=8iqK?`}LKlLVtQ>angDs1Lzmw;RW!WQFbCpp6i5Zw4; z#d9Hmq!Mt6S>KgXOk=w{vvW&9jNwnrUXkF8#RV%m`V+vGMQ0FmG@C}v1LBw5ZMy)N zU4RK5$WdQN11Ojq0L-lDhyg|4h8QF>jACL42ZPEkoSviE252)vYfB#E*{{hN1#_gF z805o9WvhzOh{o*MJe·d&bB1D*@xI#L~B|g!rGNLd&-AzM74a&*RK?C5-Xm0=% zE&-rWLyuVaVZq|T@c>&aXFabKx!M@wu5fdG8WR4DY@!bU&JCIW(F-PqTrl`1s?B6o z6zG)+b9FJP8g&Z`1H0#dC~+4MB~p-3IQhf?3=_1ZCdRS~2oVx9@+SbDO?XNDO$Fn5 z?|ni*2g;M>@x3UR70{+HMk-KQj7A$UJBVgNq&dyPR1_g+Ld6x|=st6yd%FQE;jGWl z7P%=f<F*FWu&U_m9gk%80BX34!Ee)QCR?OH$4S(ZX>RyzZD9iKo?=!EyS!s1SKFS7 z5z@r$ZAoB)XrD}Of&sxHVKa*<CYs2gk;q2kSg&r=(1dbdIo%iK38PcYb0=X(N8AQH zltLu)4f%wMH3t7cp_#0kl1YiGH$=nU3}5K;N*X$m1z^+xzzCJaNUdY{wjnb(ApqIe zCep-z$U>nxW=!)PO>1^t&_+gm_!|%dw1GJmyxresn!)MfFpH5O(o}j#^Fq@F=lvi0 z_sI`FN&z%Ij|-jXtLW>Mi4>S5oA}_2`6;Q?e2a?`9q0F&Qgg!u4DnMZLE&aEfcd`$ zqhW(-mjn<wA-Fx@y_|5F#e@=R?*5#wYq+P7c>j57YQ#Fgcmc1)#z~L3o{bw7$TWqj zvCYm>qzoh%v@IFYMbVB{1at|n@<atGpD>1SJ`*S)d&H6iCKc<9y!2Bfk5#*hSa_#m zlUFnn?g7AbeoQ+cS&&M^>}@}s!Ld+B@{qJ^h=r?z8YxI{uDO`__o@5nJUVtBQTm@; z6bg{ESWd1vV0+xf6}mx;GkC3BT8xRKuE0#dTyOZ_T<7l%24t43>AB&KC?rl5C0&B@ zLOUS7@BrcqV4tW1_6gC9G#{`DB|v_-S6s3CDoBwsyRa=8*}TqF2wQb&wtSjr>2@DY zl0(_!!oH>?7M>3pPeeBE0mEaPk#~EFbOD=DiG{bE;d7Qac;(WXnSj|>6|;xnn6an^ zl%!q7^M(ZE?MzNF@$7X#?nvxMDMl;@0fT!u<7NRU7*)XFP)KG0z^L&AO5W9xg~ydI z_g1{!*|691@xIR;Bw3Ii!l<rx`!#0?naUQO?_8UB9ununR!K!O$qktTL;-jMA>a+} zqvOMYHwZ(@-ca((J;tC8a;N7^7IKj|kGHQX-VDB}1FQ><Pjr&H)xwWcis%hKapf7_ z`u-XT{Y0ku!-ZXDx$6I8>#gIWdfvG2pQ0eJl+-RM-LQ0{w9?(ZfOH5bk^(N>Ege!z zBP}6Imx6$l(jcXP)Y8v<pZosv{zLc0?p!l-=FFLM=5xKT>wwFT5nO)Hh32PNK!?Wx zxARkhK-txso4*mB?H~e>oE~4mPbdo!0jR`AO-?s#X+r_KSk|48zY(lvyrp1Kg@EPb z!^E_eh>+)+1AI{h!V~)>D=tg{zb?yxL4-|6_=zi9Rzx76Z2lYap9E2*B?IqZN3Htg zyC?sV5S4(0m`YBrTKc$Q<D&Bq&dPBC%}u=@tD?eC)5oGuq`Ce!4rToxS@qtRudFB{ z3e3ghkHry#V5yk~OU-MY<TzH|3e;j|Dh;=$3U4|K3lZQFa!&iaY8k^jUkne#e`H?Y z|G2THqw~)L)Gn5jy753fkB?kNEylEB+ge4Z0nWiO5B-oz0~+O(Vg9zgiqQFY#KG|p z5EOdv07`)ykkC@fE;UE?4H-=s#4PQaqhT@(^9Lu4m*Z_~Vk%&6Rqg@8j(G2QQyB_G zr0H&#uEJ7YYMAXr_h<0jvS$%Apb{pC`99wFP=>k<sR_`B;v`9o)duepz!}~EoS_=v z42X?aZkBJ~y?=!tsNU~vcQ}&T!E*Q=#HheuQGbj3+2f74HB%FOMWhS7Q)Em;@cE(5 zkF(Q$t|$C$i?6y|H|~?h{zUsSweWu(qKy@PMTv+t3xBHg7m=ET19jYBP|A#+m32?~ z%*2MJ#LI>ab_V51i%_sLz@~1CkyZL@U66DIwc@0se5!ul6+zFszy28)2V;+B=z4uc zpL?6s+qwHmU07PMHQ9~XqiykS|3s5R36=vehc6X`M#f_E_qeFpB&;@9d~vGGXaH&u zQ4CN6&yQFJ_wszcaQ01|;5B$~NOOoMOYH!gbztK=4Qz-2F|i^GY<?a98~^`oTCfZR zl6T+fM=tJ=+pgRA>Ad1@pSi~sk`0Ink76tXk(xJOMtYhEjoMll?r{-Gf>sePajNd` z|7Y`ShkRp24%i4SP63-vU{hBMY|j3(2>~`@bHFA-!iuHLcL%w<*5b`X^m{5UAV*ac z&qL%Fd*27Q&4>wMCGk_HvSCNo`zh^d%fmgHws3(NB`XC~9Fn6#M5MHIK`ax5=-Bw7 z;v!%bA~N=+3lB2WoJeh6o9G*5W~Rr|Tx@+@cr*r}csSq8z`(U0H4eUxs~NXi(@`D! z%)sE9UiSY~bzJ4R)hqF+Nxvs2A$~Rh#$prT3<e(;=8Qf#ty}2>oM8sw49x&%m;;Q3 zl`~*0cpUXttpWgJA+(s|#8k%t7>fbGSWpATqDr&Lv;IHEVgWD~&flXa`dfuai4aq2 z0kJOxap4l<%zfn}2dL~<xzu~93k#J7t}WH509%Im2fX@SRoTyA`&&P`%|I|O7tJdV z5X@WS`Fu%)=3jl7{Jqz&*!y^Igq5FG&G!nx8ucxD(rjT>FKK!9Uw(+g;`Z`LwBscN z(uQ^Vh1f{L|C9kcK-)VV{~J3U*9EBV>cDyW!H%#QNc09Eqz_2+S0JQsyZaS)A3VH* z?futAPqh`7>@I#q$*%Qz1y=}wgGqp!J=u;<34jp}=?|g3AY=qq`Z|KGPR(kqdl#Q| zfhVtD!X&d#fI7u^%L;GQOwH~?gd_Jcf3Dp<`#SDEweX6;#;^R<FI*eG+T-yoxSFF` zomQo?%j3kW^26Fd?Fn{tTOc4sF8!fVUjuOrtdx%SDz2@|p`}F|Fci%Pi%MT72Lu74 z@Ku144>%%N0B->xhFNa>qi}1dD-7-EgC)U-q|#YuVLpwAA$B4%4P!lQ>1~)X0U}GI zt#vM-2qBCk4<NePYfp_?C$iff7Ds%=5meWc4p@q?6S-^{lYN@r2Dl3%EHE5TM&%$j znG0$3XtRJ5mt`0rIJDE}#Xx}LARss%j0WTYFNiK6O|q<=_U^bxAAIEpjYB-bd{Suz zffEE2%mAo`z^Ig&^@oH?ZhYW3WvIEU6u~xRSXU>+{b{44$8whG^~ob!UgWRGP>(s- zH4QQl0Z;$>y@>l#8(NXdm(KA)<*li9_eW30D-V17395>bo8aVy{vQ{Q6L9hL3eY<P z7Z2^IT-D1=CrhG}^`Mihfw-#txM~n^4w`HhR6|}$W~xNX-Gl-2Twoq!NBA0qbJG3) z;hf@6!64p%<9OGGVb56}6GM625(5~<{zDiOaP219(ec)e4c-T5Ww?Pj`{YeW7PZB6 zt!DYzE7_;yaap6{U&qlf!g;ZA2AndnjWy=~11YQnz#&$i;p=Wv7I)t7#4qlb$<Yf2 zmY}Q;02+E2aF$QfDi&tm5WdCqFwj30Co2%mh$9X>c|J~j<@vmzyX#}o#p)wa{C|Y= z&>;q#FtH6Ac!U(d8xjg>@Zg~8@V%rg3YkgDKq0Q_<!_T84{3kfufif+q<$xcQ3AL> zS)R_qb7;^R!2P|2#O|lh$4QsHp09*~nvK__0_Q7VtSR#<Qs;|ptO!P!1*|4U)YAOp z&mOgYCr*RMZ<sK>;UN?8fMWZg%fYEN+3QnXqPz$NP<L$z+l<f*M5;jDg+<)w{;d^B z?)Q;yFUaUEi7gFo)sGB4p#++5B@WgE5iV7miD5~A#)y`uQv%col<*;Rw2*lH<heQz z8^AV-KwqLZxxrB~6V7LjG2rNeqvVW`Qd>_<*a1fwCeL7h`>!SUwjsu^*W9(M)VVgj z=2y|3SLTel(g!N7<+*XR8l6ODVcyq|b^3uy73x-bAT%4uH(Nlyng4a?#ir1zVbUmC z{?yKPE(ImPzJD>Bdn;98U#G-2y7)XL9JwrniaVy7=@F0HU8_<$^-wCl6sy+We6LX) zZj`MxGbkcpRHFURz)26E3zXcPaVqxH_NXm|q&w(^lu*r}1>!hATgL5L6)O4EKP&dj ztkn+WE_IR#Bc;+``KZ+7p;EjcR-;WzGgZzT@1#ehEQCtvok2}gW$RzKO_kp_6-FU> zsAdN2K@};W3hiRQxdQFLsxlP!vMQ*e0aT$_ywHl$rZodq)Hv%AiGnJ!rB%%h&1Xes zsy=V+HFWMa#EtX7a(K?2AK>NeSnP6ETJwhK`aN0HJ@4K*q4b<k4fJ=gA<E^2SKz!T zH%tZb(h$5yIeWL~xwj(sOxmX#fkuZtKSH3l;(Ok`fAZ4K#Bi3KZ<Av3K_1CC+6!RF zzivS0hRSGznY+A~kvVR!Gni81ZNaL1-!Sup+YIDcih+1~L^1I43ikD?hhtiM68|>~ zd%TtvPIkE`D}AD1^+bLH0x}?7o@4&o^kyj>d@d{cl1jJfU&d|k(Jj!Pt{>tL#?w5` zX<g&ZD*=36M?x31;-av!>0kY%oPNG)iu4KP&=m%9d8tU@uJC{}!_$Qgi}7k0&3YmT zpf(m8W1v>Hc`hBud}r{D*$$4v345UQY6$%RTtD=CZ=;{*IjC2aaqbB0M)^qDNrx%= zJ*m?o;^v+JT4vMl<~o(iMWc!t+Nz0N-gIXlqS40ogc1|!FORF~Y&^x8tAAuS2orSf z&fwUp8^y0mxn)S-QIJy1{WRAwe)M;tsm2-m#?M2oz&&9%gm*x|mz4S;LTg2sh^J$% zFfPNzg6G8g*r`}_l;+xY%KIqHj;;%=^rGnxF(VDc?mp+9wdt&|u1*k9A_*c&zA;c+ z{@<%|EiobiM3jUG$@+9gi%yG(AOg9E$_JdD7{4r___14Y&19P2Ge;*y4h4TJ0ojFL z`nG;*xxYM?XteVc_SG~Iu`zGm>=4hs$SZ39NA5e{IT4>+x(+X|{wVR*^@8t(UQvH$ zcXt{w*_8P84An8FU*aZYjh}7P`HW#jujh@oW3P?0CIk<%S%_qiYUCFa*=j1UZxWfM z3*7Mvu5vVF2*Cov7g!qz`_WC0W)$i5zIbHCh!{)Z#jz{fo%+P>=3kmwHtS=PwLlp? zQWz-QQrAB*R@6FH_MCnUMAURDCUe)Mjn+K3{V{UrB*1^#uW7cUZGz)CCX9kM@RzYl zX81IGVaat_Ez)TAWZ?KM`0D=qvd!&}DsCg|{QQ(7*9`oWNf&`OZXCz{eP&H_Qhy(N znSNMi*m`Xn^x14kh420BE<Eg3`c%Q{**Bff&l4d^AWsNcN**!<#Lq@D5MqP0AjILm zAb}WtFNmKNlb4H~NR%t{Fw!kW(ycy{=cciXj6>9CrF^XS@=o70F}G5DyFfc7I9HBy zKf95`7)%@2s_(mm2F^Z|&W-I6O(wqvC93JHIt_^G84O;R@G1ndT&nFSlXC<~-8K<= zJ30+eOFQ(K(hjvWubQ`I=oL&lja76zd%42YqJI(SgLRRjY11}QDn$PNy8rFD#+{bz z<N?Xd`3u|qU*DIev-Hi6kLXHPxviNBY5r)WhCL)WGt1#Vug0yBfxM0O`bycAcADpt z{_wOAC*|TS{neJ{po;PMKfM<(vybYfLgL?XK8DR{X)6ipHP%H$i@YAxq#*YqTZ!MR zcz7QH(uF~#>-Z&ps16;;p_~H7S7bZ#I6oS8(%9CE{V3-$S|M3y$BS2mD&uQHl`B_T zQ#~7xVm%x2<40|p=*G9E<BVHzC=Ru(WQcgi)(hj>q`ct~5xn+-@b+{2BP}ad@byYz z+>VrYU1S6=#Th$~I;RoT@-+~AC?~o16Oi|lN(~f=wrxYgB<9f!xh@E={iUc4xvl2y zkS_+(b#>}QE-q}dwg}}a4$f*r*Jg%{Z)Yg?{q!C$00LQ~DJ%fQWXN1R`E5@CgTlFQ z338pil*Zh_{13#$<FEFQ!~DbkG}%5)wtLZB<uJM$c(bJL^LLaQdnGGoe&+9eGLERx zkDosUey4RzDe^9QpCkBe141nRJLleG@SoS$3zQ%Fwc$;B``wfJ)4;rnnoE73lARCy zN9+QF59O*c&n|+J1^1cSLOPj6>&v`TaA?)9wUfHFXVaBX<!I$;X#ba8C^Dg%KN@+; zA00<t62*r)Bzfzb(+6kN5P%D52=H@jG{6Nk8u+6*{ox)}vD#3Be)j9zSZy_zSZ(eA zy5hMx1@dezDZk=%=5T(`<dAyL<S0+iWaN=&avW~Sc~RKy&_Tt@O)+H&3F~`Up6=Wi zLA-2V<K2Vt)>4_U*R{Gih0?<W-cQ=1;*0iY0<<k=CZ<vR&O%W<77x#&=yOv&#w+9I z!w1554d(cQ8YDDaorapxZ;y2Gw3US=npP!^a19EIF>MMTus_fcKb7U=2=UOmCbQ9V z_@z=699EL<w`1aM@M+{J(_~4UUU2=(>Msm!w>IBQP<PgCx7Nb!tHy1_v9sNkX2Gnx z%jz}V1+uKl8*-oIZW2aLUlv{-=<%7y+;cLxxFmAvy;6Kpj?c(+e`1|n#%`!X{QfV? z3)%S=#AZRFrb)PSc8eauv(lpjzwy4R$<b%k9$A@R7Py0DPadkw8lvM;4YSrgKC}k$ zq&Mq$jVjw1bBRB`sF_fVQuxT{ZNOOQ9iE}`Lc!grMuBmgkSq0h>ZLpz%j15+N$n_w zR%J8B8q1h873Szb8~w_LhC4l@d)HNmZ28B*XM=Wk;+Pco%_Z*J@ize_-2@Ph8&?~L z9e4a*mEc9F#pPm8<o9J>rS#h~IO?P&VbYx<`imiDKO;0i(X<f?L8t~(Lk%yp`ASuT zO}C9N7nN$iFBAG@+`gVHJ86mND(Mb9;i3qsMMNvY1-C65GXrq+FSD0*OS;vixGs34 z_-<U+6?fcm_*8>GI~e*^N1Oo*Z+40vbZ^nGkpje|4Zk<%jcb9|jytKj3TVOvG|>W@ zX#cN?VqXqwqUiC1m7pU{%Jb-8zgE-hslfu#ZoM;{HO(VtwdMTO$B@9Ff13?YxtRsF zX;hBnB6P4N!gW|B-s?~-MCgbtAa$fd-G$1`Iv$lsNfDI<Nck7*!Ld5C1y`k=g4p}v ze5nF((NqC`w$ui=WNHIHSL!RcVCpM=rqq9M>C}Jx)#5g+e!{99`RW8E(9-uh*sKvc ztgJ{K3K{$o7d1!;joPCUvsj`MsaX7yfLK>vXm?B&%hJ`rdz}Dkn95Ngg1uifx-b<< zQb<NmEXRS<E5|`8pUvl1tn3wfKDYHg#%&yz$UK`=ru}-u-)=cN$mcl{bLw61?BIY} zsa}$EwlXRZg&LKMW*SYeT?Ir(3QkArOtXqXcOTPW2c@pSweP|j4xSSp8QEtKh@7^e z(`y-q(G!?iyX6^E0gQ1`#Oj^K2^2G{kPsF8;=~B^TOlFtj%jjz<xcIS71RA#nl31B z`{>9*M;Gdll1f1TV@dr4-jTA+fB3p+u+KD#4qrWrj@q5ok0vvUj#8;;5KHw0GsG;6 zjB0){e;9Hd{QLxdeI7-Jb;mP_SfeMErnq%38XN#^iD4oX5@NbFPkb?-6%rD<)t&J0 zYgz1i%WB-&{Ghj-lZX{iJ!$ZV?fOGX(8ltACT>N8{pZPY4(o$2C~#`ojLkZdY>y68 zm}3(1Z|nB`>(ej4r1q>)4D*Jw@Z2`<U%jY-{3xyG-&a8h)(OUq_r(i|;4e<ixwyPQ zc-0BVjrTVTi4bK^%>@J`6;(sH)stZPOEQ`W#9Zoty%mBgJBq;3h2lq9{k&1ez?PLS zRd$TEqYGVlY5fH>xu{x=TQ%teMm9u9BwTZ94gvwK@WbQA(eH#rsBfm`XiiiSUX!A6 z<MR1JBJ`cQjcLeD4uN%2+jC&x=IDamURwWQJ9)sq(2I(CnXj~7eEY+|R*_-!_JUCo zdeh1dFC#{u!;H?L%;UmnYHiQXGR>@ZRvaUPG{1h<leVTl(OnAfT@EPT|Hk~&$TFpe z=*8DW-3CYFywL(-Bv0fw8KwGi9qey?pKwuM4$V3;6drZxcFS^g&=0bA><zGYw19tM z1MDIa{p^Gi1MIvKee84#gX}U3owC@2U+^Ww0$8O<i{UwO+K`+WZMB>PZEmwjZ5Ojx zZ5p#^Z8NiYZ7H)T?Ete9|M;kk6IIN#508>{D_t?Pm83Yc6;^E2N?ttIN?R<}N?P37 zN?q*RN?D9))x;T;vuyu#)V6jn7nV;)H^7cf*U!#MH^@$*(a$cTG00As2Rf5CLEbbO z(e;Mrr1YVT=tO8lUgJvfAoZGgN#w+J;Q>UVX<`UM+jgB%{|cLa$2OeEry(SGc9`{6 zoy`=JtI>RPb>L)+^QTeR>j*!0e>Fp5e(<h13Kc@w?tFaj-`&hG<Y3Phi{63r>)_}a zPZzDF2x-299)9;sxybF)xZM>|s+s;;VN^tdFe<^4<M-M)O6-KLmM$lP0J3fpc|N~R z01HT8vC0G&8C<-_+D0X`7d`zTBF+()5NC>%hS#21OlnNc1Cv=o)E-iQ$&CqwOZN1@ z*?O$4+(LW%qylBtV<)M(V}DS)#D1ryiJhi4i=CjBQk$R-P;)slj|<@|>aLi9bsb5o zKk28gvGc>~rQadIzSVMvAL00gA!~ODL~hmGJr0FCdld0IdwPS*L@^kmh3C|mb`h)~ z#I<r~$m-MoEIut+t$k~<6FMTVcDrZtYarjr2Pe2_#yr5d(jSv-aDFs6lAUR7K1Ow? z8ydD6toJpQMH&0fIT^Vctl9v@ET?d@j-+r?a3*t$aHen*qLR6J8(vTl@uYCen6UIM zzspa=?bfw;(E!(DGlpF2Cig3-%Q>q1;u7M60}W4E@vveOGc&>^B)ano?H#-;%CVgh z7Gfu&&Ix2f#;vMRWrs{Ty0B_=GSF%3y4KD{9p+4z^J+|>d=t|Qf43^xiIuuF-q#O! z7SW9<*RU6p`~9myip?UxsMthI;U&*m@LE5Pk3esTXzGpJ^RHH)4PMTb&Jg^Z+GYLg z<b&VR)E;MQ@~bDX`tMqW!TF&=<&|$0c&X`4d&cp--6VsTzU4CnQWK!CgAcwaC`=6s z`+&k(2In67l~=7r__a}i?HSoHvmd*VjK=nm7?WRp53Ai)I}BPpH7X@D!APpj?9!Dw z`p{4LtTxpdp1<M>gPG)7ml}w|RV%YIt7mw|CU-@)z_1@Tw#QtwAI{Acr_k>I80LMw zV@o9I(~v;xejRuHAUv^G&<8suMIDl|q_s4stp<Z=uG&D8&~{d4co|Xk9A*>)A3f%w zxnOb71B*itSR5|F;s8zj`3ze|^=tGuW-Q%SD0Ybl3?qab?Hk%aXJ=-*G{70=LE6)X z;hq)Slo*C#RwDTnb(!$VnD2Ejs(PBi`sng@{a_?0(P7lem6N_VOHT%?QujiwN@ep? zoj}^RfoMG$oKf8iGj7$*<2s?VZ-dD~<MviwS^F5;cCoYsYi-W_5M)IFE5*l(@F>3T zbKC)W1NI1As_d{hM;Bg^kM(bj@&~pMzo>&P&dO$*$S-OO9oByQfaN@$kt>A!)@Z^w z&1Ybl{A#fCNx{VT5vo77*@h}Lg;b`*LM23RjOX)(icOAsHcZ_|Vpq?y*E;*|<Kr=M zGItFWs-_r`5v%CRBeL<lT`yA_o<45Cbm(KBFF{yff4ezka1EaSqoEq%a%zBiJ2S%N z4_J@V83$|aKl#jGuK5UT%i}`=v-=|aTeY%f!NUtgI;La<r&L*Nc%pMhb9Ch2@RKJ* z%aAe{T}M>IQ;MDTf2c1Wf_PB34i1?p_GkKD9P|~5tDd;cj<0338G5Hy!c=Jf;mdH{ zj30JDbtVS|d`UC}I=It2`7JX(CkgfD2H@*OXz=v$5z`L0JR=jNalH(W?bkdwk@^+7 z0+H5e(Q4ptz01t{-1BEJ`^ks_58+b<hW0#15^RV$`k7g)7a80e56iP6Ui-_$s#?sG z`}c^SiU?f(@yN1PW9JF7LAWrF!~g!-g7g!qc1Y2-hhoH|M$6<4iJz9&iKK>M$dNvU zjTl(SDd@|9jfvFTzSEr~q2=E9-x={N6~05V!Lt>@=hm3n%?S1oLXCcAThD<9l?j%F z`W0DrdF^<|)J7FD{OgxAw`K40E~~`bn@|s5leBHmC}PQb|Jc0Nh&qo#QIh6`APruL zBK|?tN7(C#??r)c6u(0x<sB!xBpDsM6mUxvuWI5y!VJh4^UD;Smw1|<;uMMVDctMr zpSmFV+<r(d{-IRGEQkwgD<2tgUTu{~P`_(tI{Bm(la875G8z_c<^lP)$lqK8fM7MC z!(qj&!(q*(!(nyIbnQBU_*n3{ZpVm+ZZTDBp|-l&Y&k`Za1@b1gx*oL*Nk{h02fp< z`>jvSW3~;7@(Q$P+e0VRE^4nKAWQNrJ=G_$Ic>X3c{y5$XI<T&Im`1<-y@<J_GznB znv6g&M(9ruX!BWzgH>>cgN<c}gSC63V|IUmW33YPlOh4L$#QI7XFbvkArvxZved4> z^cS7035C!fk+z4vQ*LRd1=EeW%jpfgLat>kVQK0~I5_Kt?wbo&ubF$li@Nde`<n(N zn(Nb0Ulq@e1g99x?1??|v<Sz&n9+Y9(Pg%`ve<);80`ran9|e!HK(O(9`V|pvR8+= z@7%r7urP!Wj|_3SxaM`NJv95OL{4N1wcEVSn!)&OZ=#c|CsgayuckoQ)_G$6k4?;I zgO-1K5mXy_^3i*W{G*APL$V5YR~>%B5+}D1y74}d>P_*x;69v@YZb9R45l0|!_66+ z5RUiB)5MxkgJZ1!1@f)fBy-b?z-At|VWeC6;CKsT(#w*wZtZp+yikX`Jx(DH^$;mH z!{ulN!FS}DW>i?&6%KbVUU5YKxtljlI(w;erO6#9)WAKB>#n%aU5@7%(0J4TM#4K) zm8S8o)#2*5<HG?Z`C)~tH(Hq?XLy2>8rBpRN{fk6XTgPVoNwe?Yah^3QgO}Q(4+;M zj{(+8w@je(i+!*vBdKckn)QG0tmhXkIj(d_;MT^RB;jw}gM}6Pvi9PQ>fe*}#!T^` zYe~6k+mdqn7MwNSN6yR!M8UZuiR<s1GLnREk}w)r$FQL5;5ttAUxVm)nv8O!V;JkD z{5hGz*|eF1>UQg6p>&E#nEKKPfwgS;`b#e1kVjkl9@&vvym+%Ln4VdV$JUuN42;=0 zkF9;73`L5iD(|gL#8ko^lCF{jVk|fNI-f666{*uHzIx1aXv8ShO7ghzoq}&ml$mAU zo&Cur<E?1X^S-<GSZIx+D#zNLz$<Bz+t&vHCE|08%!d7ya_{+c7%wszZ~rVuJ(i(S zh>X0$95$(u+<jwYo^w^QVB!72A*XOHsrugCnMpz6PKEiUr?Ocb@g&_j3p$%$PQm|Y z+N0$I<w%Ew#mCmI<P1f^ZYu9Zjo(K$xAKxKS-D3r@}Ytxzf%<LwlKO{M>V(7d>wr3 z>Io_}HA{Wei+n`l>S@6U`OT<6wq2zF{i+b@5Ht1IS`5_0qoMMCRRPo_#!C{8AYois zD_4MCc9T*`DR^Eg-jiR36C-y>A3{2NpOKXqlEF_PSlWqnU}2MnC}coM=w=iWpmf+p z^4|E}3MUaYt3gY8mLGchO4(P>Qxza;$&pdR>PW-`PZ0x*$oyVe^xz|;dor!r&t}Rl zh1W*Ru2v4rt~Nu9$Q@x!vgDCZF6_hrDo>AuZCAocZ^-NmyU{L?fX{Ubxb~;t@BK_& zBQb^ws`0pmWqHCYG?~PEy+dQD9k7RC_9B70t84b{o~VZ`hvU~36iymzKe80fWPe(H z!sWd@rAZbXV`<&qJbwNap1)G`jqi!Lr4q3)#hWiIsDU+&R<#+UpCmDnT#?iW;+`-| zKW6b>tEL^r6oNu{ua<Cz)eHXEjcXD8J!)>g8sbv|1DGL)>`9cf!jn+p!AEN#xoIr0 zU~jT#yqhGmhZfr;T^QjB#yWH6sEHyb7bQ|Ps(Nz@5j%bDA7Yk7EXK{foB5`HDq8L- zZ17&1ykvYcIV)1x7L(Vk*k6?IS_1DCA&;?W&P78cv+%+`L2pdFmfx{kK{JCXnTc8p zEt$t(vUYjmidZ-GOg>mXMab^FYw2qc^K0+7+#*s@sq;Pa`AAll%p6pR6`|RJd42m1 z)h8ce6CuReD;wvu@=i&(-m=(a?oE6Dn}1jO#?|C(h)!>T{P*DLTt?!<H&%%cn%rf_ z2V^3nYfzWk?=-^tbHzf+J5OBBch+((Qt{ZVKWnr^HVQAVBFa+R#MHkKa(Mmt`D3x9 znNS1Q*VH}5hE67SBhb9~AGJa;)m)Xfx*AyDOJ5wt=P233W!a7uV>Pz8sB*_LM1l0? zQBi%YoadFgwc;vm>KLzM`Ym~(-FsJD*EaQ=43t0A|MBOTK`sWP5c7VlLSk|pIms9t zIc<v&+J5=D@`;A~v@xp1MLPY54ZdsIHrN*IV|}_vW8WG$bprX;N(kEQr*3A1c0bcG zBYt{ZwG)b(%d)3)?X9|Y)#kZe_gaq$Nwj><?W-RIr_d*YOJOqlnIS!R>y-vbV<@L` zcm3L_(o!YAT71xxLk;BTR}ZP)J`7jpBK}!tMD^#<y{a#ilkvn_lO!U_F*+OMnN&O~ z+AFlyevL|M-tS>}f^c1HRs+s4G#+x^s0NNRO15Q_h?auHzJ5Tl^XN^HC)w{f<JhEi zb_q1CxbqUp-;vli@2~I#57n$$!Rn266u<0`_fU)vs$lw=&h<nBowxSF<yN#hi^}<J zNj6IHO{SI<+K_qjdU2V8=+i)Xz>E4nf8@Byb7M$je&3Psi~XQg+@jt;4*I!qY`pGz zL^5iW`?3qk-Qw{8XQBS$_o#FX_Z#b6p$5#-iw&;HHx$eHkpWDXHEYpg*U0Sv*QV?h z8{yAvcLl1Mv(qv9Hx??ymFI!|o0cc4ljpi~eIYi-IIVWBofw}e&6opXVfn5K?ZS|I zoI;b8kEl^z)zP1i`489=tsC(OVs#3mk`BmF)L$mz1I2g_rEz(nP$hiU@b95WhDIyI zp(JvVlbDeqR@;{bL578eAS1<E;y5XJ#<2u4e+}{|!i(of@SpgK;jb@U^fugS9jjpH z-<%|j+#<%g#=i9BV-NNG%@1ta9~EBPDF~GeJ6rou54Uk(TdIGgwYOmAgK!PhC7kUO zM35Ln?5%y^|B4z%f6fT_?qaNe?1#PAhmmK8?17f4ZIR?&i_l`YOSCp5fVY&tP=T&h zlHE-wN`S^`4NE(3gs7X=?NVo+{(+7Uc1$)YL{-IDpSpiJXxOS^PJYwzQ0ynE=OcX; zK`HWsy7cekM14G@>mg`Zx+poPQFOYmsTpMBoSX%ND|6(Z*<X-qqZ2TWwIf`0l7+N+ zJ<Qe9@ufCQajmn7eZ8=MO~*E-HT~PJD%rx&P5_6g1=(0igWZM8ZxvYGlSOen^7*e6 z2hp33@`s-%J{7&QHhBPrsYTuIYVzIVva{s;Uw!Gfl|r!y2uZlIHu}?BZjx}elFShz zo|;G?_NGfbrk5}fW`i1JSfu3VtKvKS<W(#xkHnmisV1=Bkod71kThDj2UhOy3Amyv zs<~@>sQ7sln&=DNE9l8*@%kHL(|Hr!D`j+yGuc($`#DFxn{%o@!?!c$N<&69cykC_ zx_0#&D&9*ldvI840&XMDsyr5^4o5YQt+y#yq_Q=@rx{8Iv--`a<mk!u$?r>hD!*$l zELoUHy2SnEcWzD^@BrR;w@|AGgOacS-aKVjY=~tChsr!eV2+2Rt2r?;r1LSq=W|91 zLe;(jk|;4T1`<jf<~8eXg@FASgV2ND#T0bcVc=fJNrE-VVzXu>gdjC7`hxz!URTe9 zN8^%kpHi~uOYc|q!}>jS{*?5082I#fzDnfknPz_?R0(Eg2<;U{!dg*qQu82ILHt~D z`{EQdjkjKA3?bg}goU-t!b8<4VnGjOUOM-l3_OHyA-ro-8@hZpIw^Rhr9?qDG&B$h z6K`c>qI_ewv6>zm@bl3R4OhX;vr;3Crp?vNOZ#^LIb+3mT^S@#xqI%`;)G<S+Q;w6 z{yg0+TjQk&_Ojm4#L_%uXv@dW122}3o*P`sKSD-gJ~#w<^3QDr*R>Ue62TIJpiKNy z@hK3gs1#q1;Gq_+RK5I7E@DrimRtH$!f+p4LM&%e8rJlib)u0j+P!k}2+zP<h92~U z<giVFA&>*U1!FYkEgw9cGM{GJG(x3ZL~F588&##Kb6?`fEGpS*H`CDX=iek6K=TIK zu`T-8SuJi8-2=&4*p<Vn>sAjCKCCBAN9_zJK1b~wC#S*Rz(qz^)Dg}%($YcA0?B5v zv8q&1+fR=~nm?|u*JUJp{k*UL3|`?dbtuu?Ir{Q9V?{uTRL1B()7PZ37h`H#SeC@o zeM+GwbBDb27!{$rj=Q4#(OByaG)Ai!PD)B{zbc5F-=?!}YHKEl%RHj9%u<HEL0tL= zC>o%9e&axX2JoF+avptNfmP{2dpVIXqiQ&*Gnu`0HQJ?0H#6+?0J%`7LiV=TKj0NF zNf&^^?ga?8aNzmsDhX6<P5pyL!DG`RLp&ez^II@dus-h_=-cjpn-F!MG`si<I-R|a zUkZ;VHu63tQ}LJHa`r=hiTfD)k5ivXzEFN%T@1sLp;CQcSgQazNr{ZQeOwQJ#wdcV z#OGF^N|w1z^^l@n@!r7??Ue#$ves?bLpndjkc6eA<fyV197XP0JAN*jRu@+mgK*mU z1?(z6!`QHghbpdtr_}1Mql?#K8IGu0w^J1+joWLWI5T=12$==(4Sc~1=Q@ZQ&V@r; z@0y^)eVt;%=n}Evf&SXbCXV#Ym2Zx}*tc<PygwCK25OEOqTE)8XC3+n!l3;<Y0&=u z%}hUE<g1)Wjxx)M^C>^``pNjIY7;}J8E5axA897162DMB9r_0oe{=^;PhaQQuA1f8 zu5;7Iwc8k<^-nj?q5KgiHkC^SrcGbbh<4<z%g;EVK}Kqu<s?)n*Xt+rr+xxug6Oh7 z^y@l=_r7eEJgAuNGe@@n{A@AYv$=HdLDQss;aXvW_5<OGlmgb~$J6M?p$kSRt)qz{ zk`fA;ZWB?o^BV4I(_v(R2;GQ~@8ubVEWuA!cKZBBPOCDq7mo_G>izt{)X~IsT7${* zNU@d2QR0yk@#iv>hj={@_RK4w;3b|O5NP8GeHlYZI{ig1G|T9j7XC4=6Czu#UtVwh z(Fi=e09%Q4y6;h_3G@ZX2ujXLH<qQK6+Zkx7>+DpEd{+23SG#onON~O3H>L{CQER_ zP#)FwplKqZK;)83PfAWCvj8Ih$jORbRvp4rj$D1vRBuvf6T9$CD?ILja9vaZs|;H? zlJAid;*(teP*Yd|L=xA@ic<FCYf=G){p0BY?hn|Sb$o2>y?CKiMlZBTD<6$eTK#)& z6{Y>RNUop#O?m-@4cBRfMOM90cjU=Hm&Su8e)&S1{Y5#g@VB6w83n9c#M5Y((1p1g zEq=xtCiY$vP?NkCX+G%vlhA)WDw(&sh_{t1eJlNv%j{Q9nbGdjLk6oKd?Q51OlJ-B zTdKB3j^A{dpN$timhh;0M0X}rrp=S{y<9|N0M#Rk>!8>5@JIP|{Eu>qbVohvQH1_v z!Vd?%rF5#9FA{N1)?rHE6$1w3(#|nTc5^6g0+*?Biq{T$)RG8&em2dCatNs~D&(6G zD(VG5F>K?U5GqxiTZbR0(yY^!gyE*e|BmE~O)@LBUB_bd`*XUDiUqjAraj#WY}x_H z!UaH<86*8F5;sS^@I|V$gV&&@GVnPmjEWnqM;Yl#fhNvD6aL`KX|T=j1QuPuLYB~3 zFPsT<QajFREfX*=W&oQZDZ{3x6#u9VBXrWk!U0v?fKJH+K192U)4p<#Ds44ONx1HL zah6fJHV^kixyaTa%7&M^RvUKapojHY7!_p>3|_~L%zm#&W$qY&CiJP&YTdyP<lqNC zaCp0t!TM(eDg-ihRD33_Bzz04WNgur{z^ca@au3uWck|Hvj5w(xus3g>^-<3WAC*J zrGm<7k;>ybS<ihAHZd^x*c6v}`qyx@%S>(dC3QnwCM>A<Bf}!9!j(|4*Indc6`|nL zjs1K+xiO--u)YJ;pd;lZekZMCW%LXmo8o(Dl;Q!BV(6i4M}J4=Mt~oS03O}d2NitC zltV}@y+a5eE%>Bz=ozpu@u-WTFxxMENVMqCGiV^d81O>2>&uXpBoBQ55q($qdq{k@ zDi?&!h75}hJfxM`kl~jh$f#f1km0;UkWv1&AtU;YAfu;@>>4M>lH4i(Fei^sK{yc_ zC*QcT0_SNJdyY?cHWiu>Xj==fkKLe1Px(23mn?~i-QZ<S`q^une3dDuS70C|!Jq38 zX>v5tCsg$3ePT~56lv`eYh$GrYh&XbYh$e!YlE<jU9(b%U9<6xU9%31T|>~)(qQR2 z_VkgNtb7(SspF5L@SU0pjfrYpvA)lPf%4&}ZTiHy?tYrPbKAampFvOGw$1<vaYlbK z2jpa8K>!tFdC0{BVg7`IfRAA55O?Z<R2eW>{whyg_UCM@S3?q}qbB+vllvJ}$<fE8 zexEh$ivIeq`onls3Y$0X(>{h)47Zix+jxg^Zp!7JRM{3Nvd}-)ra(E?rs!p?O`##? z&)1^w?aiFovIk;Og_=3G1@1YvMV2|Xg-=;FXSK05z6c*S{7Itz7?R<Xk4NxRuP}<5 zvk|V@sYSrsMeWDT_O4d1#ifshC2SYMTbZ~TjYnXnUl`@g+2~;&(gYhbV31cPr`j%% zBmJZAP?!s$u0+)vBAa|+GOpxx>gM`y5fnJ+7}KNM8cdKPlAi|eW!6hrBR<YkBA5kA z1B*}3VXY01M@qLau1qBgLSv8_#f10CL(f_yo?}e5?C|?jD_#lCF?k&1g#+KGc1YgP zY&pW0+ps2H`6wL^7Cu}^{!IV^I>Z1vZG;q&(N}R?NzzdvB?5Qr5VXrr!^|*$JTFf} zYr4PeV}pO*^!Mf|rdPYWIQf>O-&qcIn9|*}DK#G+I#bQ}GK^Qgb*B9>OhM-;@ViO; z-|+-9-4IgXP07P>-ls$ae;F9U@K?{D%Hj210L&p{wM<GbI?9wEZ`q-bJ)rnVxM2cY zVycPXQ#$~oNzU#4(b9UIGmrph(6OhV!(>I2IglX8F!{dw{`xBUn@?XnB&0UM)c%@S zPx)qd2e1=90_e58PKsh9F^b|jF_j<r%jmN0g-kKkX1s%Owr#_?R$0s5o);z_o}m;{ zG{v>6>)ZZ?x|z-5km!$l@WW2Nv>RC<GTNHjd7QJAJm}5cdLOblj=MC9ALUXLU5gGf zP=T8f)3Xk-L1-K_GQ}{usW+Z7lVkygUpKG!a#qm4|A|LG=#2(uY2&!0m3UDrXli`e z1Ind^95}p9+}cJbvD~`Hr|XfxqsNfNEK=;(cN>}`x9s^2Yb|qmp%iA0UJNlSDoo&) z@H@3>&gUD>KFnHP7asAC?h`XZ|Kf;a<6;qIkp|pnXAX5f<+CL=+w)}zTQM2TB4yRU zUWGhX@1Z2m9qQ(#|Ed9A6P}ZsO^V$v#HQxW#T<%X3o=WY`6_y$znpBnkAlg9;0N8q zG_6^zCK>wWF4=h5(AsyPyq_qW+6gBpf6fldx4!g3KdS}hyJXjz0&FF*VGnS_3CD4< z{$!J~<~>Xb<e{IhKte+IayaKg_h?)-4i(jj=bwN<!C&}#z4tGPHm_xi>B<qbS^#S4 zyukm>^tm!o)?<|bRH6zhNq>+;@c05h|8l-%q|3t4IM)^BGLMon&9APitqNc<y>JqV z2%<j>QT=XW=Vi8Y)pI`5MXrPDcMqCwsc?4rHNiPs>VxecTr3RVpQOfg7Kvugm53V6 zU1WFjTm=njS(<Xuq&1zMUejA-yZ9`+kY5HI64?b8*Uua&%KF65Qg=SZd~TpG|C+uT zw<)|z;~#ivITBQUEc|jlrFqNAOQl9y2IFoQoNwj&wbj4%59e-WC3ryx&6|yhKVjXx zR~p(CRj$9<r402aOACF(ml?gx1D2@FpJK8b4pj1V0`Tcalro5JQTx;l70rqAzlp#t zTK$DfuB*A0WZ;*U56LF%7K4W+l?|?3&R%h+O~OiV1ARspE@wyf`pcja?-#jUoT^;S z%|E#Izo{bV(R3^5{9&Abqn+*2wd8Q#Lp0i@EXK9xL{(`T!$+`6J~(<VpBwGn#8W%G zqDV#Edn_b^wfKEb%_Z=uxAJ?J8U3c8!{7z!OJA6J2(p)nnoNeYrq~%z6xH~toOgG3 zL0**L9HNWg2f^L@wR+h`oYP$t@BOB(Y>SCoeh!>>o1SH$1efD>o$DEt?mQ8QHs71e zbNO?_Pa41Y_m=JeeWUNZ_m6%yt=mG^^efJM(+i4l;TIHGl?a~G`G1^C#IP!r26&ZP z1Jp>?uuIJ5Jy&<mUs&5hxS6XfttfXfflqDfZ%l3<f$B05LHu~Q$g3FMxA_N;<-45d zW@)>VV7+I{hkx8skAx%eU=pZ_XE@|p4z+UlfAk#IH)}T^AAQ#1VCmijI%EXe#TzVM zZg^gk`qp&q>|@Hmmlbd-!w3Eih!7yD%Q+V_t6es92W)o2$3I8scH5dV|B8QW>R`hm z{_REQlLMD27qP1#hlL8Km1Dg8Xs(9S0EKJe>O)z$68|B$6918qQvD&bQvH#blIJ12 zlIPLkY@v7!N>-s;z_jXnm~Rqa|GFa9`I;i>n{`F}H$gYK4c!hDrp?3pA_lWt(TeTW zDKNJkTP)Gwo3sW#;n6mT+w=FUm$ls{XPe;7PiyYXeQ;SN?19mtJ~5avl#7WPVY^|~ z7;6@roD`8H@Q;5@bn+6~$~rT+vgf|zgWU^<!JwQ>r1G}5)^)Ki0h~-YVYVAK1+h|) z#H55<oj^6Sv|WV#gr;Ba@Pwff_T|u!f;-H3n}vy5+HS*Yv6gwmrZQH_B`GOkygT~G zAX<pyO^kb8$*eIXe{|>zE9}D@I}<*&tu3N6mZmBtDW*x_U!9VYjDhNDJ-<FGVf>~4 zj*m$GFT1{%Ua${FyiE9`pln4f4R=yfOg||5TuFvP<<t|dh)PK5xxeE>*c$+YWwJ4m zdX@Y%S4+QaiFon&=*Zaj{_juvZ|&p>y@JS1hVe41gORl{4X46Q0TI%s#R6lO`VOh7 zGmx)$oQ+$08xWD;swr64#4fhX>@KT_qYpkca5fVFXR}}RUz=Qm^E!jdE2HCj)(*&T z@72v!%j(g*?w~XS(K?;VY@@;%9`4y)k#t8N9Mz`w7#5RXy*AZ<5lOrq*k5<iqio&C z_WcXB)@PN}nYA<OV^g~nz}rmi)z}_3Z}O|3+uT<zXY$t-g~pyOb(wcVSc=4aKQ4eG zS~bo*)dWjnnH6vO-A{`pKCDJ+h<F&WWs7}G;h~ux79rfW#kulnhzXRK@3qL?M<lS8 zver0SjAfXA1}=BrTo<b{4Uu|zHq*J96xWmQ!-Rgjwv^J|4H17O=9NX&)p*QiIx_ig z+IMZkc_rqbz07qfO3`SZ&}LiQE=ZwmoE_#}03L9@r{9NUECR^rb*T-7-X3rM_EKL8 z;J8#{qxMCms7p<P`!wiy0_b=I=r|VWco*onCaEq?hA{u8>dNP=j*C~Ac+hoIw(QoD z6rP`;>u#Xyg5Km_{N`-_nWZU#YBN8tczGQy_U++{Y=UY(hOt?9Y)SKXZ1G8b8scyz z=6if|_ib`C&fo@YSJ2|9iinsjN`F}Fk6}o$cSA^$#C+eQTo>zBjb?r|Hc2Qd#T+s@ zOozQ^OP}v#v%4O;)gOc%<}J|s3=GOU4UtJpHjs!249d(f;pMh1Wv5R=M0HkL(*a_1 zu<#S&h1-zGmdDo3gk&)KN%x10>JjU$!O8I;JJ&!$K^el_WjrH8T?=i~Z^s>%N1`KL zRQkJ>7T;D+t_oW^k2?-EOb87@sqU>Nk+%JWy@oWij_afR3<l=t^FFUu=1ykcS|LN; zrOA=iBC?F4IabOc%8!f`&VIM+6aF7_T6k+6jZK$Lp8vM3Xduh~M6b-1Ub(4Q<(ggn zJ57cOSy9v6UMRw5;bX!nZ|*8zyiz6;zl0Mf>?1{WVLu8&0_?;X^d*bz>nzy>_os%j z&vtD|{k<EIza-`dcysr`oOli9gg=-Qfi6>h(_cKtV=j1W$~5e^3sVTZ0i)9nM%^5Y zI+zo(FLU?7oHzq>;%d7fsv-)JMR{y)=ASz@p#jc62f+uD`96F=PPYN12Mj!z5$0e< z(1962pYRbTz-=mqio#p&m!94jf8$96&eRn+Q_{eo2B6l;Y?7_jDds=FEA$VLs6X}g zFe+{r`=~8VGhHr27(nBP^`X)gQ{{DRSU8{gjVsOg2&&;)qoc;$#ACV^ZZmY-%AZ|1 zVj$e{m92)R=57}tSW!@uSz%lF4dT9_T5(-S#cF6q9-PzNVJLduU&R-VCmo#HvjO%K zI<Yn@pP>DHeNd3`0@^=-0ZK?KP(qAMD#lmzFoDO3Xb%A;eh)?^eorZ-dJk@;dQTxG zPY-4#PfxMy6ZIF4=Ds6bVe%%qs|5z49`Aloqwo`w{t!KlC;ky^2H*F8+Q=INHEAAL zI+u^hOU~@gJlsX~h1sp=9X8Z6N0mK!1-<cfxG>Sp^>4!b)Ri~iL+8Wx32QwaNqcJ! zy(F!5vj-NU|C!A=&*;b1Mppi=6TE(}Jj6Nw`aS(H-hAliP7SH9Pd7Icx*^ue$K~(j z>Axe1h#+DZ2J<3A3(|g~5$3#N$wP)f>7$oN_j+&W!;5JDO&c0mS&r@PeTqBXoDr9; zJD2B(zZleKv>s#HPg2eO-Qe0n6N2^<b?qyTi6ig<N!rOo=lkl8d$nd=Mf7K;Qw>E7 zTE=Z6%z8RxugRzDBxdmzpF*U)X7-2eOlL$4GLFduw9X*SCI9$A3P-^@mb7nuTSW3q z%6kUYVaqQ^wqC(v@e<xMAgyh|c1{6o=X`y2*~$17A-W8`6NhKj{}bF+)e4sZB2ab# zs~^cUnlit9df@j1AE2D@iaeg~#SXnRx{K1W#*&{7J&Hq12xA@f!hx_7UchQVI*sN8 zn$Zg_9Ii*ZHsZ3<+)U*OB~D0nhymA_;gRYz1hW=cpA~}CoC!i867gsudWA9fI8P=l zkusMYiF^;bFj^sy{9yJBi-3C<1fc?EY~>-&Ksrh*pr`@TkzJ@ntAZ9KCGPI(ec8Yq zLoOGq6sOJ3Ga6ag*T>UeV4+!J>RR|^kDS(-WodJsl*g<;IQ57vkcmf;i_~j3{j>0Y zUjT`ifpEKM6~>)%de><nd4HfLdU?n%TQ62cM{l|BTaE_ze=E{_9%9YF0|mQx)~%^f z<Xhk(;pGv_l*i|_p9+mIZd^fZu@&;f689;SyvjU!;n0>jSUY1l6P+-ucA@3h?|iyO zKJ^s0TIJYC>XUhP<*MqK<9iHMCAWKdFi%89l66&K8fHeK3l{C2BDG0fIMk1y`mKX( zYl;_nk)vuYv#K+PhT|<lRZ<h&2Oo{J*gV)=gahFSDtmDjQxLGHs6~W$w2Scm7$e%q z8TjDkP(<O&zw&ZH-6I(bo6sEFiZ5j^(p4ZT4SLpAzG<)qJv;dlSfUH+OO~xJive3U zs&2P;V(0l#D#6#CdV!9uxRIWeEh7?(V<m$AvdQ}E-ibW;MuoHaQX*F{`KSyemtEn+ z1;LX(K*pdodO`Q{#r|m9!x%8{IOuhN8Upgo#r1%9!=g+LMQyaz*cUcJtEbT(V*TA< z%U^iocq{LnA@f3r-%L#e)|%)d;3+e2yZV!@Q2GO=rS<yv;?2X=8xsXYCVeF=^W55j z>Faq9!Ku`b089?`TlEmi*EU}0KdG|{hxjZXs<mPEfl#XYJrkTVZ6swL0nwIq)T)}V z6``ul^QW}9s(Xeb&Q$&BU93}yy%i35EODD20-Q63&7121XUcL}FdpWNh9aK#LQGT{ z$+npRZn*Ak_v3yKN{Zwg6{%n?H{f*2M3Ckyqz;H&yE)G{q<3%m`i7XzUN*fts-Hlt z;_3v{m0?!HiW|Rpyr+QBls+VlaOgqHn$&r|qoCO@dq{aDgG(FpBj($TZJnVt#GlOG zss*jKuak+)#rsq*Z%x?DHP+v(DTheIG`>6%G_3w~p_^N=>gqc*aoCRGwp;Ce_OoTJ zxSQ{4&&cvfUS>_#ivDJ{p*v1ET!xrghWJc-d%fJ|kc$p`#+K`%yZTdFt=_Dj$dBo! z3dbRv9p%C_S@~?dyy8daKMs>ok+9}~$5g~BVqs^@FCCO$Vetj4dXn-zcUu)7c9pu~ z(N+V%$@lDSC2M?h4wqtlB&~O8U5p0V*+ddrM&f-$hSZk4Vp`<&)bhY{h-MHql?9^? zb_+r{+!S2J+4Wgb)b&}&z51*;XHR|jp_qoi5xYjiAzY*3=!wQFkEa^1Joz;Kd5CKK z^ZeaXc(1a)OKSE>{DTXzPUdR`ljlPR-4sBsQv`~jT%40lh*A^WvUnl0Oq+J>hFz#E ze0pGZuOahTo0+gpf+XLplBOoyeX2PvF3pw8G-LM2_>L+1_#$ru+dlbcA0*i{Q{xr& z)bqbqyUK<0pM2NNFRJguO5dORlw`X?WMM#6{=y!b1yp5xCLsR$`quC*Jx|ehut~<_ z2`H7LCe`1z3dJ*zH7tzq<UHX|*GxlxBbe#Pazwe0SlL8SSaNCPza1zR)kSu2l}~)x zC*+YcZg?`9k&9O9!BpbBHYf;@%{499PdKg<wzS!Te|#(C_G`k({9<?S9^Lheo&LB} z;frLVN9LvfgXJOdjNf7BRVHfMYG?9YvXBdy85D+a(VCDw4b#_W2ucmBeV1{oAqP=K zmlrmacG0}NQ<ozbV=7k3N!KRV7-o-25paqk6>vhX3-~=8wAG6%7Pw@iNt&z_yLRsn zV$sUR(^lsuoNeG)zP9yXzt#zX65g7678)qPqzs|%@<^C3@TqB%*{{~4U8Hm}!y@p! zj-CNsh-++6y3Glja7ctH>@yyswHS`oLT<m7j^_TUml;Qm=jCB;&0E7U)~k=a@V!3J znNi!%aJ9!yxHY$j0GSCm{MCTWg!Bgplp#o&&py`gihSEz0;d<<L>bSq8IF&vgkS5# zthS>eX1bYCl|bK8v=-sz8tY*}wzZbSi73go);r9EscCJqb9=;*{5b#!5CmA$o%{#m z?J_uZAo*570^01vATz=O?|AZ9zQT!cVYJ^%V4I<P+IqVNj`fSozNj()m-5fXJ3n8J z<r5i;=jDuHygC--klYg0Xg;POR(;t3@*`a^2t{zxY#@%|Bj+(@)`TK}*iu5iohyLT z@0DcgLARL=)jOm)yY)K6QE)8ays~LRb64qP#--tT)yY}&rgM$;3Lr0xI1R@Yl-r!v z;<PSG)?BrKuj?b66rbGQ8i;OVVBb0gL^l;{It|XTLEJVc3W*{8_2MPZi;{q8$x=9$ zG8o@TG&hj@;^e{jYFYCVvXAu!Aus+LE+zjqr-122uI|(XzyJ4AA_6*$g8zS1y>(nu z?He%uhyrflco-#8k|Hr_ky1iXx_iJt22xT(QmGNrD%~PoBZVR1KtM+4Kosc|r3FNO zx6k+e{NB&|4|wF9>)iL%_f?0(VyWzo;|-Iz1b>=moZ<>sKvJF?o9_FYY8{TS>N7d` zsidlqqfsIjc0=DPIZ)yi!t9e;t9JWqW)8OJH(SR$#P8gu8?t{ikBxPC|C%--Bday$ zP;7TtQB^_aPi>QEX&J=ldQ3)Y(*fq#APg&JYkHmg;-38@+niXT{^X>%J7S(97ODvJ zr{2!~x#T`8>z>;dX|xmz$2seI9U>sq_#~n?j9U9)_<X&}FC|4TzCraa!kuW6G)HSw zNU5I9f;+vbG@M*2UNt1lPVd`-FTJlcExCfW>OJAy_nDt9|B0w-r%nZ9u10mZsLwz) zGgw8F`gIgm5k6nAc#Df^RU_H)v8Hzd8I}B-4%H!k_hCWkuUbK<+<r6}N6_=*Vtf1h zi39UW(&`IOw`k6}9{CE##Iy^3otQ4`elfM#$8ybm{prWW8-oJYH;0x%59orLA8aHv zB&^<M;U8gBk`9&B;Yes$YyCG{8ew13S<6C;ET`h{Zxr#rLydpYGZ&<RK&7cPXxfsd zEs&OGF8JA?7`rg<UHS@wO`vXhW+JD~kS8@&i#N(V+5Oh#z53(D4}xd3T7i+4)Er)} zXM@!zJhS!Z_v6pcP2^bV=HiXUr0#lJ{VwtK=XypaIc7Na`a{DCcQV(~=L(qcpZD&K zx}kwDx%qQfF8AG#3EeG?&tuF14w6BrjOkasLWJ?Z<DKfjQJc^!#f7jq(QATWHr7I* z-opYL7*nCd^wel=J_i~!o0>1pU?I$8mGz=BX_GmN)s2t8lQHxfNnMxj^JOQLtl}{~ zCJ*KVAODC5-IdJ()1pflq(Io7Z?bfl;Lk8a%2T3bF<P^I1(gr1QsS^y(HK%;*=4w; z$b5)#**PG5nbs7)s)dRWrI!*(A@FtUkqExf&uRBilyo<@7Q~?eX(}l2O({GJNk><q z0c6b-LwDEH^I$N#@sG5j{y<rC6wnK}HQl^qpH^mgr)habFAb#tKB$l<GM5XSBrfP@ zQ%w}52LG1rP3*CD7D2(P(PY!j<{B+6-5AK;P$;_g^OS^{UBZZF=COmcT#sdREuRNX zW6U7;1$)OiPV?np^l%sfcrq?|Ojxb`A{<tMzHua#06Wnm-G2@ZxOxx8aS<$V?Vc_j z8^kG}PSMqyuOtj{2{V$M$Bw5RS9^+m5#au>BC~=~8S89V@eEzslXU+6BQ5%s%p1@> zHhS!_)C&R(p-cLB9~#gE_M2K5Aab5EWy8hA7Xh}c8#;4URhP08vSE8k@t9v-SK)>F zxFh`N8$&50@PL!cScj>%b<^e%*ekOIxad>*#-G6i7`r~{BR4d_S983(Lozg@Nn4l7 zAfRl#`wC(68=oR_ma8P;GnC<Ih%Qu2&Paf1_O5f`XMqmtO@0>}d90R89u6Bv-&hk( zfGHH?Vs7zop~SI4E_x>gP0w>^X+?Lk@)(P5y7F%4GKEilP+xFD7}Jh-IsuO2)~kCs zd)ETehtn$ayeBSw4;2wcFXbLZ;DZ^E2((aHX>}A+4}d43$8zyA#L1RYQCKr^Mn#uJ z7E&FCyo9OA%nN*%uj_Fh%gNtqTIl)VOdLxH{P+OXmi9)(S_|02V*V}g?OSAjAuiGn zOy!8>E{Wc`)F7f4-PXj-_pcRYF75b7p3q&kFqKNak)u_**QgM~=3q1~ltA4hOH1mY zh3>WKpr}r7ZoN@+APZQVF%xbK!K&D63h|a;AL^%(V{oxQ@upR}BOadx?f+$am#zOT zZ}G5Lr1Kvr%T*;5T>-xfiW<unTZoJCpg$DJDTxbV$~Nqa^b9pmt57lYo^=37F$l%` zD(P~Zy?r^Y*pm&i%c-MQf_co|$ucIv%y>Q0y{FL3wTGy-ojhDj8*r$s%ieTQ%+QXj z1caKK@?p(%DYGHfF$gFF+mS)27_~8!)q6HZT_r3&Aeumd0Oei6P>UuF6w?tu2<FsZ zU?6qqf#Z#;E|uWx;pjHsoS*on>v}iVFf^C@e(DOi-xD{zd0(PKY6b9x5cD+dresP7 zBO`qL13c8<G=KQp8a9vmRkG5hY@171<_OR%DgxcRLXQ>R%E!fhq4%i?Bd`NbNVpZH zel6{RE*;(Fz8>RHnX6j5Oa+(wo_fMDVe$3pdDNd71Tvfi6q$=28o?YXsQCMFfOwIL zC_2DcC}AwJB45Nhl<uRHNLU^pTK#DeNU76~e{kWVWb)$KAV*mh>e3Epjv|Hl=R`D~ zdfa0k)FXA6K{KTvK63pARn+QFE(6JVKlnk|3+mSb3zQ6goXdjza{=o2srWk|JYJv? zX}7rPVp}574t4n^>Y+%N;9oLMSY%In73e{ctT?yQQxKDwpWt2j`{$kLJnGwT?_GBK zc1=;s5>Q_7QiBIjEeN2@{6hVum329aGjhKo^e?tf@TaX6Dp7eEIojnw*)&b^V;&3a zix^=Qih6bTt6zD}-#?P!oD#qKRHnC*VZYfTe5%70h@7%+o9gwFxPVI-_uM=LJ5agG zp(nm?jY%D%3>ngIm8d8U9qp>2Y~CiF-``|H<-PUt6SPMhSr)WXsM*yvA4P?oQV-I; zp08VT%`K+Zcw_NiP70Ss;A6ahTlIpJh~>?!%OvdDby{62rT`Uj*h~nj-MjT47Lpo@ zE}+nMDf9bF?+<}3NKk=6$CGil7O$>LHN}%L*FOhPNC_YKksF~B`77A`s=&za42;Xr zgT0tnz0_8!bFDCK?Gc2G6s1Vm_9go@Mcs??kiH(?Q1dk{U6!EB8+o@Bk^9{IbJuCd zdxF<m2%*<NSe}l}&@sON>guh}Ij2^d_@uyaEx#NZ<oznY=>lb0A}Y~x$bZEA#@n2% zb{1`L7Qgwwv$z8|iwl9XI51Uvm;XDpTfS~7ZeqJ@RA6I^g9=mY<;Ud<c#CLa5fSCX zotGI-q}hdAnZ2ciw%M5<?Mj3Vj$9B=aNUYQJli9ERD_;NUt^28=rS*$2cx_Vsg8^v z`7lAP6wj-k9=7fM@aFyD?Wvnvwr})1^CWN3nbf<R-^_MQth=<_s`6$*S~{%oi%}m= zv+UtL@wJ=D!W~iyg}AT}^gg1Y1O-(MhW^~pAwbadOBj)u|5*zc><dxbW;pdoh2U$O zO9L|xo!bBTyrzhvY~|m2a~m79Z!n`?fB0(6kwKSb=<>!l5c2T8zI!mD_vTo6Jz2kj zO08?^&1r_*N^3d+=B7*PbcLQaaWlv~_m+#|&%rK+Pjy2=@zUTtUkuq;j8(cnM5?${ zV0FnZY&`HnV@(nPCZ$K}3<UCAY$!`wru|rli~2cZs;SGQ0ogz?D+URrI{q1d`jS4D zz`Uhf$_}21A;5g}Nu5&AQ<6G;SC==?SwQ*z)tSD)psML|fSMZrZc$OR3Y2v}wyxcz zG|mffa86#}dp`c$iRae8Ra~Moq^p#uZh%D0Tj=rke5GekK@y&|JwfIAbJhUEYm$3C zHEM60=;Q6G)trr_<60GU6^?*d35T9Eu?f5V2|j!Ybp<DyFhPNRcOXVppE&=`{)U5- ziF&Z=EB&j<YTe6l8Q+Z#l_4qVGFd=2>@qDaN2s<-hP#mrGEK!wG?|8Li+hfjcO6P8 z3;$gj(x-XAdD)R7;0?oN{<#9W@ec~2c;GQ`P`>%dFi!9Ren`HO0$=HHCxYRGBpi8f zKlDufvdS$|arpH$@}6fL%&TNh1tu+PKbdSOTY*`i0hDu{QWB_LgHSH}N9DLbz`|gF z{b(6>lf5OVj~BnTj_zOQ=b)w3z+S<{ank#Uye6<m=#hGU`i%5axxC>}6y66l3KxK1 zk(kF$0R*KO$`E9m0FU*jjrCBM4_)EV0X~k(q}ZYRU4NQO<2@IfwYsQ9L;16?NuXZn zMIHj2M?0^E?xyR%IUd{lG8%WCpXTG9qcEA%BDo^l``E7%7Y>HCUzi66)u*~$4AGK{ zJ+!+ZET^9z*CXJ)qk?76DI4yobCg}06C`nBBEMzLDcvrlP<*<kjiQw2-^wo@*C5*} z$To-3vAt%5pXGA{zlEzLX7JL%Fg8yC-{`FO`?vPJu<hYLM`Cts|6X}(6F60>6k#PG zF>Hb5J1H4fvSyfBD^{XB1MXcgR31d?5){>iuYlsqXl-cSuo+4UB;piJu+3{lA~atZ zRth4!Le=Q#yG2aPEJY%bXW3-TRp6X#&yWEKN_Ax9xEKOulLPTSHG%z~rdWlX*9r#4 z;S}2f{atKWv!+TBmUr~sCOB|bQbw^?3C7-%;1+7X@w`kV!Xc@TTqx`~X$5+{lZ@e- zX^9eDjG-f{2I?xLmmgLr;0<a+*i*`fdtN*^ryK6@DqP_Mb?5{Q>~vb;(yC1(!Lna3 zEwUN9DC-p+9e^$*Ts3}}Otqb!FYpL_ZtG&FQSS{;hFw2PY~VGM{IC%LZ&6h&dpAI@ zbYThw2wZku!<w0vi?D3cuh_H_EM0WdBIBTYqCAA%VunSAZV^uX=3sjfWh}Kgcb&sO zSEyqYnAvpcI)VFnNzpYHxSx8LmIZHx?k0mGv%d16M@0<d9V)zJd<q6>*oV+MQ8N^* z2%MA+v3_YqA{=ASIi68oe;zJY6}03U^6l-##pM(7r$gSMV*S@kLefEjObjrpbmQHu zp)$ZJWnzPD0H-v_+ZY5xL!nxlz|kK9cIPE9WXAb1H~)_zyN~+QR2&0k#;b0cNpG#I z=|=nkrE0->d=&rHq|N+#od=@;PWQh+N2u#^SOYhISPRRCFCSK-0en!ZMA>S%_U0W_ zeqWChl-G(#HyLwwGB)RYXl+Q%qdo=oc6SxESg)60!+@2I`u`nxX#lHd9Lho}Q=<C} zXx0LC-O|ku%LX*lz_R-Qn&t5KbG%_Q;p~idl&4a2)VSP-d!0v-LOb3Cye(5jT^2#e zh7E%vvVyN9Qu5M4jd3L|<duMgs0o&FrF6JEkU_>YPl<`jXbqJF4H8PpZ+bUbnuuz( z9QyXvob3w}<!jUx#VZxOCDE8m4Y-^<DXD+QKd1ujp`uHZOZPe<d_jfR9CeXpoIj0J zqC}MmRGt?AS!LDx5eh1eN|iXu--tXHYBs|L^2APx2QzE(@OL@@)PXueJ`nDv2gQi$ zx|Cxe+%2Oh4=9w7?u0#lP@<#&FH1}a7g*xXhyK5p)E`?VHL%om%l;Hclkm{pBvAUq z!&4Fs+!OcYJgF++E@47<D-{&wXL(8zES#`c`t>+DAV$4vN({S6x?mlK|MwC&acV8^ zjC$Q~m=FDf{`oqOdgyZB?K2QAeiphLcURH1J|@2V0%kZT4{->;<4XeS|8xS%(p0WQ zcVy@|-v)J+1LTMV8s!0&ogI*aj`>BvW-yc))^|X?U1@O19t6}c0wca4q$r%lT@tqk zOhrf@0yt5fyg=Jm0B462I6D<eRB8ZqB~Uh2gZ!99p!Sup3fhg%JK2QPpC+r<K0cp5 zNm)+z)1V;YogOJHU|e^;5K<j!Jt@5EWjK%xGIM!Om^PD%jlj=k6UQ*)^1Xoy<JD91 z%E5O{=Gi}dF!Pc)l_O8?uyxQi909t94M5j$;e_xO=o<EM#!$9^uHkHu@`ZtvZwul* zALFK#8ycroF77h1LDA@lq04N*V{g^VSoeSy<4kaK9JrYR+}w(I&&arL<+jrrYwTcJ zK5?aDWP=Zk_@OGVmzfI&Hw%KBmq9<WY00bOjE6T`(yCv{_m6#2Sn+Y)xsA0RGgvMv zbJ059WR}}{>1gXaUiguQs-2q2xi2qh>JgK2Bt7CHn?aMNxB7hT@Et5sHI*Jgi8N@k z^wyZ)9hS!u)e@GBC4Ndbhy2pqy^f8Ue+Eqr3Aky=w_W6JcJ(FeWrZPXt$9xoAuRFZ zPkICmw|-M#tft#_I-QpnKdipd`^2;)KDq{8VyEAv8LKs4D}ulhyMKX~gn^d?W)PN9 zy3o{wkWW`0%|G_MxHIi?Z<qWyYw|9Zc(0uvK^J7uB((o<zIH?wOH{0;M=;613UgXC z+g)1t=2!daW6Yyhk*S~fIKJZ>e_72R*+C4nD8}%PSEvnI>VG|(f6Dw&nZk~amD&yr z)1cs!)}&T<H~l5?0cGbN+W8^zQe;d%Xln0-vnoVvhPm0iP@qz1iF@EK607Nc^=k4r z5mA{BC=@cZvwP-JWSArb##Bzn3O@5I9au3=`K9Yo5MyAsdD1r<)0}T2I3X}W;4zpW z@DfZA_y{Hl#K2h*jC|5^LJz<Mfod8&_92)cAS7`MOc1yYCJ1PQ2?AS}BC%!=7>hU^ zD+~-90JWQx{oM}!VPVn!VKET@u*e<%umlgJ`ES?hBik#-pKgT3WE+sKA~0?{PwnS) zYVF-+lN08(LGN}8=-u8f+%;>;?Q>XE6vz#WEDc1k7w&?AK7Ff;4>Qo>?sv3&0*mm8 zeLe09$wM8@h6;2J2FF6)-(Pk+6j*>Kw!strZ+HFH()(5mOfoV@yOJeP^Ipgw5~<7L zR=J>~JP34@?`tgA4c`SF<-wq%9I1b7>8-uIH+(aBNUaBSl+Qb5pcm`xBf%qH=u_~> zcl~3{_nJluRJZhxUF39@y?zQM4}Bby2m=xM$1bp{;u`Qd_U@H=^tH?ci);)_TSn20 zR2(SWkrJ)gs`$LWqQxxqVBR(@7c|g60S)v)pn?9d*nL_$k+A&D1~kwsg9dsSXrPyV z2^#2)c^p1EOcva<r0&sgqGr{Z7xUo45($&^2$s+KO{^|D^PV-_SYqcOJ%Xd<B};GD z?o!1sR=g}W2BiboS{SgkRI$6+FYr+J>P<_SRH1t_DGjiwGGNgIz@jKKPveC%JUt?! z;ie_U<XiW2(`<tMye2d?ZVcS74epmtXEkKec%=nP;mNcV(w6cweeJSagY$~vcztG+ zRchQHEsN(dz1sNn@eUZ_GHE78N?b#k=B|mlOM&q!mT!6p$6$a9RWvP-K)!Rs$) zslETJ>E$>*MI)H4;?Pze%^u+l#<5J%$@97K_zSUW?USTa);!$hMiv)T-t=^S0<%~Q z9%`X%o%N*x<7KngP|{%9i#rbh?+(D{1Mp!0JSAKnad^XDZVQZiA!Ux!GdA)7@OAP4 z{1*Ve1uoC$!{;wF`*5GslnuZO0`O@4%dRfkwbH-1J}oTl@x(-GJ!Iry0ivclZE{gV zD^5?(%E-vTAhoi(C!MCv>M;4=C;f|K9Q4n(UoU2C%$8q?TaI(RCMJEKiu%Um4;>J5 z5sxfJAyz6X%t1q3Lvn-U8^f_qrJzPJi!V|Zx56Lm<;VZ9-gL%_7%^PCh*CFo>|H7A zW7(*?TZU@dPvUuWU%J`-xL`r2NcEC}t@SZKy>k9|1EM;R!Q?I!`#xVwna#wrp?coD zD`&N#+Sam3B~Dnd+-oAPg7cI+miLnKWjh7_XZi-0B{2udt{!IvBBvf0BQ3^)yZnFt zcxi1<^ktaT-HAQ<W?^qVR4`*ak^JVBgoM#jJM?I+z3XwH*U_!Nh1=%DZ-MW7-8ro! z+(oS<JZ@UmyWg>@_u#eic9*pB_7Jw(cbB)?7hSeqeA9EgwI*rV;*043;gjh=cd6;X zhjr6|kLjiZoztcRJ<V6O<lZPq7Huy)Ja~MrPATkb6ZGtTFYdaP1Wv?CqK4n99w%*8 zU&CYNjgzqQt`V}@$H`gk*KkSsQxx?$@u9n%7}4EMQs|FPFm#U-Vx`N8eWlw;VI?Wq z;f;koPR#0&=|S}zLN2=W1@r!B33V%|f>*dUp<*59l%K2GFk)RGb2U#7Nj+Y|PVv}~ zBe$D!v}40}q$|UBgfQbf(v9~W`EcSp@-f19q_f+1L~Te~k6I;c@qPrS#|~A*n;KA} zp9M<vtqL;I^7Mo?$4jIX#!6s(j}4h#fk7-i=d9HyzPm#;Arc}I3VnPLA7dCJdSawh zyJBFf-7yH&k1_0jo_Bv0?2YHvz)1umd|X#zXVTdx3S7}oyQtW9()%@QJq44}v(pW& z4<v1;@c6QFr<tO%42j_37sLN>LazL4uA$_71$r5N2~v4kNl@wE%^j;1A`|-&#Z0WK za?axX{8Z;6K0r=VITgY|6gvxwgbyug^Pj7e4=w)@B*HJA-~A#(Er3IWQC0LLl5L9D zcTi9Z;y630T)LvjSj1~P_yYM6S$&hs*snzPyX&K?g@}tM8>`go#l$EYZ{{)URLDh% z^pzq#y!X}01M54tgNoxCJl$kWY><OYrU_K!ldHBNSDFlMlo3p%C_!>CR3t>JsR(%} zaXW4#VA`$%5!AgdX7e7Q%(o`Cz|z_v>VQfASt&I3qyCl$G8J}v>Cfhg@t;%j$$7;P zjGw8EJUfGFZV$QCw}&BD%!KDSknOCvqK@tgVH{+0DJIoC^~ZtLVN<C_E{8X>yGAPK zI}EdjTB_(%OuD<ytH*BU)flCWOex1RlR+(6Xhr8B*=B8dhd-+@PN4_t(y>FvB39F( z+W*_@OLxBj4pMh+`Tap^od2x=m(ju@G?F5)jF>2lk0q56(_HbIBm{BaLO>&s|Ebck ztQ7OjBWtsiw4ZaBO_7=oyruw-odYcP6S<U)ddQV@a~tj=22$EOxzv2id6Cas;^o#< z`H0O?hcv`R)$^xD5wRooU8czH*fD#8uU1j>de$W5u^ayP-0MGrt3?(713n>`W&<1f zZAR1F0DysKAy@o#ZMfMPNoi^TXwBCogo*dMhf%7+6xcg5mGibY^G++m&TT_%p3<yn zj>3E)8o~DL^=Y+`#@6c}6SWwUkqy!ZkV&3=(&0(S6_SaK@*yD8ML;H-5G`q*xw=w} zU)fP@k2vx~Zpyxc3K`)t!9ri7l`8rfla5mc2$iYOh~zduPxc!7M7HT()`8DL;MlFf zwyUXJI?~BlcC|v{q%Cah(DbD6goAkRJ7pRPcKH1}Rm9*SZ|2=*1b5r8eSa!mQ<{^w z|Jhihf4s0$sQJ9Fw(AYqCa$RiFLV>fu0d5XR7=K!d*2aJ!H=UAq;lymBg@2@|9Fr( zjo(iz(8z7ZQ>PUZ6OZw+rg_9PEMD`M%3o?1Xn?_xe-}qQFSCej+Z8fvM4Uk@dML>! zi=T&FxvFcUOu<NsQY4o`yN769<r<PH#h4X?4f`WcT!!sCRKbQv?Yq#(Zb0~O;abi1 zs>UweX1YFWJW=GoRW^}rinIY@^W)g*z$)L8vHa8n#I^*)rYEJ9l1rg709`sU>!M1j z3fvgxpZN%7)par3dW2o~ni#5rZ){cq@VR*XUm`nux(QfBZjw(ftA-r>YU?<e6~bM( zOHWF)AeUP335f&Lr`!Hx(!h-zTpwM0j|f7niG6#6P)4kaEz~0Hs@BBj%Y??NPlY{n zQej8`N%#;|MQ04z=B`1=0f{eRq4r?f%I2aE0GUlLWor@=H;uHRJM4XD?}TJuYu(z> zO;t$nX8viK%BcgGrvPD>1DGcrEVABYGhE{K_py`etju^O)5sxc1#y*pauLAZvk$o< zt!JaG4KQf{SjRg=>z6tp%^7}QI!_}v3{NfnmYCRykClEyOe+AC<|F=MdhB*$o(gkV z`m2S!z#89^ZHl#b_zUsl6sQ2_+#r{Fq!$urYH4Ga0ywA8Ukx8vppj6A-#<1^y}+?4 z_NWNKjb0Z+HzMd(9BY5ef5v;8R{d2#u0l9iCRp4G*=9{)$BBzD?!wS{q?@u8Jt-;| z>e5dn%N#a&CMnT?5Zt#qGzFT%2v2QVNlcUkyi-n0!{9YdZxQ!5i!}CM$>#qqoDRI+ z&TgH;8v!;=OFn7;2v7#saS|(lyHG&o(wj!cBHGx|9V>`~ECTjkuhvMofZt~>AqFer zshKN?QJnZ#X23hB3{9`GOGp{b@xK@x{B-0rEt7Q%hhPHS_>pYWtF(i{5`p71fx2`} zkg<4GcJNuAb2zEtHAe%c7rBuqnv?b&^vDR!3HvTHpe5%3Sz69HTOH(rZXlyUd-*S` zDhUiP``YMyJ_5-OxT6LUlmoa!4H+Q^o)HHeEMWc0kk94#dBaz)=F-E89-6Yf=0be% z=Q6710(?|USxj>=KK%5B#@Mhi@1X>htAR{qR(Q(VD5?~JOj#ebYeobauZh_dB9x5* z12-V-CIJIi&IBMn8?DwTV-#eKm~a{x&K4u49qfj!b_oJibM<}Zv--R)8Kg`FlLPLB zgvL$L0+R|e4F=P?d;B;wR@Y_#p5KunsT3j^6HexA3?ZKsqJvhL-H1vrcR@-4j;zs3 zRmk*a#+jv}xjEbF^-|Cr`5JX`!-doL9X*Po9h(pXP_xZ;PXT|PHW`x|SOQfA@fF$T zj$O!spSjJ&90pRNFgfjgzy_k9p)LfMR_+0`QY!iu0Fn#@9QnPrIC?z?!M(jHwi1G% zWA78o-c|2UvarbcnJ)NOpObWL*rXUuQ?<w^?Yu$`j)8@&02b02SjYuHsHP4+P1?EN zrj3X_z)oq^8oBm>ovMh541k?ViD^c73EAg=wYkQQosRvwyXNm%)B7pD#x`s@04N7A zyI{3@O&t_+0ys_@05l8$ZSUZl9g`hhE<wo0uZ^xIA}+!<#Fl~)+=pP5SOi@TFy)Sl z(NuWvv_Bd(Kv}AslQ~a<6&6~D9IRQ`1X;cKp;S!@&IPE{1bn{=r1CM4O1(j?QcQE9 zM#5+OescjaH~>%GTuqEpY&W#;zlY?b9)6UOS+qX7)!C)vX80)DjC>M>4#6BZb@=xK zxf}y>2@K66{gAjOq>Y^$11bCykV_%jyB&Hd>@k@2x(BHja@WKj<s-Nm*Tm3mh=CK` zlyx;Kb<HFoNf)RPp9E)Wg?7@jj){}=z9E=9mNxQ-z?iiFW2PN)r5S0%4S=R`06J;i z`BXevk-0QrA|MZ28!fJWzou2ltmS#~(7yL!t0L_UZ{|IK2!$!I;<l;6&MTGYea(+? zavaH(o#K90{1$a?FMsUS9{8Hu1OXlh2CAyxn|yLBld57`n#r`zYZ9OrkC3kdE=?KY zBG8;mwFqvYM_1k;=s-=3KV$M>DDO%1T)Ms$^k<0W;|)#QmvmMFYzk9_L?-<HFa1;n zum*SiRL){=W)JgJQR{WFdFP$eDE;+O>+fa>7s~dSOYp&pWmL=+_$bb@80Ip3IO?x( zx&0Nc?|OfQi*Iuj^#Xw`+8DLVKm@G-;i^I?uK?jnN7$uoilMMTxB`H1>0lI2jhNi; zVK^y`m^>a}L?ew--BrBTw~TEIH&~6MvyFYwkA)Sq`8DclCkxF?H%BYVF^^Lw@YKIl zQ#l=gCT~D!4UX6o9`9g^4e=Fv**#7Z$3jNP4)_FCNFUjCqb$a(1RqZRLc?qDCYU&7 z2v+9>SZ_WNfrM?0RtR7oyQv{5w#Mvxt&pl)Bli7nNWLxofT88^jsC0(ncQ23Oh219 zloSk*T^<u3Sq+c`y^+G)JfRu`3{195Td)OwyQ@{vOvVzRPZH^GIZ-&ofTXaTwC}x- zRJ8;ifFF`C>-2~Dk7gH>fXT&X|EwXl3G!KEWUuhV{oi@`w9GP%UsfKE2amNeusMNj z<H0+N$tWZ6(|cXaIF;SbdtKZ-^+HUSyt`RM;2UBT79VStM+`q+*!))Non921C9$e$ zls!a0L9Pjas+Gm0y~U?RmuaM3$M3&N;$3-BlX{oEkF{p3uc~Vb@BK?X6;X^?_fQ99 z21Xm`JcTLHe}Rt$j!U?m(~stU<Ln-xiQ|4lWJgaKReC-?YP~Eby$ByJ{uhUO6L(nu zQrJr>>PdsJMx+MbySYRofeJW2)x=;u+Xx|IA`OOFR6kXe+dEyvvY%AyeWwEGWX_3W zRsizZ1a=Fc(rV)4mL8H&e6HoWeuG_un$GUl^Q~9;w#CWF=+p%xA&tmf@AX=pz<cH? zd<iiLZNyQB2*kiy2{Ezd<PJCcn%GJ{P-$n)lK}|j@tlLJfKY%Tua@8wFO<csRpZlW z3{!`709O4fx^h;sZm1V0dkxwkWvE9AiMmMt*wI3>)wR)z3*L_zFW@E0|3PNh2O|b# z?tPa}&Fw07EZrj&%j7<D%D%u+f_U4$!9`JmK<911DWVZ)JOyTJWrG!7AOF(69J7uC z2o=Pv*8newh+)QQrgCavm}^v0MPq;?`QW>J9j$KmG-b(ParFk7)eCL}hI4abk!!LP zfv((uPx2!M?ob)6;_j{2dk&_TX+-WVY%<!fdupe$&jAUxKvHB)*!SKBE=B<{ts0yl zl0A9Hvc&%iqF>owdO1G$P8rp)DTY?ukVzbgfsCo7K2xgcdMtXl+aqy@*T;N1`KmBN zux}Lg8i7<8FYI}MJc$M7!5tYvJ!apf3#=#NE2n+>&-9r(1V?l=W6_}9%Z)(3GK5X& zhEjJq!ZvHewz~|0id`7Z^0JJUAGp)=T3c{6OCIk{s?<nD;`d3w(_q6>lYnV({oesX z7%ve(({N-NUpBZHwGjv_M|?}%P=b{q7J@fy56cm#>a|fF_7qZuOr+L-BrnH%H@(qF zCF1v+-fHB=;HjJPh>3e()5XNJAKu{D1k?o!P#20Ag}Wt)Z$%qQZ6?UBD-$0rO^^i1 zkA-(uD>hi${^QFwU_g_jtCLd-iFQbTIdHg9MpDQP+xG?`RcFWS`;kb#Sz7B`+J!{s z1_${z<Ln`mGAijxe4;^FjC3hJjSh(8?$Ej7lfEh)gWSWXGn?10&1m$W8|7pe?NsBR zw^v4_m~x^bli@yQyoTRvb@SMBFONGU-Mh$0CGjZw9(M{<0-b)3F2$lgzpAdPE4jVT zAd)!2(Nvz#tB{K8WP<w~>l4^-@N9YM6D}0<uzH#kXssWTT>jz7a#xM|viwq)B)k(B z&Niq{TDE`$P@g(qIHgW?@3;(!jD79i6$y`L=A928d7ho{W%13hOLf=PeAp1GA{^QM zkYx8hJc#dsw9T7vWxj{f3*3+%%@lV6J*Q7DmC{z*G!GT#7i^4YOAJY17w6g9s|mk| zR+oO163&g*kVeOc)2%>jD6^PsY1I4aHZHTSXprnf!sQv&Nvm`a%GqB9!2{;X1lrrY ztb1YMbZ%#&909#|I1h1MuKDr9^YP)xZFSOOPI%C^21$sDhjmw%K${Tm$kj&YY2NP< zl5u6r8gpLeh?Qy-xh4DXJu?0NDs&vB?PFCj3^(A}5-}s73xo{6i&^Bc*In8WH^{rd z0WcbIkGqIU0-bS>uE<>C`zQFTOB*61&}L1IEr84mkQUb0xE@YOCNeMlV*CT?rIK*& z_=hBFE=Z;xPuI4XBEJiIWQf<r_+Pls^i5O(8~kt@F(2#-hfNF2M+w8@j|~ZsOe|?Y z^9H?C-5MW6*5x&hNC63`NW~4wKqxDc-FvS=)GAVN)5gEC!2=Nsw{~kzW9c?TKrux= zOg!f6OB|5~e%N#qmHUI&1r3KpTBf>pNkV!olidjnoQJ_kr7bjLtI#+KJ)!~kY34^I zP{9xH+?o&eg2Rrr3AA(S(km6=bZxY@G}FReZs-wlB{nqUnK=Ogm}0R$JV^VY^tZR+ z%GwX47c@9CqT~rdY;`7ikke<$>G$Wrnwc7seSCi0zspq8N!h?QrKLd)5OW#Q5?kSF z#^kepOE=*H*#R^EaIhlT6=tE~C{D6CW`W^w6r)x^ZP(%qgBBCUSHI==dJ*r*L={I% z&AK)uvnmm{P6f#v1i!98GPl7m8b~HA$(`_F2II%%bF3HjrT<N2xJ<v0zbOHs#<S&N zNKokEVRg48aOMKW^MdpQa?Xd}!jj~3pf#Ch`{BBg>GyR2N52l&Qcc1QnZRMc%n6(h zJgk7#wWI*9-YIP<426>hgif;m-aGV=wERANK$8oV8v}<m@ysU@;qgseDDfC|>G_O* z13J7oeZ*s|nEn!i3(_K#g6n}pPKA=)JH#N7LVyHNNKeIUcY*-tVItFC5+H^Dl0Xtj ztsp7^13xqaB)9_DfPX$p3m!i$bcuQARpN@B6;vYshxL?ZRra#*M&xvJfpd(EdLFEx zZp{9O@xbi6c^-?bTr%z<VcM?+{7F?hzsEG>WS`FQo^?pXd-_52iDsVYtxFj{XWu;5 zN%7^<jqEY*wKun&uO{F686A#f1VUO99+U!vv@~2f<)O4~bGV)H18LN9^<GVj9NhNy zt4#sGSj|-_IN~+Hhsn4hO9*9ul6$WUM6Lg&d%q^+MxapF_Kt4U7tJbhK!YV2Bmn`W zTGWW3V8Fu)<cO04z<n8R$EPlh3jMcb=pw*X^EShV$g52SNDC00o(qsu*4OSGoRCP? z6!$J#NDnI@fhb_tQ-CW^dBix1Z)%8VYqvREzAX_qlnRGQbIvEef=jW8L3*};PC)>? z2K>GE%gw*{vH|fzpb}hxcnQo03j@|RB+zE^verGkEM}W?&u)cE0`;L<{FO7_>&vgr z_Oa7*{({D$#_p4q+`V<{^S!Un^?ASlc)ju5cxrT9Z)$d5Rm1M0#h()$|MMV?cegRW z-**{*ly)hvV#I6CWWhi4x*)o&&pW?9za6PTeOl1P77;URAKojk`eJrfIf*j1tZKxm ziKtzAz}~{MRcArC5WvG)YeJws<zcO}CO{~+Hb+RargP)Nv%PC~|15qz&zr12+xike zh#$Nydv#B3Gp}(H?ZqHFXWv<UuJ@ze#92V?_0>}~J?Yq2SfX!>+MHNHc?jfrf4tlc z*<C(!Ee(wQl9qmKr`lwCR$p(X5GwNK<mHlYa4(f@5tAjvp31hU^^yXW3Oi2MsfcI@ z3DA6n8}bH(O?2<=fybxaoKG`@Yp1zdCv5bdc3tz+9_l^)Lo=y3k@W5>xH{@>Ii}Q; z{(YS))#5qsnkBQ}yYoagKR2JHFEtq{8-2Yk<yRvECevrZziy!-DWCVo2SX7%N=vZ2 z(X-2iz49mK+!cfY)%!~qI82!u`;qMGl}EljsN5bn%$I9Ek%O0Y$B-a8#N*Paba+q_ z|NhTpuUCNT*MFRw@L&mp*Zka$dRZI`8xiv&4;T?)-`Oi;-*Xxd?$vTXDVeA@G*SJk z_)(g*c5ul^^(zV@%UYv)k33cSre~x9*V8+~o(a_|+j|YY7Y82<c;68~CD;KS2=wp} zuoBJT+--^O{i$$mY1Dta@4n_|HPkEX%wfppcl11&P0i}4_jK}_4U#Y~Yu1!i#{zc0 zTA8%!p!Su#{Bdbj?E9D(9!-z3KUK%RC&GQ2Y~#SzYb=*wf-2j!`b+HPD%*ADOBbBq zqVbl=xE@K!DNxZJTtH0#)`vrSfYKm{o%$Q9eKmJpI+o{tk{zUrS^83^nlmTAJ85yT zLPOdm5oA<bJ|ri-`*h7u(PzoE@js-W8j8X!D#Xdb{~Hm|cmMZd>m?Ya%C^{kP;>w_ zUGtDT;6`6-$D(O}fN>HhDmNPrBk|5Bet}DUb_22mUas)p%X^RNxNog7`*E~AVFNpV zTA9S;o$y}oM+^Z~FJ#$Gk~e4evzr@=e=j1t+imtA!^N4zc3#@lL;==&jqBubzGUbg zozC?%jn^}En(HWy+cUSBize-c<k&JdV7L_A5Iuxa6Ie6}h?-`qdp|YMBrbnZp7|v1 zJ<efC7)MhU|6X>om#Re#e9#~m1Bu-H5lvX`4loWA<o<DbRLgy<FaCYz5Bto&EO)9X z3VT-}em^<ssRaS{^egc{mMiFG%Xu-ooZc&+6EnMt?Y;QyWyZRv34#3xcs$mG3pD@7 zG2mg{GbKQDwl?+H{BF$=<KLG!EFCncT7j*<16#)=yni;?y9tMJ^b!i&G36@BNw=?i zZ4YKp`n-F_%To9JkI~U80<Yzq+qhDMPdQO_Gq$$f^h`z_wT82~{VqG)eYyx=y}#|Q zy@Z&Ho?Wy^I?ZD6>$t5ij;sRi$u3xo5#fRfaKFq5w5dF-UU~!w)0VRln~%em^Tgzd zk6nQB=4WAE;2?II7{|#cjA;vYyZos*$;$BX7<KZUDrlsG`}`WkD||_qQT=Vc0OP*D zy_*j-Q1q-reecC?!`$X6_tXpU!>jzLTtztSs?dBQCp`YDz<e4Cu6^~7^QO5`&qtEA z#Z(#EGS(ow)3>}k2b420*m=G*%C!(joS0qt;s5WX2?2Ji(qrW8`c_ge8#};kNUuEl zkN$!}oeI0iX{wSq@>#7aW-1KF1V8ixBIN*w`2p7k2=tx`0g_M|(6p*Z%GxM?-=aCt zlvXYi>DS&rN!sIgYO`f!G(GT>T(r%fs6!@{0?ULd#RTCw48AipPuBvqk=H8^i=JJ@ z^#ado!24K*z#hZ9Ri{Eg6Zuee_OEc$wZLti1}eklu%RqIrU3k8X6i9qb)PM(Sydcy zc;WGDwrr@HnTe$$;;FpK3_mJTYw}?ISya8%5^NI)r|}ZI^Z$gi90;ciu;InPfN;$R zR|3O~n2%xuhWX}vxa)u5lm7!RXt;{|3tn_k>|@#i*2r47r44uO>p|3Nc=*5x;V(IS z8PBm@Y>d&fv%_3Rru?3{<Uk0lgKjBZd3vnm)Y>CZT0f)?Tsxq7Jt1mBuiX3fAvc7M zss1C#`*vKF(RaBwGLd%x)oIMog59VTBWqJ}1lk<X;~(HNv!P9=icc@}UrLe<<nv5j z<T{e(^UN*ay1f@Qu9><HKTP98<(9%>X+W=jg2(@QKoEV!lO;0JW<25HL25IWTwF-p zV1=1W9?Fs3$-5ePW*nq9&_r^Oe80KVYXy<rs;SaBT1?Wpzl}3nLS(9J*XS)NumH<p z1uTafBw*_$Zb%!LutZ$C8V_rY7D3d4Hw*XiFZi0lzu;{vH&`c4Z#X%+Y>gd?8(XkV z6<3|b@fl1g3+|XSbzV$rnLS^g`*HTp**5^`z<pFf_<r!D;kJa2=TYjHC*>OpacmJ^ zOP>`OTGV|W9{*}OH%%JOQb>6$B>FSRNQQc}kZ6PS2Pf&FkfWBlRr^O?rL8+dO=raR zsnaJ$dIrRyIhV!BTje@0Gmu~${Fp`D_qgxhe-G24&FZiHLEH|I`8fQvjOp-H=*i|7 z!||0QS<4^kdl_HiZ_CbHv$$sde6S&hYGn533`>wXizXZ8+>m0VvfrBLud|$gt^|VK zzOIRkJi-2X^+So9f*#-B-Eo{bwm5Z6B{pA^M=YleePpF-O0?rl^<0f=%CZwR@f4ch zcv7D5I<rMB_*1O#6A7vMMhVZwcVF5U#tX)%tdGzR&QzIS&&R%=o8pq8>Mn?VSZ>>` zDYq*03EzjDDKoLd??n-VxydB+w``3MF;9KG_a8qFZ0R}#d7Od{{FV@oF9rnrzJPRC z!nif^uyTdsP@S{*>n#1iz*fMy^}!#9FEejj;|CAB@e0X?QzIwnmh_>+sjT+W!qU1= ztW#r!2G5HvA|Hbov6PdG7c%D4g_UPNN}Q`^L&ZTVucv*p`O}!doTH1!=H^V=am(|u zQypht3uHj?9jOVnCyfmajhVmF4_b0A8Gd?rP~D!yF5l8PS!Jbe(8ro2+t1KUluHWe zXKFTUjghmN|KSg}{XF#5RXcNraHG9k;pY>#>bFA?xeY#zPrYl`p6>sdYcW!Dpi&O7 z(sHc&loa#)hD{`|WK%)SbXK*TuyEyiHFO()LVSdhLP=_Exl}u^uZ@jQPjsOqg*Uby zkG#k*FsbQOhqP3jd}&`h)4~7DbWPU#Jo)t(KK;Gm*#6I>vh;=_iz`}BBny?DRM=%= zE3k3Gm}<%5+%v!GnS`LPPC<3A{<N3hoJbl}%;r^{U^fqe73_gnTELgE=F`yDKWL4h zJ&WWRi9G*w*^Pk*Kb$&AM|iI%>SL%mLemj`PU_2!o7ypH=f@csF!NqhCi4p(Hm01M zUfI@KlV4i*$06?Et^*<9yz!`=A7RHw2x;H6qPEv49Q&2$^s76)^yJ{AQI*2tr^EVc zLBvvi=de?-Jg&<$L?)K5gy<XMulaAukV*)JCfu=CjVvxrXgKXAnby@qljdM##BvOt zH^QWytuRt1_TLiXgAo5fe%)L>vMX1GhZ9A~;;sq~r+I+8b7@F+z6v%&TW)&{zA!rS z4Y7xL>>QABhuV7sZ3cOq2ISX7wem#A$TLK^IdDT!fgNqrXRCrg+0f8&`;>d~XsYqm z?eqSz-~h5K&9{c5D9Pgd_=m&Q&c&JBJ9+~<6r+2Np=6Oa$S}uxdF(pm8+)307lz2M z?JDKb-i1i46yBpdS*dpKjXVzSgrDtB-SGZ(FAs4>z9D-3d2N*5aXquR3=LiP@2Y@e zi;$p=4C^PZKkQN@FP@hLj8>){J{`R>zBy3&M0~B<3FcH@<n!u)a*BQ2ZuITB9tOTu z(Qoi-?zBDN{4><i^%QF+K9OEm-{_NZKJ~8gU2*YN#usVX`l;tzXFrx|t25aGyj<s> zL>`{pYCZ2PhZe`Y<Ew)>&bNS8^h)98lc6i$mk<9WJP+HIFP6SrKh^%@tlEjD^vU<J z;;O>=hTF0y<CrvV!7&M@h{F?_*7F8kTq$qmpMl1~h@yDUPcg~?XT+-eF-Elw<y9Ks zqWtT*A^%8mjgCnYv3X2VqRnV-W_0?i6W{kkK5_c5Rn9u8P!j-ZsP(+nxVElA#~^5t z<$D|(Teal73`xR-3Da%6g@JDMHA|M6&GU`3C$AR{(3O+p#UmA&np>6}GsJ6Bwj=L0 zG6ue$J+Yje|2EfDLCkOWFiYqJZ~t5+uhQmXpj>{_P3Bv#pnCeCSYiBfm7~_pIx(sH z@igBL67Y=Y^7k{Ysk5?iK_j`9{m&jw)yi_K&l<*d9=-5r&cB+l`C_NJC@r|$vj7Pr z5*8DM0%3hz->QU^wtKl28ij1#K5@-Qa<=|KO?4Moc-N<yEx+isL&V6<zw8>2@YGv^ zF{x~OS}n29U8$i=dnm)#a#m4Om0#1^d=LkBurlhJ-vke1ro8?sd=TwdEo67tSuK-H zG^rL?s97?)AIR8V_Mqd92?OL9TyZhBl|ASWHDP#|cK7Yn-S1y5jAGtCepi!^y#tmW zQ{^BnU;B|Kv2SmP5v?IVH$97n>n*dQ&F*d{+jF7YYBl?Nz#Aqzs|D<g|BP9ib)#kk ziA|b8_RI-4!5@66tA*%(jtCONW1rinM|prN@xx?$xKCSI?6muquRU|*m1?2I+CRzm za+9sKu|qQ^DG<}WJ~7U6YcoFEiA1aO_nW2aCg~ZHCeg{wnN?*LrlMU|YRS1`Cg_#@ zd9|s#Y>g|7nGL*iTP{HZLc@Itt)m+jq!bIM@z?MA+&E@7=P-BEKP6Y{(5Yma{i@-9 zcePR&of1_kyiC~YuY@^6rP}PYr-w<yJ8l~CEicTE>)wqu^?lc5a&L*z&izvtfNgOo z$^PU7ZJcWF{`w|khDG-CW`?;wp)J4lkH=9&p+0ViB}j(FJUj6$<Hau(15ql;WZXTy zs`Nbx`yv^w=Khh_(_ftX9FmI27@Y+kMHg|UI0>NBi{L4OeM|d5zi0lDZMFJB;~YcP zRB_E|?&XT=rsM6f+1TBQ<ARn){`;A16`zEQ1j$FITv~Fts)LMZBQby#A5Up;O>hD0 zKY>YO2&fw)l+3?*qUoi!ahEmc33{T%ii$h(^W##>4nu2`^F2mY>K_L~cq^svqsx>_ zn1gJsN?~daH%eVQ!MS^qTSoU;BihQMh>0c)J6C^~aVdmZ8S&VBD}NDAebwzptn&-+ zw$GCf&gTz1{L0(v{#2eQU|e|>h6|PNf*|rlVGj{iwtxIE^+Mf&(}22bQ|nVPyJF5S zKZS`^JLR`jZw>9dyS6#@?%I{qrq0;;bS<shw?wrWVRrWOVqgGsf&KiRZyG-tdoR~g zn-JIbC$5z$A^0}+EB`KgJjwjkw<j@@>HE(#Dn8j|kX988?z4;BU*ddqpIuaMNt8|{ zN5tyImZVqZa~zrDlk&h1pH-bjjC}ixfDkAib#_B_rgSsOH-4@IUUE1J8xRn#(ILQ2 zdAB_85!mT?w#4-a7hZ)QHoMT4^caVnxX?Lv_=H4k!5zEYLb|tT9SL9MT!nXRO><=A zI8vyZC4+A4xL;@iv7Mf4kkg73_l_6y#}Q3(bQ!BVhItAs*lT1`mn9wr#W&u5Pu$&# za&uV={Qjj89}i1`ebVe#O~Wp3YCU;BZDo=pqw^?t`RX~^LiuF(;1g#$^SkEh?;_0a zoC&M19b1Y5v>lGFGCNOt%~7u(=-@eWkJcK&=iFCae9n{beRT&ZlkbkDo=y%<0n9#- zW{Z2?{c`JCeh<DBne{z?T<Tpq1I22@qe-`*(dy{!6YVwOP6m4^=}vLc@e(*lTZrhC zkJ@Jz1vWYhpd*XmQX1d++a+tdYPKXxJYYRWtGnqNatbEdLri5<zw|MjHz=GD3vWRN zy%aHDztZn{COB~?uu>*fyi9x%iBWJcV)|JLLi->;bZ6pY76|Q=4;=F%$_D3OZdfA9 z2Nz##1Xh(HzGZAERh1(aA~tM67J#5NK#u;HCsW6&pG|a~@SD}kZcZ?C*e>{xZlwM( zU4CI4bxc2U!0_H6V!3?t5Bkf)85H7`B*V|7x1$s(X(cx!A^Ng?WnE+W8D78iFbEyP z)g4pJg#<&U9bF8Brk3V{e-_bKSngHy4`-tc%|IOt;;E5?YT`zq8%S%UY$!d`MRsXT zq|0hxARJEI1iP$Bd%lMWhS{B$Cz`2EvU@}(j;TRD@?YUgxivE=zGCW07}eDRHne`< z_3yaEk&G8t3ro{hXY#asr6h8-N1t%ANek`0z7ymX)N=N*;9{L%M~+xBO*D1i;4h?% zyleD_GOw#|WK#3X#F<Pm3Y|twB1#xeZcv(l@|pOu?B8Z<``XuKS@6251IL0%)<KZ9 z-P`T&$3m-Lws=Mg1-}I7U|>gpGWRg-G)Aj?55QK9n08e#w0B{Q_;)L(wK*?(jPXa) z-}))IG4i=;%!xtwj0D+EHb<AkQ0c`U%|m{#eHEIT!aHM3Ub`Q!Gw{k;wY>?~ncI3+ ze5lNQR-1lfFFK)ZI#R)PLPz#}KRR*d_TnMY4H57)>GVTlzs9xf85WoI+}0$|AC1=% zg9szjUq`?G%kx`8BB2w0i)ZVn-(k8Ud1hsNxO}_@X*CVR_0^@9qQbdh8qzC?;dC&l zw1CIG|D>;0hrk{Hj-+aY3oX2?wHgFkSzZ^PTDW$T(6^>8UWlwE2<DSR0<u6b{|<yQ z@Wy=N6JAyiV}j`11L^tL;8$>K4br?Iq$LX+J#Ruzvp`4`0*MT~IUjWk1PZ-PSIjh- zZ{IG~Ng2hEUV^flrj8RKP#%*Ds*JFp%1ALJF5TLOZe@MaC1;h<H1ZCpqVWkiNHekt zLNk(r6F?PBEU2Ps?daA7RWzS5&Qjx_fj>V9O7jXk`~yJ-Uy6wotOY6$>_Xx|IfmUN z6Db^nm)x740Y{6X4txbDIV+E_XH5IjSkT(mI{yVO7*pT*!cu=tbI$Sj`fanPML%i- z`BABAa4FfRkW;xN_l|`B1ppl2QUN@W8}I+cO%I*(pdwS@KIw@TFRyNi>JiY@T&Oy& zB;0iV1Con2539I30a8Hj()i5|q+yH+h(!=gwjwCJ;$i)n1Tsk)(zY+c?TSD!IU`tW zAqRnqWL6fh{_xtPzjcdfXrJMJ_cY^vy3ZE}G<A@iVFQ|f08}c~K`S)o$Tml+J5B<1 zZ7!ZLkrFQel-Y#DF&o;@QEX09_i}<Nj1m_?NDOLPSaaIP``TiSTqfV#W2a|zg>4Om zg$DzJ`@)Ky{zi9QM!)X*2enQ!rWfr88W*x;FzyFd6hbw&XiGZ329l#aiOLC;ert8Y zG#49JQN8hU62v{Z*y-d*NQ>7`2j0Ww{OQ1@d}4^)zI0loLgcQ<H+S}oI3spd*0b_$ z8f*$mBeVHIk7s{HS1o06Mc1#nrM%AN_WA^$^vv=RJFEEP{-K&+@;hkb@;i*8E4QU$ zm^1Ali!NwHKSRsF3f^)+G}ZaeiidnppxcsZ$I%@|Pw^hEXTONsw_5q@_CsfDlRCd| zB`p(oczRf$)ze^_?YI+Kq;{Jf3W86ipnf(5QIS$F{2mpA_b`ev&6mcp=1li4H^<4j z89j%A^zLk<UI!ZscD6yUtJT`!1Xobg+?Dzqm);r8>UHNhGGn7Jw{rE_!BIK2)AP!z zGY73~bi)nZIuc!p*(PLM=-`r*u|Jt4m3&ABCg<LO*pI1+gtbDdDfm!b$Nc?+$VP#@ zuF@GR&4<wm&z(6V)1^Gq?YR@CrFPTp3W6!yYjk^+S?<f#>h;^P@X8%)NwG(c8O!l? zaa1m9mKIBS`k}cKR;70RPzAxcF7&&js<c6s@gl?@&!p6UeS0_UYuQ%2AX;AhXVL@x zNuom)anW^2v{zLj%#~iGOO;dEm0q;pskCQmlC*orE-Cnl5ZrT;i+0YOXGJ!KCyNMk zNzJXjuX!u`T~sG|Op|4OR1a^=FU!UU9SkwymJJWOBxAZP-#sA2x%|t1tL}dP!jFI$ z>Dl@yA`RnC+D@w3!d}gNGPF6*qm=txXbT>6{C)V!AA7#bxoy*2=sM9MF4&&%LTv{Z zJC*RlUi5u3Ml+sAHTStvOnK0y_u(n_VVBoZh2gJF-Do2j7(L7$(<T@(?wI|5Y`u3> zQ&AT^_yGb^6_7|1H8ha~Ly@9@qJ$z%K`Ej4-a?luQVqpWLJ$O`H|e1_Lj)lK5$PZu zl&XNBfZ*@?eKTv;%$h%Zc`Wa{$-BwfXYYN^P28~IHz5qhF4$OQNF28-HuCD^m3vAb zAPkz!gxGEbrir;Rw)ZUNcYM8iR}6%K+YTH12@JHsM%GMTfgU+vRD^nrNmgx0Q(+^L zO&*fsJ_EHEaA&KdZ;YJ`H6d-b!L4P!OkT03v&E3?X~2`SO-Ayx#)G?$f$V_k!aspo zZLqO5U{(ig<WA?n87u-Zy<9+w+*FS1R>3rB)yDR!L3T1+vEiZ+h74zHtP><I!yOyR z-MMvoy-;#(N;aKK937(@HhsdW%I$toSBo$~88>aFMD>Om|K@v%>{2$4<V!}9tc*!~ zSS0aLjT&X!RN+cUej$u6kxX2XG7bn9XUe%@%Z4z7T-~!}ldynDFxg_OA8xWGy<McT zuRT?PuwrZ%YK<WLnzjpdk0DfKTeezL2%DHKTb&++p`66OGbzk(X@5Fe>uDswluoGq zVI;zovtN65;tJ+vLT&7XAO=5Bt8TQ*TdGFgfT73Rk%s4DiB=gfQyiIS69o%4=!aUS z!R{H5q1Fj7>#=^Q)$0j?tG7w~5-!R6*4p+?waO52Ub}@F4F!Laj@L}jCVL=pd(NQq zaxuogQ>p$?wpKz)ZR>OWLBGC%&dD3UOyGE#&rQq@;wVLgntk5}dsZ1c^RU)uZrs10 zhL{A|h!nfz=+!sY6Hc?LoG;sRrFMiYZ)nvV^e726I2;tv$!~s;F6frFby&?3Zir5N z>NkmCak~fK4Bi?T-<Z8FA#v`K@X8yqTHrSc`Ewyf%T`?@%oSlL39_hL@_Y15MT!zz zvNy^2!D#lmKUZn^22bA<UF@&=YF4_{o|^jYR}hnYu%$rysmv~yr9jRz8AdMK{Fm=! zUT|6G<KM_U;5s;VRbVxI8=w^%Qvo8hTLvv}Es&Hivny|(AHF2Rct}xY%bC8m%ET)a z?DT#KJ@BHpLRH78H^cXX25)m#Ew<N*_b}KlKfKEA0=h?)ZO#b3-{hVE&*6nI7`b9! z3PIwGoUwR2h=x)2r3vvldko$jWyKbg2ExOREol^l2Z&<=2oDEr>^>xJ(h?h)FnL9x zgA9=%S7<}LCS2Llb>WLO@1aU2aQ5l}=+$XBdrdb~;x;_FT8uaHBjC|>l2dMMF^Ukc zNf)-H5XjD?2R57r!XWR6ja`_0=4>D*082*f{>r#QJ?Ky_fyp>mN1yS8at+fI-w@l& z1p<$t-X({LjBivYoxzZ_>eYz_uIH~_tX0PE_o_`krx0ywk)}l;_R5gKMaF`bEy#QJ zMuLkr7!n1Ht#CchcCk)bMabUa+zY-{Ba&SuQeoAY<j?^C!bs5e4HBwUqfUWh1ZVzO zWL&Wpw|gF|`R7MxEolLVG<7#2EhQp@w^4%g*~oj_CW4F4kk<St!G%XMv61A-E6MCh zb@W24y0FFFe567)iu5%DNu6yXxEzIqhSsW6G%<odPeKOD8js8)*d37DKeoQR;HDMZ zNKcIAOhNh4*EnG2Wz<J&<1;WU@`IkS3M>UlK^qHJoDU+c+}`<*RA*&sRQGk`rV3nC zFOTu>iZo=`E#t_FbR>z+nB<y@BnsE4DGbKZ<NKc0!6=~`6@6dZKG2BADlF%H5D<@3 zSgrlQQMt^En(Q8^{Njc~j<Y(Fzq`ozn|&`AmG~+fxQ;N)72i9vE^+7nXKkA!&H@uD z?wenv-N{*Vt~|U`CeubQC%J*RYjhYZTiKdt<(1#0WI?~MZXboX*_lnh`y!)t+eY_b zV$kovpfB@M!q)!49S4j3R5OxY*uJyR)w-aYCJL;7I)ZXuFBDy7wZASJ#<ul-y`l5K zOiM^SZe{_!|H3{X&ZO3}3C@&$yY@$u7*kGA?b)Uqn3rDwW(Z*L?X~I?A_uW#&Yl0H z3>}b(3*j(R*8cw)C1mJ)EbLxlKXeffvrZ&K7o@;)RKB3c0|f`;>j1UB^x7YCSDA8B zYR}3EVP1Z&js5)qB4GhW#!m<+jjQl`nk`?IRpH-h|6t?(LSZ3hSD<m#ey{h27WDV~ zjje>R1I)NNFukf%ZI^x|Sep)B>si{+I}%_I)Ynm1bNHt8_eK;gpOTpPJi4tZyw~vW zip9ZcG3wcvbg|;8?t39V{`R~`a<^gXkANRJ!<S1O-7D9%cyYi+z6CZ7h+{x+ZQkE3 z)_xwBS5J<Rn)LZIZJv4(sPpdEd(ILy-^aKg@yZ+TU>?xKi|;%s{ct-={HJ&^V|#BO zdwWo$ugdlf(9$$e8}#d)IibsH{GEAN@1|r~E=d?8=L!-h9VVcnG4^B2W~r&4{j=Ug z*pJC<TB_;VE4D5W71zE9yl&kUEAJw^stg??7q*z25DCqd=k2GJ#*cikM=gotMropt z|L&<8pbDZmAx<`dIQ&zbo%(ZQ@UxAv+~W`l8<2Sa*!Sh6{U$+Kze-tg=`GeL@aX`? zQ;<Mmwid{_;dbFiRKh)_#z!Ov8Oge$1olMZ9Z>jX%y`oMh*zGk21~Z$&A1tn5X?Gt zZ9Y8V-of-W>zo8i%Li4^FcAR0ER``sJ}feUFWRK{FxUkfUI$?~?18d5z^sMsF@nLq z)#GzgF0<Fy5GkLk`MkMa*@)jqQ*5dSrXDc&OT<vguMXeoRZ#jMStlHp5Snof#d~Wl zbB%QH^B^QJw_(U+3;xFzD`Rf^VLG8|=g-}$&M(JdVkt`6Z-uh$PW=L&=Du<t?$m^B z_T3TnG<r!ZzE{^}V-ERE8Hfm%H$E?Un+a-fr%a*1)PMiBbR(OEQtD@B)TJcV@Y9Y@ zAx&Cj>ZDTRc_|?#YGDWE4{FBeB}AFP3s)vaYLOMQZBg#-89NyQ6c#CQCet492H{~p zxL?y!<=0+W?uNy3Wzb5voU^-2e084y{}q_!6nK;!MPcjcE`xa*XVCJC)pj`qLe9X* z5ibjk&({btSwkF@iFsON8h$$zKfYPmTV=i)!DI@teb)O&>-E)hQor;_&na^fzof!M zW;UGeo5UFZKzx6=@8`DR=;9WZ&>U0tE5t<YNX)KEqWHLC4en<DcQT{%lD)5N-L8M! z$J(xi07w%bxT}2Qd2c~)_VkR5PDQ1`KU-1)d;VQNDRWmpONOaw4g9$zCA8;H{Q5;v z|Frdlz)nuIjq65ZnkuSed;0}$J}Y!7?j~T1G>h*WTOKSEip6hav5r7A#<h>@a-%|w zhk9n25x$$Hv}>=N{2}->R|tOJ{@*`3{QmF(%yYtE`e4`D={1ti+(%c&jI`1Wu-BOM zwG>GmTCe_r1EZqQpiU9M2r6t2-s4=Xy*X{0GI`ndoMh=q2hw!gn6y+0Fx^OSz5#j9 z-B@su9)thVh-B~hNViZU#2cxxD_cvS0Si+Mdik+<a)Y~Cp6Q;9oieEh7CZTpmSLk+ z{{d~m#v7L}<rw#6&rwxzL)T@m$$R#2pFUOAi*C#4cdGm;Qd`%HTauiov&Ekaj~-YD za!S`UsJEPb^^(>tzKV8@-EroPd06MKx$87_{-FXP5oXq|pnM|#_;k~q4#$Nq`Li<z zgG;z~hF|J=Fku%Tsi>+s;gA|ha{#*hk|G8CK$l;7B!}Pj$KGv(QS29=KGrv^`cGRU z%^E;kQh8)ZgSy<jK0}NvXp9hM=ye7CQ*>AT(v|OR3Uj{&tybJluOrRI9!%IIM1~k> z%2}rUuY+lWimG=8^aQ=9Ih;_W1N~lneg-Aog0&ukn`l)cKhW4;#Te+baGoDT8PtHr zrZ9lU15whp)ZN%GFyCTEUp(#i3k<EGL7`WT{UAedAv}(qHRH-c><;L46j_L@xwlDm z0($hU1LGgY7)vIB&Zuh)K|uEAU_o6S^d&8Ty1MWJ{tc+BLrMI*&&~%KSyw+)`-V^_ ziqi;fO57zXoe1&$coup8NJB2KD$-_5Q_kx3`5=`$R}V@^s5glptP+^XTf(!h;9 zkh6>eHwIL1Mx+f6sNT5qL0T4951L5UZxSc0u#sj;*y`SUpxf-FXIC&o3?;>rWXjMB zTC|9+R}Ui7>Gz^i*y|#1vBzY9UQeU{wpvP=5ve|dW`nKjaDhtTV?;*|tpD+JulXu@ zt8+rLv+=8C_AS#7H_lULLd|pezn)p&dwrLtgVXVY@iWz6fg!vUwf66)Vd9oaj}F5> zSKaz(XleiRGqtb0(8Ht@>V5gEL*X|-Whd&cfYL=riUYN_T=uz%uie32_WXBnRb5(3 zYP9QUm0bQ9+I4UBTq=569`xN@wqshJI^EpWo&kD3gyiMwjJB|TL(A3ei`2e>;t!J? zsP_Y}4~1U_^Qz>sCDHQispm4B+oOt!|3L3*ze#_{NQn%w$QA!}X5Gs$SIPejkEcoQ z)y6YCJJ0XhxHFCjz76hT>)xZvbUIS)pqA3KxL88I`Ru7FXURv-XLP0z#}7i!R8229 zQlQU-gOkt6*&8WYO3B`!7+Mys(o*|ofX2l@>irC{p>TO>mJIk%YzB40p;0b_)Gn0> z^8mf8@bVgX3Bd~5x#IeuXobvGN}=WXfxUZQm_gb)@2-vTS!t_azwW93HhLK^F0fJE zR=A~iy6VN09sv51I@E-=hjo|vFUQWn`hgofUoxbX-mABvn5{nXRgHmO0rbg8F{mkk zJ{cwkkv~2KN4alx%}T{X7Odt!t*=Owys2!jsa!ClGnPI%l&iZdmsQMaVN$<Km~wau zL5aF~RBn8;^=z0r=<n<3GZ-?LpL|&Ex?(GmXzK)yQeJ6uGXY1QEa?6>*=34jYpnbA zNHK@MwGG;4BMaUbgTYf{w-O!05(~TXEyjK4hKS0Coelj4I(|KQX(=K4yk+{V`_oeo zzo1JTT#|fS@1Kn2rPW!Ky6Io^m2>*kKdCz!z3vzFRLR86u*9K`(<kcbr|Ty2lR_9U zMWM{&M!xm9sfX?8l5v-${g(SDv*7Bv%70fk*@3G)#-i7Kqgu9&iX06~(rdxxEtCH) zhk(l)mW<p?R~~lC&AblcIhH1jjS~v)TpT)OZB1fm+q;xFz4H3OVTj*hYUXbGx%AHI zc8qL;v>%RVZq3zM;&07gqr>BKE?;;<UcFj3$&@cCIbcoX7Yn=G@pfuX<HqNqrRL91 zZ12Ze0hhD#RyteR9#`qDI^Kt7UDrmV;tkA5XGYuK)4y_YE&l6O^Z6F}wai_mYN@UI z`-dCjD}1cwgRZuZzj{6PRqB0w(esh-)r*fWdYOACz_awtZqHp3|C@OC?Z)S!ktx#e zKi{;FInhtI`Pq}4R%YMq>c&kGXCi)`OA9M#7_0YfxG60&-abA1+hKL~=|iKg8QXK< zze3(!e`xYPf04Z?u{Wmw&PiG7H%F;7g+L^mJ~?SIaM+?Iw7tDjH@|e*$%{e1w=Vdi zUwwx=Ylt|bHwkn90O?bvQ8edujFMlY)k)E^KxPQ;Nv7NOmnGYV-oo8B8`m~kj9TZ* zE+1S0&Utp(AnZHXexmMs^&Ieb@A`V|JOUeG<-I$#`h_xT%LOyycAu>X?&+T%`O3$n zmwB&cwg$GoR=YEL7@XS@ehPNz<hH~<dnKh||8jkbJ4;hiN2rf)5L~LBLOFkkH;CqM zNYOIJ3rcNPzrLU4n#^tf?>+@sr)AwyxeYI{?}fB3Su9JcyTzfC4|h3{T5u7xs32S~ z(^dzPj_}RuUtup!w$;H9`F5V{)Bbtf_Q}5TQQXa5^w5H;@6ITVW)^2jw=94ufrm*| zpa7kAmqv$4dO7v3fDWVdYUEvx4hsDyTG3(zz7utKU{y6&JcnUqsp;+jyK*iSZ@K0U zKToZ4F53pcDV<yfm!xItgC?9ugah6E4(g9qgu7-23?nwBcSCSzr7dgj-iI(qFLFHV zp<Hw%wgq=78SYUP-$5i-T{*F0d)7jMl=NynI|>F+35wuo(_FURGd$iZxePG?q6qd% z^Ia9udz@!j=>byS&E-EnvtDPCOLd!;r}lm>o5>lTz0$iJ{D!}>p75ZRE_)vBGmZ8N zD2`aQ-8Jh0FJkl4OdoV`rB0pVABg|q#@c|BOVND)Ryf5V$L64m-SEu1=aXFVaR8NO zxk|EUcz(3sz3<K_y-5G8XNKL8xE0(b?y!f{9%~nP7*javK(9DrmvL7S$28&)b(h9~ zQQ9``u7Ck(gHO1tJvPAd$soyN?@dNUtGLCpzLpKzb+AhOytM0e8o5-N0Iy5$4k(Ha z;onkgPvAfvXbtWX-f#ywqjsD1S<B{yl3wv=uLK2$!WF4mCdGzgL#PubZwy7!9EQ^j zuF%LB|FZ0e_(^s>vo49s<+lN?_y)ODOJ{f_&2!l}&hSV*%4JB|rOK?xJSR8Ma>zJ* zql2x4d;v5?4CKHT?<oO0j?x`TZnpPrNX6rBKXJfx|6Dh@;_MiA$nIx!#VS3D{ouC> zQ3)gHYM{c-Y7AY7&1j|N1C3Q2_M3yf+miQ7rk3Z|?p+dQ&`qiSZ>ub57`FxXJ!k^g z0Ijmu7Vr!p)87C+&^6~<HF}pKZw*^Gf<AmMhM;)R<aiAXy|(ZY3rNA$1^faSDh#-W ze(V?9RTePo&8qZj@~!`MR`Y;1FkE%UGa<ejJ)(q|U{<whtfD0j%sNbtyrqRRziyQ- z#C~7|v-0lLl$+-OJ)>X?1~o&b_RGitarIb5+lKS4edFFyx3q}a0#<b#$<@8Eg?Kja z$Shtd!`z~QjIo=|`-O=|tHK@S9S=;Nn>4qUA8=-h&rA|un>8=8_-bd7$A=$|_iqKm zS$vCdCVp_8X86TTl-^ALIX}3l3}J>cp4_m4?!eW<=f1j#Hm;}^;TrxH*KH>Z9_4u7 z)YqhymG;smzBT>$cs5FVWjwO~*vE5EeJiCYF}i4M*u}%Ip3pHcYDPFm(>h@CcHp&r zBWP|Tmw7j*I;l*K%HlCE844pZ!v^1*5t5o(b~dUcs*Y46`9Jmg^aW!Z(aI$yx>KTG z{a5mI=dhn1FQ(+zdKndPrN4i%V+7@`Ln??gc5QNW>c^8CU+l<%yEAqjEx_b?NUwOR z>Wkm^7wflC7gkJt;%!6oTx#u2{G7XuStU(YrIn0hqoS#vKDRv$s3YF>6+iLT_8zus zXg*R(<9Cgoq;K8>eBUG%QD_==0l~AZH)kd6Tz`*l4|dF=QOUi~XJs=FpQ6zkI#N zCe@XK2+H7<<XrlZVr^;;<aVHYSE}|cO14w~LTQm{=tydBifO;+u+>38x*~-SOl!}N z^{u~|b|hV+c+Mm+^=$+5K-yD$U$&^uounC3<+@3^bD-F{+KfU>@eSN|t?tw((yXcG zEmU*5i-ww-KGX4@!=|GI=imC<A5FA-JI^<Fdt+M_$g{<wvV=Qd+z3MwmjXuI8*Fa< z=-pH_h%Sl{IanL!9`>1c@RPHf?O)nd{QO=UnS_Q*T>5QSDO5yrc@?F%-DP|zg}ePj zxg%<Ywe1EvCJ5@^?BbuB;y3@=b?pFjkEQ!xv@L2HJZL%Uk^Tj{;OQXMDAlglvv%@u z5eMT7{ZN4Cx01OPWNUM9>xIPhrT6$tN!FAiH3|E<e6G6vNAZ&<*LqyTFW4O14I&MC zHH-QuPEwsq6O+oEzxu+9yMil8`Na-*2|k?a1;bhuKK9%Nj?cOh3?VCnJHO4h`Rb6N zrCc#KyNI97S25umwP%}!nd*L}^MZ16E#mmEBH@?0gF{G*sdUO_Atk7zrP;U?bgcH* zP#BoQTDRY-N@4g18VDwGeAp=Rg4Qhr)C`3e4)Mrop<FVEo3SH&5iBm(px=@*jO?ZY zPV`6VwSks{LA?+MHKq-zV)4CbpV4~d`Ab`H*9!r~3&)acO_XVE>Y3CVv)S2x9>NI* zzi$V>3QQ~3x;<y5Te+swyk_F<dwZqGgCk(-=N7eYX2<Pu9}%~s!QdVAXaAK~+xVjI z{WrfzXwNmb{<+`xrGAN^XX4VD!NsH1a-$}{>z3=B*{S>?&#^&EKxpg9ev548?a82u z@tlKG4rRmHuN0Y9bn(H63E1WjIUdnj9iiXU_ur`04|}!trjhp++2z^&41US__WZiE z0Y$qxXV*2@->NtPMZ5C)G;PJ{<O@DNV+858gYD@mS=<DuMVG&j{I$fXodK(9Jsv2j z${fr5t97pi+l}OHHm%0?9<Kjq``+s<Z4W%57r(?VD^>r&tS4^9=FiUd?1R7{8#S92 z;X8&~ll;yH>C`uaG@rc+S=p}qzIyb2!_8yl=1pnY21N5wW&QZ=0dW!SZf&^lYi$;x zBFBEKvLe$Wr+%B3g7r+3jj%yxjlw<UxQth5{F9LM^tTUY-gdmD_}NR8+)6(#+Y12O zEk*uqw``EIeF-*4mW$iRuCovFJ!zrXHTdC;RYSZVd$T%#GI{2@LWlnAkMg6mpq&4K zb`)v)*o-1HDr7p=La|94v$OZ|MV1f4sw$nI98pGle7Y30qy9V$Ny;vSx;tH8Z75>j zRxjIKJ^5a)s}{c={KZWyY_*8=a~*~vUxGYIm*F;FTzm9LP64>0-o^oKz!lZ4JsTj3 zdD(&X7B~V;AT-8;KQ9K6>}mqSn+NDV5Up}yrgVKon`&5a^arSA3G7~UAJn=DX07@G zYE>{Hz^_T7p_u)A1LISTyLkxX2<$>*V=B%Ek{)1t71-3mh)JUkNoESKYc%7Pge_wv z*!{S{ej=~lmS0Xcn<`Jg3Jq?jCUncb@RHBxN~-c7>ls_^Fi(6-GKBiewbvaiJ`Rkt zsr8h*&gAU?+O|qz!J(<hE)L^J1sswjU`$c~<}6K(nyC&jXKx>Oz$g*-A>#ga3tnmv zrA9k8PfdvZ)Jr5u+n5x50~5(>ofMFD;T*#Mz!x~f94@=^S9im2Ao+KrJ^&#YNO6Gb z%5~Ho6iK(C9W2tSyEz$JO@YX6p|np#k^K**D2j77d<Ty$K9PCSB}UWfYkO>de%h`| zadG}D<xNiK0%a#F@U?$ZW(B0{yz&+GSTZATAgmFqL6KoJa?XDlCG*0_G9TXz3Vm;m zSn!<7yQQ3OdWA8+T|wE44ii}c+;<d)R8gZ&Tt``pM>Xf&t|M6u48B6>=~-hF_>_Q? zcMr^1jr|zRn;}$?aBp?$nss7=wf*!pD;#GM`Fi=wz91>LWzPv!@fhHj45=#7yI!cC zQ@wiZdZA`qRU)XOC#a%6_9G((kBmg#!x;-&mm;k}@y=>za9cYdr^Wx(tYdkwOo+O# z#+3H-fA?~3Ru=WgdrmyjzHI!+I>nk#=6a9ab8Ce$u^tD9{hOo;hKV=$ms>C5CW`R* zw=Xm%^g^P<ZA|_86J(z6>NiVD$;gWJ*rix2fa@HdTT_pT2`~Q@*;LFHY93W;pVzUD zRMjQL14FNlOG6CKsNN|U82G)H%RJdSWl=rzyM@#D_FuC>;BZj??=h-hA*4lYho;%8 zI$H#r79hp+ooW8@et)=EzD`%uClSk;YX#3aYLN83D=URZw&D^uK8au^D{?+YYNHBV zN1!&(A@Uo9YervxEl<ydZT&QP{ytRqfsN5}=J$@nZHo?%YJ?>-6LpW|9ZOT?Ewu_$ z&n2JUY|ohA3mz;wqONk2HBKi&?w$|P-Bi9jWQHh#L@~b4MPM^nlz!s%EH9OXtZv4O ze7nbcOTX^ioDgZYs#?Y=CbtHrhTVRp8PB5XXvNh`=IH!$R2S6n?~lqTy9x2{d8glV zZr|j(-kb@2;LZ&TBwhKNP@dy5@kt_T`~2(B-+yQ7l)5}VU5GyID8Ypu1uiD7##Ad? zz?nn*M(^;ZIaXf-FPf&O_KGERm0pX|7OsKkMTmM+3)}d0EmIir5gE7SaI-CO<cCt! zJqSfh*k@{CYv(SK76U%Ak19n{)KXHIPHQkST$HQoImahWSubv9(=M)_^_2HNN1$(F zAfjIgAHEeKbqwK*kmK>`>^D7U;uj8dKGr*wm{8d?aU*cNR2*I*4k3c4OZ4fnm7=aq zEp<u<(q7M{l6iH?KO0qE<MT3Jz-uy!m_C+Ui6C-+<s6hR_IzjDdB+ZVOQ>0MD7iIW ztXZ@9cDq{P0~I$ESK?vb0~NDB#gz|KUK6T=f8Hq5Qn@TB+m=4FtFs&1cv@%>=lCo= z_Oyik6K^N@F&y(_FuuxfO!(p4>3sH-{H372oKh>l-n{jT_3s3uSUBFx!|Ki?;6)uN z%*q@^Os^!hhvG{kR<+pbEz!NV_C-*%$95;%!P9>}MbIt1-x&yNvCQf%S5JDoXOYRP z;{Gjp2GJ6lA~ri85aMUTa6c1*vq7owjY3yrAq+7Db@>8hk1`z1>iDR-)5c+5(~4V2 zU@`fRQlN19(l6%)MiV&H&xNf{6BGFwRj@e(wP}UOPuE|YxGumhp{Gi+JAm(MiPw1G z9A0o=%^^lg?fy>voa~U5tnjs9nO5XhhxKXP-}#;#?Fb%ozfI;4WnP7c6P^}X-MjaO zV!uZ8C~!zGl;(=+o*4*$ISvXaGx0zDaxF}LJNsgjv(eK>mvNzQqYG~@{$8#2nO+*L z_9-9y>o|+2`?&G-M)QKPV#?#TcV=*A|9a0~dD%u{3+^$H?L@}~_ZN`u4)Blw+1>^Z z4?{YP7|HsRcVuCTFCEa`!y$0kulm@gawNqK&hGAnMeAeYc~Q%z<9YM1;?+qU+-$Ni zv$TIBIRlIEy}P1X0fK@3_xq&y)w8`Vb|ZrJYfE6OH@@$dE(}*r(KlXS3G(}+w{>x1 zD1XVp?T!ukuCf5#S)R`pLy@5oML#*E^OJJr+iBt7R($jMa4p{}=_`3~+oO9QF24?S zIh}U-(zcX2GxP+ld9hF6R!|#HkJ6aC_CEP-3Tva1M-ghn^+(6Sl?JBn)+v?U6vt{s zOXg|np4yw1(1tTwl+|#Ji3<o(UK(MW`(4Ww=kO7}AZ!|2;&|7`=;E!kyNnFzwMb>* zamL&T1~F=3yTC467!y9LkV?N=g<fPa!F^G+9RtE4R~NE}!bBRP3fchTq(kIy6Ru4x zSa=*uk`Kcrvi7vW$s{;o;3BVu$H}c&_z>7UYh@TSodxD2iP1}hVEqNUV<Fj1{o<N> zQ9duN1$;iE2;U>Qm1-h)%!NVu63=&3op)A_$mV@4L4u8&IE6*iURf=rJ@m;+1!TME zI1d#j|2AqN75tF+r8(=h^I#}L-xuxlnJ>t`FK$2QnjWAHoj;53ISI^{%>5g@h`H`@ z=|H7<Y;yi3B>MR!3sYxKmTcPi1*a~f>@!-VrSQ1G3kZgDG{P&&UA8ml@L54r`ksdL zz396DEY<<`W<2ULa;McI4TQ%vvmqG9!AMk>ttksWOAL%OrWdj9bYGMlDGZk|9RZMB zj3k=F^$r}dH71xWGt`C6pH+>D_s!07bqCG?RH3B;zdMG&1yXTH_^X8oihpJGz92(6 z=*f0IKxm-5RRH+Hq6F-(^GV%bh+JbV*3y@=DI?13Ul7M{{wmf|;SiLKPoI$lNKtc| zuP2lzf#OpA2N3!7h|M8l?U&9HNjA5Xnd&EKGNeyH=GenjC{LSS;So57Vy?5f{RD_2 zvst2@+n*5bd+CnsVFu5bU+~3arm1;f@SAeM&seH%g-)$X`Ee+C*~_+bNU!G0-6zs3 z>(4U1+R+)xYK(xit^KBFQ~Gp$Vq?R<I7DDRO0J`M@nB}@NkPtNVDp8k`O@WRkxQK{ zUx;7w%{q_MJd+wAxJM|J_z|dmB!r=xpw3-{?0E=BM>{@3G%dw2bX>rxNkYZ@&Lumb z<wJ8JI4YFN&v9r`4um0upuU!mRP}(PpE^An(pM#Mx`2^&zd(@2wYMthQv~}sgdb!} zD(}YcB!7)hX|r@aNwLtGYhiHyhPoV9);HZW#rQOi#m0rarR|y_>_~d7Y*Tdh6~cHZ zRU^V)>w>gHL@w=8yL+mbyNxr`eo=^IZKV>7;Bh9Ny;nA-5X)Z`UC8EZE*>RgWO660 zkHuv@qhOH*;$me~5M}oU!sg<_)VBq-PXJ9U1a;#=WX}UQ+5kXhTZ5x11KD|!MHU86 z`G*sFaF}L&tWgD$0)?|foUyfcG4Y3}Wy<F~%SwPK*Z(2P0QTQVSAZyK08xyt2KJ-- zq;MM9b&q!=g#L>t4x<h9uSO7c2eJquw`vQkZY3{PGP5%HZUi3h$3u|<5k-6u>7|#s z^7OQDx%q!sa`05P0zk<~@8Lm0xZ>>2sA`vcAG}pCX<}{1nQOXcuRLsTKTLo3a;SUt z2{}F5?RMwU_s&y~JMFGRRV#!y9%L8I9NaityNP-4Pv^kbLv<^@50Y@>++R%0zxucY znhouf$pqIEz1C+1nq-&zUvcBOq}v_Amv-K)_)?B>;|$+X=EZGpfv<OpR(uCHaO1R9 ze!*VNY$hFTe7{7)4p$boyHD~~2rGf)jaVo6mQ7JZn+|?>_m&-+yb-SfuksddXcNc( zu=BBz2`gQqTQV`{?IE-^#JdGvk^oauzJce+2hS1j8u(fw4?M>Y@EqM8Z8MSD7V8D? zhH&H2SK(Fg=O*TA%tyOEqP(5K88r*!boq_WVB_k_CDTsnFyHuRw>uX%s>;VZ|NPl# zWS%%&E0^!|S^w!$Jl9be)_ZNZFXV3L;dVM&ZQEE)@hA?L$?ATPAC-CP6RcVFMEYB> z9<S_*>*t<?s$N1|6Tc(J-c?I>B|5DKU%QTNeHidrl~npeTTRS~D>bZ%yw_VcQks?X z)w+zH(rKwwg~zR3LNJ5?<n`>bRZu9>9?TCH4G7kv6IsN9(fI6dDa}{cOO=c%_fLX_ zO>bRA_H)A}b)2x?k1-M5B5fOP7T<$ce-(tZ?=^c&jo-EaB*wSPX!cAzSt68FcB%Q? zB@?|%i}Z%KeVsTa2G%Y#__!RWuHN=+cAqdq|MJw_=6CB87(6z#c*|=(MD+Z0akOPY z2+o{Ns5X^fHGACb9`FR+eX|cfE|k=?(CHQAHCBU}x?1Mjof(R8{_+ENBlP>vcHTx0 z7ptcHsFg|x8%Unb-!WwqLGy9w=eh6VWkgLAwi6}*qaz*1Kj|45rtU%CwuEkj?DQpE zqv;|-^cRirl5*Fw0y92BZ5E-=L)N>*m#)nZ*2#5O&=RIgBfMzbMN&A2kGx2gvU}Z9 z(wtsvFcU0OIiST_^u2Z|{(u%|wvCHmj4N|=WphTMc7+h`^7;?l707qif1gg*^~Hos z`0O~p)opb!qv;ufTf*p1emQ*<j?o$Ud{=%!DX)3~PsBBF?C(@hx!w|QUWi=Ar6j9m z9eB7LTPQkO$$iCKcgh+kdMY!Kk$?003%rcc-A}-(2>Sb0|Lu7)%=Jwu;b5SM7a*<E z3_x0GcPFcf70#Bz>di5zbrM7(DCCh)LP)O*M+&;w<#F%j^Pxr2pCVAv{=x7Aj<?YI zzfB)AHERR_Nh_Rq#9vkE>GL|>WtpW%-JNTC=j}9P*76l3dJWZdh=s685n?k6kwjOx z-l`*3!Vr@ch`P}B{dZ}`jVQY;*Ln8Im_(1x#<SgOaM<hpQfP}K+vg{kNOx3$&p0$c z1|qLWxb{sb2OGOn`;2VfPC)$pL>xB6#D=0s0DG;%A)+*d4_pONn*OK@%viR#j4Qk( zmS|_IiJ~aGRtVee`q;@*BvBl$=jVd0vA|@}>|zs{aDBSkM3umzf_El?MI<{>xG8QJ zx|j@+NGGT_<sti@z$Gier?zRSINL<!9X2s1^F*&eE16A$moLrXftf~Ll-Ps%SUK^z z+cveq?%qY}<_N;l<)^<%nSom>y&p%wa-~F|bbSAm?TMhocXAHIs~`A(P5j-Ku{{{O zl)1KD(Od50UpYu_zi7xi`q2XYYv@ubvUZWh&+kuj7{=2s3Q}1yL`>sEHPzn3M1}yu zIu2b5hse_tuE~w;xb#>s{&GrT^IF@kR!GtGSF5iEJa`cgk#Iu^@{L1RA|c!&gb(tC z$ahZM*jO@1MPFV6%wBUZg5Zo%DwHv(eIkUxo1mUijO+=9qah#@JX965uXCLr{sM(F zV+hr-Pf+Vp&;eJkZd8fvXM{@{IAiM`U?N5(p$sOrH0j%8j#ftHQFgB(Y#W5w$y_8+ z6|N`agx$G^$w~+BFj%a~>%2`qzferwyl|KYAvQn+ELY~T{It-GiBCIB+c^PJU)nE@ z{7`;K?(HV{7;ZWG9}MfHZ65?Wl}&xxUc5ASIx5o#|00<5O*~NRTp!2N2ie1#yYaL9 zRl^=R0v2>%FLyrfd^6r?_aC=m^o=~_J8<Tcq~PX~J!9#KncBb~lT8^LkKAB})WVBE zYnd|PBR8m0&;pi{?m%n34fjghf5gp4z6;_vFCD}$Upv$iCPpJ{{iKUj!HSQ(NtIG7 zV<|aCt2KBOE;>>2oI^q##P5dok?p``$srL8_di)%2N%$bQI`kn7zjs;yFB_q?3_IS zU%^jhGqIitPTar=KLYofilc^{WJGx6?>3jdWGNczd4Fv?pPKjJn(Jh_CrK&F=0GgV zbN*ZU{l{_SsA+izPFYIYjHK>v?4d*Z`NvF+O!WxZPb9&!Rh`N3rqe>1FbM3Z>B3}Q zoQAuxcp!-pC{~iMJuteWrqcl0E%>F~C^M~@;#kR!5X-3d6#6`Qv}Y7Ymk$K!ElZdU z5TLGIq<F9>J3xS5{ht6W2p7dyJYVWp{X(*f5(N~H2Z3_}6j0I9+Ww3dkrJ+v!HM9m zqY?fZ&~+he=$%(#o%>=fu@7+RVG-|@21w=17}O3A;qE4U;C_RAr~VJlh-=`#ceH~H z<aiO$-c&a7ml13i2glSFYZE(4suz-pI5MM}=_9$-G9trxo7dYZLMy<u=w)1Hvf9k9 zhwjbab7Mr$@r$GLVQNg>!f=?$AIx?7zi*Ry8#LS}!L76YO_=uI0zVr(e6{RdSkhb` z&!5&KaQL28s(qn9q3-ySMc$DX%f*A=Bj`s%v6>M*rV#0c{%q9W>BiZy*Smq<@ZDE{ z96|!WEIqmGF%sC^G&Nte9BmTh{I0A?GZxhpSrVm5hiZEEkV&@030tj!NgY7hx_*K> zltXBzZB<~6b~Ne34K7v_Z=%ZW2%d!%5Q$cT`b0jm-yAM^;DoKSz(mkULfQO5;PkRO zTgeH2yx?uP8yS>bJd>`l^lVu^N$`e|*<TorBeFY!C-JPa{B=i?gtE=5@1OIZ99|(h zmtta-KA{Qr%Dv~PLx`i{)ip|2>OFK|fc?=&zUQx^ixdLv?@n`u)5#wp|Gi;-LJ(?) zPD<y26}~je4hLbY12CMAB$@&kcEU;kAf!cIfGLzh7xi-DV|mX*`lOnvtZE?cjD<*& z9^4uSZqpQ#%89bA7=b#ZLAGrK1lVhysFGODx-4hBnj1@Xc#$C(NVM(KFH+Bzc(R-2 zlzdU^j`49U4ry~vnMIM7@RMCSuQ695<c#*Wpjw$LkI9WEZJL#Ik)pHo&8Uv8@0Tp# zbF43CBp>d^DuWw%`Hq083e9j;-_pr+rY(K<5YF6<I(@ZW=jaKwWIm_sVzcI_ni>0^ zieqm(Rfgd^&^PzHY<*ZwO?1-3MZY~o(e+B2#&oere)-uqbL}%QSrn;-^BlTJKN<0n zvs5X=B9@XzbXq!{U@$OQB<|7oCdkr&vEfn=b71zVp-LR8E?7xbOneP$IUdm6wz-sp zxSv-#MYcHB-gP+TsGnot>K+QOVP?X|E&#f+GTIx2>J`<8S0&29s}~kUpTW~FBsNue zQaGbHD#x55pF7%~YNKT}l4sEOcVjaNZDY$T%*QxL^mK<~j+M*8>N3h``|#qE!Rqgt z?g3YtSKchMCH;}DWY<h;&n~f_(ES4$Wj-#wF%`x`;#gtSd;S&0Wgf8PBE&=4&mQUL zT0IkQ=hvkI{3Uz_RSH7DQm=?!tEWD^FM|g`tKX(;?gYEx>uG9$uh3nsB^HF&Xffks z8K^j<lq}hMXtnw$!uz;55c2snMV6_oiDDVP9O;~@KxlUY0%r}#zZ16hAts&{kpJ;K z%j~fBEn_Q-F0O;4B1N%HuoT<jHJgn1*w?eyEDZW@UyyM%oT$~dl1+FhXO98jQl>lJ zOK=YKO<9gkNH&pC=dYRVR2-e})M4sISv-FJmj}*VPN=Tfy-~*Z$MAu>(bZ<V=4CcA zxP7|6w3!NzSWY$nK(_u~h`Ez$`226VJ|XU2bK9-36kV3RGXx5!v~^;xL>;X*o=`gW z_W-;V`vnsnZMXFK=1jFS7Iw;#K5w*{S~?@N(cL3Y1H@)6+ab}uD5EiFwi;CoeiS8G zG5lZNC075zxDxqJlADcuVX~{Rz7WNQbF?z9K$4(vYl!p0>LRL1G!eqxPWZ4^h*Z`E z>9h|J5gWyNPpLaV0BIzPXr(IHMJ#h?3Vxit7Wgju$e||diVw%g(36Ln7nKEWG4bqq z<?C`NxmMUEI0x>Z^c)Ep(hz%(!iPHAj&%6u_|DHhZR0sV3t*>fWZn&guWVasQZ}bQ zlFTj2EKpy~JPx%6sr^1doeNMCOSmMR6ISXWCW6Z0v5KiN$gxy!Iw8@aD5E21wohsp z{47fF=P<Oe7{aYs|6vW>9EzLGFp*3*u<`_MYIgPGa=(9{*^YR#*cT!HsMh65*Yp|A zEyC-ub;Du<24^GD61%8eHZpC3v9Kd?Sc*XP24!xxXokLdCB7=jZHruvX<I5^8I4Ld zfvEFK%q@-nN3v>V@$X9ddX?eVhM6^CxZz74$|oYC)oyaH&JiJM$t-KqfBLZpWL{_` za7PJ%Nb?{>L0VoycP*O&cN7D^=@L^z8NTZIoI)ESDchfQj*Hw3oEct(gaftkf=^dL z79&1Bg(}4xYRQ<mF|R@ydFQpHovD<;>zTNg@}4sE(Shg#*DC_h_5_n9in^e65HzfW zRaysP0~$h-1tHu`Wg~Y1fqM>o(k_sQ+itmkPG5Q~`s%seIBuc*5^u{j*R={yQZKI5 z=XT;@t|##r*Jl$)=03RHXQnOKyppiYR&{dzvDBs^h{B`1S5}=v#2=<iWGRq{xexUF zSaby&6@U?h1q|Dods^>(Iv8{3hY7gixT6I9UQstyOr2%h*{W$tF)7W_v)WE<u}*^T zEEoASJZ|D5LL!Jp*h;snV3QReZ$_0;CuPa#SHIcg!$o$xl(m|31hiE}HP8YkfT3u1 zkz4^o(FP1f!ctP^%zqh*QSoyQFHOKuq6k1?h$#$<V4OZf7w`~KHNpqEJmjDioRReq zn8%#_bny$%Jf`Z1C*cw^OkCtI;c-o$cV+)m=)`*3$SE_UH-Xk)h~;JMH8qr5K#>o^ z;>hp*mMuq%C7XzA@_Y6D3*@jzOx?8q0yz-Q{I$M1YyV#$e^hsugEz0FF0+Z8{0n4> z+4(sDf-@c<oH;`N9Z;vNOBPdqpZfZc*)@<X`DY067o@Etb0xZIwYkP5HJpdbG6gog zoGi>QT~G9=EMndXIG%guS{Cv!^klU)C+u%&^BsOf^fM|O?uX}2tF}Zos-oWHKq{XO zK^FmC)vZ?#s6h4$z$N*|@}G#eHdEh28{P>JxgPl31;thAY_-;G{34bgMFQgDO(sOt z4A|;<NF4__qf&pY(RSZyv&vs(rtTm(?0bD|0I*eg;q2xv*xd)1_;l2A+fd$oGcZBS zCcEr@b)%Xzk|6GbKwR%SvVjc$Uz$D&wMl}=w*tRWNPyj2O(vFibA(uZpNZ@Thc-B| z)d6V(2ZfL^=+X;_d^+J;lb}GdCxA!O{xM>qD;)Nf5Gz-Vq!`252OY6!6eeCDwVXAQ zHy;_V-VdL=;=5+b^nW|wY2ROa>F;LoCSNq2`#Bo+=P1m5;SR6@j(^RC>|qX1MP%wX z$7Fo#vgGu1k5}@#Q*oElU!a7UcqBB2@Np5sT8@)-PQ&OpzhH9q0}jI{Jx4gjv=_4F z@m`C+?b9U2mY$?Z*xS6d{=KB1CUMKs6v>IGEMw#{G^UL&ucYpIV#Mo`^#1Zzy3AuH zLro_u<D&l&2S;qR5hfLhvV~RjcFb^lrt}^u!kVqL$sI=Y9@R!n-B37;i4bd)i=+g@ z*#Q}mG{nTqgCsMSHy;rnYe#UMcgF3Zn%2PL9_1rR+;D3h7p&(4Olk_sHfsp_wFyG& z2DntYEsbFv-)ExdV<s{i9Lnm#=B<v2e2yyM1KxfoME)3f`(gs@b$T+MH_7~P=2k-W z<}lQ{7$R}IUVQ>+8X36ckrVc_AtpizXd1D9)BPMH4rwyU{`y252&wn<z6_t4QTu=Y zS*%2V1KE$B>>7n#UKe9Y`+o*uzrU{0nk~#KOuh&!y(qNeJdt_Suhz_UfO<$4Qx-61 z<k`D76!~?3zs7m)cgFbpgFCs?Mq+iRzxT<K8>QnFQwE{X=7pMNoUu8n%xxtjxtH=% z!K!6h^t0ec{Q6E=^eeqq{n5q<;qmO7`dgHn_<|@aYjTcU7=%UjOuSV<mr*oue}}^3 zxY-d5&uN719(LI>Gvl+UfT3eS-z%vs>vglMbxP-}h)n=50w+twv2)AP+MHI4NDkKs zWJ7R&1hn3`>w>~tw}Xbv*qvK)m3#qtx~uEYdXH=+mVUz3xyq6KTyROilB9qT#D?ll z$zJ0>RCJol4fvLM!196~Snt3y4gv@}4iMH&u~WuhYP4a0Yf9B8j-~NJ!_4D}5F?XN z%lu2Nx@E*|o2lItb|G<paXJlmu4~P9;md3%CZW1{iz|ajpyfhB9&MQdwLfISq<I5? z>}yPRGVfD$_cifA6<MHm+GMI^>ZEcS-nRaRm%YXe{sURH@7&k@S50a2qRQ{KeXU_< z@uQEoGVC()qthZKhR03vBN(QEedW?+>k7QC0Z=&502aEDte3HsO&6C8AXFh;Diut4 zJTFy>r=q1^C9PJ^Xn0>UJAyXd*AvRRCM+&y%E;A?45`IG2U>dwYS#(jKCb^TS&n?i z|6jEe1=P;3e`@C<BDxN!9S#Iral2P5Y(y87FH5UeB8hChoQY2QatlV}oF}*@zCTG1 z+~uIng#)~o%iT6?W^>0n+(I5R{bKDXq5Ip7;$n5OlK*D$SpN6rmowECXtGkDz`>D+ zDa)yM`@7DN>8FkV1dspWzeDz0Ab8w1<O%!p>n6%|w7&Y6mA{bG9?EX9jc7l0#$a<g zIP07F(+}J>oIoimV)t>9VMuW2n*-&wiH6XNh)SJvT!zISB~iPd8}?n`%vJT(pn|d( z1(EnjP!A|V_UpqXvt3J&<uiE4A4ceIf$u6p9z%_%(gwsKH6~3DIP|F#+h=`DB>(^H zn@otj8L)5k!YUtF1zr<aWDjuw<+!hR)*-laD3zI!Vzh=iQ~DCYQxrTb5Ih;c!<?N8 zl>dXfbRDqGrJ7x=nsbq)K)Cgw6V}rJlPU!;U<~>-6+$awr(#V<rmNwF*L&tiLEP(y zhzSr>)2Dk(vM9#|RVMh1;~V6l4V;m`FIJE_nI)O-908#)L_}L)VuMhmnNjFMB1E*2 z@S&*?Ip_yxZ0L(EU`}NjrZXWRTt5+OjWMy>yX{hR>e-(`p)8cJ|M+u@Tl<nD{9SrE zEANI=r>W1xm6FUKXV-;qNAy&1N?U1%tmRKNm~ZWulu63e|GDP#KJ!V>b+6#MextRW zvVNmS)6z1RrpL%MJwVaGdTE67;Fi{jAOJrd+3Yw?AH_nVyHQ50PHbKeF?br3An<(b zav<D68#Om%g?UUX=em=5#R-3e1L-|H^_YO`<0-4c<bS8$v++KYzihp_d>*pL3RFOy z9(g`gC7~bv1HNl;W&uKV{19jwfk<@Mt8<kh`_BUk31V0s6Y&h-=*54)$2>$FvS8A@ z1BU_<`B@DUIg2U)Br?Ak_;2;szKMfeW)7y)l!Y^!5vqMYLG$V$5|>R*L!wx*Ka?@4 zzX05ie#h3SU?ScFF#Y;(PS`N9`XLjU8V+4`VUyCuL^h)eD4(D<4G{Ud`fGSmfn>D7 zzn91iXZ}p6*7^*!E(`u1FO~c?3)eRPxc!=_VRzA4XFROLE*W%k(k5hFaU}l6g<<nF z$a%I|{(Vm#)fSuE6WmRbc3wT19?KV!t#CR7zv%8ocJ31}(BQAr+l}N*E{3Hm*ktsU z^Ej{7j7?0Ur=Mz&?{v==tPGkye~;pV5dL89<{+PE0JDP)fm;J+2Y6G8=(LEb!0g~d zaHmiU7sCPRT$?w7tP5At$?+hf1wdHyA=qN5g;y=R1o_V5Q%|T;YHnDf59qYs@n6b{ zrEQ55qyGmz=|D>Tf3ut=4oE593%6-{s=H;u$uv0mzjz%c>=VGt?WvgogXyu%L<ZN1 zl4`r>M79miMB`#l;?&f6yXnTats2`8uJoDF3O0*{|2hBo_QlICYt?34O26}_nggn$ z7G6VejRXZNFmg`aJpk~rv}Lv%fRF7?RZdX`L#G--w;N0R#Iq0c`Z;wKA8k`^2INI8 zc#%8J_Kl_S-xN#JB(wl6{T&|11!$=apru+}1@VBEKB7vIlC)%04M;L`f+hI?X~|Xj zPg+(3QOtsmy-LL)C1=S_2c%^eke25W@+UM!xjC#lr2D{Q<2+gi40cE@2e$eQXzgtm zELs;6--=qE`II-`0JL_+(DZkWAcJo!nc!5)l39_uXIRk^n%(&4dB#6x`tgE{!jp+z zm4(<|#$E+Ixdkio9Y)k5`bXH6=7o-BToAB}+z#naubZ=;`qv|74v@e(8YX(`Gm%+9 z`gr%ZWjWd<*`)FTzt{V)CFdV=Yb>W*(_`sCWzUC!Qo?-Ln-vRF-@KWI*+(Yl-1WK# z;Yx(f^U~I>xf0W7v?p2$fzou_po0HB@BBWKso#XOGeEmCz+ZLL<fOUve7MG~UBZ%? z7u2&uHQ}isFO56>*C9=Su$2>HLCuZ$0Imm$AzrooZ_ze+?-=@6dfPeD+TMl2nUCwM zH%I?#z5nx#3X%QNaLFQPtP~Ox(I*MT$xL<$9ciGrgq^K202~Iut$UoX2mpr?DBJik zr~`mQ0RWqceRSfjNkc>gkR=E>)Bw;@Q%s~CssILPX&giz0~&7xK`1{4v=jzt>0Ksr zFo;ekHYr0)q&$euF{lj=A}>X_hQA7Esfvs`kJdO5VOf3wcM3|KpP@xnpwwBfzV;TW zdIOX?ogWR^l)q5#x0>9kSN0Dq8X3}N(lmraTR!|6loR}}H>ljl#Omr~rb~DW)){r0 zuv_Gg<9OY8H1eayoH^Bn_41j7fE$jK+sYimZg11_z-M3O2KAFPuLg6wdJhxb^SywL z?(kQY#e12!aNh52*q;cOhzC{sZ{VZJiI5NgA5E2$n&0(qil$7m0;5E2b%N*KBlwKZ zV<uT%@TV$3ceAkT<b*gnhxK38%h8_+m)`o#&R0XC?*pWDX7jp>!Jh!6{S39M0!Rz; z_*>*VabO0BPUaqJi@}*a2-R($po;{EL=})0rO19JkO5t=ds>)?VgQ~ZcF$MG%j*#e zW5iu`Ol%B_q%Z_s=zxg+s{a7GG6pZf8QsaTq^y@LrUPieERkbGZ7d<SsS-)K31_c# z#-fp!_&`8@NAoNb<JE~?|A=KB0YMoiO6p@`xltr7P~><85!EMrzyk?q3uhDtd8)pT zuF^iEB+4oRg4+QV>l7dbw05)dlP~i9k9ry@o2f!Z>}-_Hgu#QAvKgI{p4fvjw~d8a zAwy)}+ou_$EpCbw7Xvqwb7?aO6*O6=Pry%|zs_to(k;2zHBG?=^A9t<;eL;r-P%hJ z!c|5AU?!lrWcZxkMk>Y8fh<-HvxI2^HLB4?f&n#(1ZosvDG32;^be?a`J2-9)|&q# zozqKGT%g9c;@hRt2E3|ts+1p4OTBF1Rn>*}1p=>1*T)k&wl?2{p080d-ur5~-)B9x z4z}7RKt#(4AB;eLegJ1Q_^o*O)4MuUSLuYW!UIsxZTk2H^Dd)pK<zHwu?C-=0r=Z) z+mTbZjU{SccTv~oQcn^~luae@)wcpR&T|qxOf1r7JdtQ}3C9byc!h<i+^J@+H&Iqi zHvoOKLUDnr5%;3S<7RIOKy9w{!D)n&xaK>((#G9KaJTYwr^ilCAfteqf+2ZTBK@zM z=|bueKb0PZ@r!+$&;M0m(DUP}B@7so*1&b#Jd2Oq0xJTylxzUy1{|m$Y=-PUuvsg7 zzEn#T4v|dfl%b2ayASB*IXvE%Dy3S+Qg7^xR?m8PpD`zbRt(V1r|a`zJL$a~ie1zS z;NXfs_cDgeFsbe+Tc1&AF$O~W`XsyFA<7Og@j9X&XxoSqqOvjKL*S60+Azc$N4^*G zj2L~$Xd*7g=cTcL$AIpyA9Q=p(?@N~(cZ}>g8&M9!eq+c{jG;Y>;I#hDJU)-M=Sa5 ze{{1^!(C3ed8K5TP3^y~+{QmK?-nxgPt3;zg9ClixG>{N!#-i^sb>mLC8KjQ)RAPT zY$ML_%Cn|{iyJKX<&xgQkm;ic;I8Ke&jNS-<7h)}exln3V8(JB2;ArW`7n@=%A?8; z37$fokjlkzBIh4e(^Cs3!)=#^vXiIv{UCUCg=7_WbRO^0xwzb^{36cmQeRyGDwSyv z2{D4Y(HkJh;F7?xt^;Leq0A>Lup~Pb(>x2<$K~BW|9@)p1hu>g4Bjdr<^K<3Umg#2 z`}P0amCD+U86{Kh5*mzs87Xd5Vi=mS8~d6qL)i+EC1WX)v5X?wWnV^?FotBCvac~h zWeXwMe%H9a-{<*0-{pDz{>kgrd_LEl>zwy_pL4G3T-ONjauUZk-^tW`ZZKo*5J%u{ z=7tq816eY7iq7{nLqmZqdaOnq?;n9?SI$Utsf#_3T2t^pEY4FRstDY<+-uDj5t!@F zaZ+I8YYOZ^KIPQ({X-yL_LN9Z!l`g4Yp|``@(04^sB@g;pHT^WrgnfwbBcMG0_?70 zmO382V)yTm$qKhHHJ)|ilrn4ZX}zL2GB@_DZW8TwMb3G=YR~s&;$(+Ggh^_Ea}rpb z3s2|l1<4Rtoa^hV#8iw_J*Qtw&zH6s$e94q>?zoj6|s$PD-f}z&ze$crEXWwS+PwY zZj~0dI^TL!yEEy5<|*?aF=aP1pCT215T*W>jMojGpH1UozFm34FY{XKy#E4&G@}kk zGi-g>jLO#u;)*$Ihb-cJwlgOPOrh<jue3j&w|&W@$X#*ql!Snd$sc^=6nhd+c&Vvz zE0~Pw|H@)3-wkJ8YjOt*s9R;h1t#8L%NguUMDTEqg6+fXYt4oTOcm%xGKnJTm_l5E zu9Jls!#(5)UkB^9w>V1;qmtynx-HmXdd9;mTKUcdY%qE9#)Z}}hD*F<oirg7#s`w( z=|?>7un)~K0;XjARaOPiMc2xS7(;%{J|8cw;XT$bHvKsn*ClQEw~w|ac*|$Z-zN+D zHFf&m#1w_cIIrbdze-Q!47tvBQr00UomqX<`I;uEXItiN3=--_aH8d=)vu5FbpbvN zj^{fHN>T~Gt19ibzqFp0Xs#y2#5=lv_cc&|eS7;`(C)7wr`1ml%b(rHRo?Dq^xp1D z{YlX+d|K4|cIW%%C*#qL{{4;hePgdr`#-v>Ro+;=zP0`BQ_$|RbJ>`XZKd;E-?GY^ zkuNi|>9TdlQ5|a~(Y`#1Qo0oBDNPNNA6YxQ!il+O4dYD4Hu8kT`c;DViw%q$>~FTU z72Rss)#$zZYp?AnYo(+ZtyvlrK7OVxoo+RSxA#{%dV=on&wmQsn--p}2v}ck*i$%@ z-RlFS;4U}oUaNk)zcH_{zq7HwJ0t8+N#Ngmu^hM~p|Zc^J~5qWeD^pdGxmH9Xeb#i z{XFQ*765WJ&?n)~q^;faK=TWyBz*j^P^MYq=R534A>wBYf}%><`gL%Az7}mVHjk8z zI;{{~U>RE)>@WOg`uWG;%k)o)#e0v7_n!&}Zmn;>2-;fWe{ntBtE~Zs8M~>nv$#Dg zR$LPtxG|K&`IB{XTf$xP*IxCD{ifttiJJ=Rn?c)MS7JZi`PTB))-v$HnSIbny!AC_ zIcVVrMVB2NmNjK(Y>E!GZ&@jc{@N?uQu!`)!aDh5!D7Mw_x1e?pL@Da4Apd5yCAoP z2RvtWU0c4YluG67wFr@RxKlk}+S*S(bz5ToP$R%sIsHw3t#oGP;<5haz`Z#M_fxwu z(}))lA9vU0-qtvN3uq&1Dz)7Hw6mIeFV$ycXYts?(axR<x4myF0lROHW3M#BTzI=K z?WicNd>bMvW>9v%5n_pFJ{@+?w+!4V{pBG`uGr|{2;$lQ)xi&Q`|1%PgBys^F8{ze z{?7B7LRr`K2(u;Y-rb$vPeDI5Oisxme+UnFeAg|U`BTH7-=<)6+={lM@*zApC>wG3 zQkCuK`lq*Udylt=Hcy;VfBT^H#}$kDcc0$w@7?|sxHcYy7r6N_V%<H^n*GFHi|JDJ z{o|z9^4iOweYl`x2EE(5_hXlTiASDn`nGiOrJwEX`Rm~>Z*FcKAO9F5Hh4F4mGeza z&FrObted~N!4pZ_>)UT@y+7@)DlJ$18vPVF<Az!v&cNY6PU_HjEsmwl6b9Dv2kxbL zo#_qZ=r1(tsZLM=%}e?mR!ghm6>Qe%`}>xu`xNRx^?r-e?i!K5M*sF2Mb|#H<2A8A z54$zt{{3g7==!Eal=kMHky*aHa0+Eaj(@K+CXutF>D$_LH$HR5|GmQ98M^M6>$WG` z!jEwUm1p(h`_mZ3YSVb*h}%NHj#g7XdgKUFD&HT~-ETSld^hs0%mbBD&eZ*uR@W`= z)RJ{w*Y{N_rM#p2Ewc+hxy?#sU^hC(J+MYfOTV~XhIWMq%H{w>Cca<0HpbeKv~8XI z{SPth*>L;T%#uz&SR#|IsdC<#RpRZv0V_)y@5ZsT4j<K%c*!p|9F+m<K>@o#fnW1I zr&B*&+NERKbyfEJ?1J`J<}5Gmg6nwl#^xRd(Qk|rnagjb2!70Y>QMney0ad1{ELI$ z7|nk{;;~c(80KxzF8}_Iq@$GB{TbEpsi1%-_AQqD5UJJT69nG%!DE8ad-D%5tvl<g zytezl(n<KB0KKN4(?NHQ#M<^(i^y@-&6W*jYqfifH$O7o+>$6(qPJKo<E4c6SCc}q zL4MlrzrMXJG~H<lZhH7Ev27q(G5t8N;qt6-_MIL3A>j$--=2?Pg1vvO)LIt!SHpyo zym!EzGv&Ke!rA<{c}*@Q6fD<FV1k3Z7nc>wr;CrHb~_Z_27V$b^k9Z0&8$0MVFI(D zfmC-Y^dy4GSPAVnjLA$OlN8P8hmv;U`HHlbW>w-faEX^^<3BT>6x6LL+3FZw8!cAZ zndbkrZ>r3UQ(WnPyZh^{SD4YXrFrRA@hADc{x0G0{^ZnaYz6t%62=ebmG8{do<JA{ zoWfHhq=*4Y9_<nElL1NirU=Ek0Ar8t`K(lSzfLH}*{GWN(om(dsG7ynXtT2&HD60Z z_~abM(iG>VD9NUC+H2ixr<PRJgZNE<ZArc?K4JUor({O;39SE?WM<9@FaMt<>D%A^ zB@j9F&oxM^Nqo^)z#S8|@U|<7A7)EQwr53=zZB^zWJS$2Z@DiUHNr2_Gv>cLZ_c5H zCf6ljoVH+g_JcdMu&UZVXHEI8O-slLak$LcXOJJlb9pt>>MLF2+{^M4w#vV{xRrlO z&eWffnb?w?dwqg+qOW*D_V%E(DmyB|VER6*o1}&{5f7>A#2{_5o26tR=%gZ#_p)I! z*&-yFYf~ZZFP9SqL#~VQ$U4NOGqW=3nEc_?dSBIR7W^#wU}jgTM|^tG<sWZwu@M>& zd6?ml=M8HGO$>7OK{N9<6g`~d(P|OqwQ&(wV)kQPT9x)_C8RZ)Th_WT-Cg;dQ>&Az z*L5(^$IOB8Ci9Tf-u~uQT}otCYl?puVjdD}T;S1pI*i*;lvJiQ75$85u27F`Rr96R zJ|dlSlqqHyWHpAW*s9|xr6x3zP6&_d52w#dlU@FSUVR?6zV#h9*EpUV4SArgJ50g^ zx-Y`KOsy3bG02%$&Ah^(FT2P?HT6sF%$s!1!6Pv>B2LXvRjfE`3SN^&iVESueRo<| znv_yy*Qgh7>rxuB4Q&ixVljIIhkY=ZN)l%gzGH}-$$ZloeS&4K&WJpj28Mc+&KbcJ zqj<roSydIw0pzlzkpe<^7S^5UOOs}*?6>R0g}an~8e3BY!Vo8*vA*dZodIFoOK_5> z(Nwe&%iNeDc`^|URGH3Md?dyXo}0SNnzD+cMLUMfUWQgoMrvSvj?FPUC(Ykgbem{` zv+xOtx+cH!Xt^3@D|C@0X)%?6XK{=+KsrV@Gi6@;gzy4qC=gDaVyfH@EGg3JwCJzk z3<Y<ERlbId&oK>V=Tl$rD!NQGU18z#7IhuY@@PSa*?M0jm6%T@xUo38f`Ou%nNq<( z0!Ly_i92;ls&a>efv(b`n?h#UxGJpDHN1R|DKI+^eYvZ6d!h--!j~xOYLe~If(f%t z1OpjQC0t{16w^mK1~)UUfr0pr#2AAr{*o&9082{Qby{?P$ZR1PC`*IY=a>q|O5POZ zi9a!F_RRgFlBwk8#2gll%b?w-6#U)?1nrmW(Rw({Ye^L6q5ETe)q?iOC#1CwDmxXE z4licv@)dOIMyaw|u;n58WQBkD1UQkWD{oIUFslbRpOVP6C#7@RGR5!;I5j(|Vy`o& zNMUFs&JZ3p{%?1OJVzg^wIKLg$9`Bhv-4-;HmzIb_-AqJ)=kfTT`B2;R#>kq56`lU z&@w8IRcP}4xH&{KvqnjT3HXb^dO=(J5=+h<EHbm8S<xIK{zdGUJ1?kjtW<4}GN(xD z(Gq?OarkjkHs?h;Q^1vxokq8{C6_hs>PqDn*;^0gSojQq(t!50!fXwJ(r{A=_CRSs z`;pB|Q9x;CM`DJ=oH~V6x#fY<RB6%cKxx2?uQgIdouZD&i2)ZZN;fwg^3b&IxE3ZL z4!~|P73#&3Loz^4M>i|xK*f=QzubZMw%iAeLO>=XT7qJTLnn`{V`4g!%#{(GsuDbR zDs*thlWFlmbNDWc*#;a|YBZH}g++M55NS_r=2e1r4`+BZd4KTfz^e+39Eni`9(Yp~ z8+$wjZ%iYphw#9DIC(KGW+_z38__I$BQtTTwZ$b<&zlo#Sv1Cg6|0(=t08DmYqzq8 zc@+UG>HsU^XpbDhkr-gb$aMH)V8shg-Rj^-jBiCvh_`_r1zOxO!!q*dmwzBPU%03% zF94Tbm~A+KI{=p|iz6EV*MnxJ-TC=nRp1Sis~70;=~5?|bjW`=z4ud<>1IyxGNgsb zoOLToUtjb8fK#2Hzgq+TeOZ!G-kkV&!d9;+JwOjRTi?vQ3@}#U(aIO*Wg&|Du64EL zqsG+>jK-vT(3k{w(mJ6k^M*C0SBDl-#2D`N!Ej~=!<oG-u`X>+yvCvd(C!f2%)ACg zCj$b+g?Y_h#7P1I1ZaQM4_5!VWUaH(;i5;njK!V0rBzuI{lQG-QF;{ZgPGn6W6yz9 zk@S)D&}OMNDB1~&oJnft)c|L(UV1cH1MUCS8!w|n3(X73*5;J8ElXE?dIXX5<pTid zIaT&!_2NWPr@wdEOg(zd(&l;-X<gn7Pla?3<$81<4PzaMYB1wCei~3zQb35%0#w%i zaXN1;Q`fMdQ&ab^k(*wZd~HLIu@7qWjVj#!q^Qd7$W%$<l%-dsOXVEVLBgHhGpowP z0$m6>HA%gtB)TX^lfO}tM3uG43zP(uawqu<g~X~XhO~V9D;JnWUyl;^E7s?!{Lt$X zYhrUEkwv2p1d7UL=Gzc&gQ#ZSWk|PQu}9M}Fh6Tm0S@NVUV7l(hLG%qld`ri(iQJ9 zA%><I^V3i@7gQ{pVBoaoWF+|a|0ao#_OEmW-mshoHVa(}dGiKKi+&z5`-7`uGR&vG z>)cvVdbpAWu|w6XtT|kh#Y{*9CZ#%+w8A1BjYZlQHuKs-x_MuFG+hK@xv2`c1F^8Q zP+p*RAXZAcB9;k}L}!R~LzP{ij-mElsQeG?&_|=GN6IX1KMau>#Af&vXt!~mN4H2A zt07Q(IM`L!rX^*B2(fX>+LxsBK0MOJd(o-sza9g(894rJHV_B|t#D(heS@{`;0P1& z7J-$kO@+>|<cNWjGkML5D2TW*pcoTjrxt%zTlwQDB{%hqL|6|3Ap!)#b>N0>ap~b< z2LqW|_=_3Q+(le#GCW%Df<-Pk$-`hOL6pT2XNa7OZ)Q4K@7jw~HT&Z{mlS}5Ux*NB znX->f=PhUIN(OMyX2>P(Tklkqp0>##no6)anO!oI+svr}iB&B0Xg(K)<p2jN|C}Q2 zvYcGt4yYz^%hC(er5piL5KiymsxoMn6fZSe$TY)i7NLD5ZS$TrAp`aajp0Rq1y%E@ zq_Zr-KlG7VfNAQX-Cr|3nnZ!pT~q}oj-OsLq=ou~WY?XPoqm|E$PdnxUCu`X?vtpu zW&B&GWL%U!4r0Bob%%MFz!IQ8v#C%MmYhy~q-}V!VlPxYN$8impSV+t49J;SQodiM zCA5K@iA#1aBc18QWk7$aBDvNC2K~8JG>6}0F}n_spa$;PU=d~mNXTvGRR>5Y^l176 z2xX@#AjAxA3!#NFhh#?sB#_b-ZJ7|KWXh`adP&-BKQgQz3^w>}4}caa5O*G?bMk?F z1>^+|f8{F%G?E)I)25Q^*JaA1K;`JK^OR6!W$mwvl<0otPLpX$NacFLV=y(PBXSFc zD(N~SR*}u&R2DNHK+1YkNlYxlokmFe=gquc(C%cQDpw$txT=6R>*;bWTIjQo?84t= zZEMmMJB}cXzcKKc{hP9!D@<=0sJ%+fn&KIT=l~g3zDFll7&i~dux?C6hq26wfefqR zOD&)1k-J_hs<s2nDJ6!q1i6qmy6NeP1z@bNjIl1OvU?p+Oaw^!^oKnEoO-m&(zbv_ zS{FCN?*WQ=?a?hB#_COIFq=Jo8m~r6nhp`FU{3MWrbTCl%)&S;CQCF@HE&0xhc5zz zRw(>wtsWGH*oDM?De%zd599V1U2x!FaRg|{iD+gT2N7@-L_iUzP99bB1|C`aN5E&8 zx_B=*HT}uJ<{Rd34qgXPH(}v(5OGZgIKLBSn++#P0-VEH9JLLRjsWM}U?B4&F<(F^ zZQE1U`3ZJpx0(NT0_;uQqPaTonvnGHm4l(IS@^<5TzM7rCLfiuv_%8{tZ0U3Lb^`@ zM?N0L8h+x(_L8{S)fV&0^re?fcL&9CYwc9Wp{(D;jrDx$88~M@hz@+;4uVZ)Nk(;Z zq6Lcvm{fK|Gjj(7ZIbWN$`$6tBZ@1z0n+2Ev`0-Lt!yAVq^H9VAL;rc;e=LEW&Op7 z4pn-3ltTy6;Z7KPFQiJ_0Nh&+etQdwPI~3>{%V*E$o^z3rb4zSWX1uMC4eXE(voU` zCj*A50-k)ND;e(86dhctX(Piv!8fT&F)w!P8uhuRN3mB$5Rb%=FFJKHsd9I-rg)-h z(d8ktBw(eAPu3l0_^*#`Sa%}$g+OZbo}J$Tq(<Fx{5-hV6o>apGwPIff0d5VO|%}x zOcik(?9qUHQ&g4Pf+YnUc8#6`i7R)-WZoxh)aI{;W1XJk_fPfqM=+b;Px?3<!OOqv z)3Y^63E8|mq<vfWzLPI**)e(SP2c=;>FT>YeXFG}bOn@w`&<0w{!rQJm~_QrCWLQ) z&wR;D9DmrO2<2$MNlNJR-785SDG|Km0ZF}G5lrUuCCTqC1|%Ai+b#OGO@zwu>)V*w z($Wyq*5jw8Ole6sLxeytnSE$FF9iSz#4tS-mc+S0$oc16lCyayxSxZvsq}=**)2)O zkRVN_>G_hH6`7WZ@TT>^#8Kt?BXXqtPs5(QbFb1lg+U@D?xdEQ4sScsg%ok>=2T_n z7@Y1OTj2eyan2_FgzZsK{JlMa1*KqS@d>YAp!oASlNcmq{`TkW*`6k#KE(4gA*ZWY zok2;{VyJ3+77)~*w1n*thcRy1xuSF?pB)Y}j-Qe?Z%^26Y)M)dpTKVXl(elr;pM$m zV&(YuTU*qbot3$uo$ups_ja~#r=^S@dDyBsrEEK$Zz)<Fb=3AyYfSWS(+-7T$6DFS zMhLX$w}2gM2-vYcEaa%w2+{6u!uKj5&WD55TJ5ONDbT)d$*RCVc(7ZTX>dYkZ9|v! z6y*oL|AfH3)@LN!!|%T<zY^nD6QSGQpx)sy4=-3&6UlP9VSk4;kF<2ofF|&}a7Xlm z$Ru7#sq#au{e!lZnttFoKr!eW(rJX$J<G0{@55S{dClb!*>r2RE973WjjFvbyYlM1 zcc^}6_Y<ulC<b1uE@G>DV`hOpFC-usY2trO`|1mDu8dETdH0Y|RuJe}I-W!d28}t_ zQf9>m_8v&Vo=m}g%F&?-scqp2Gx|5KkvQ^_hR;2d6n=%74cBk2d-6V+AH&3Y2ww&R z{mtsXaeIMH=-4CL?>{_fNAgH2x`E@bxRlwVq2>otV)0<GHp7SnYn>Y=1L68DZ=MiL z_%Y0UY9jWwH|$+m@<?6hW}Y8cd@t|wf#=xyQ>7QIY!X2?K-mLLle3b%;MB3BeIukW zKD(xlthZ57(@MTEyWyFEzk8!b`24FeZcfZn<Z<}s)zE}{=hfa{;RJ&{dg7^j^+wH2 z`QL>%uO5lMcm7c870%zLpFetl*S*?E7CvtUUXxske3D%gS|bPg?Eau&#T{Z<;gp|G z%CwK?J&HUBm4UzF5{l4&pYY^;(rJuhuUfv9{~ze0%b*?a43l=}Be1B?D+$j87Y^KM z{2a}k6jJ(8mZ<3n`ogk-DXjeAuh@v{?>z-yoi7U4@2q^HMLvsxE2xP~JKUHVW628{ z1*UKS?PCiMB9pl#nY}>!m_?&qCpc!z3bc1KWfrrL26{!GO~FsvzX(g1^1EST5vt$P z{e&<K#V`Y#+Uwr12R0=IoHHm<0XV4#Ev5XDQYS$7u65(9%b<I=?YF0E7pz#GYxUxm zuKX?;{!sezO!IR46KN6)yyNQ=0+Jge<)|()g}aeOI*}JWJ$+bY?&=LF306F9rwDmu z)dKF>R0OSU8ldstxY4cx9LrgG07stF@zUH%Sh(e7zEuIHO1>}nip>a26#=Fiq2Cz; zrpk+fSA(f~gQ+s-h17znc7qeu!(h0RlFV~pI5lui8g$B`z*PO}j5}S$_104_gk>yW zxLY(kO%t?YOFP`Uihn83$qza{0va#Wu_h%{|Mql6$jTF(?rSQDltv~hUvlQGuY3fW z#MN{W7=Nd@59U!McT>n6gH5WuQK4migF=vhBK&DfMCPs2(Hw9}?vt`;w!BB2z_^!R zafOHJzo$KUe~JsE_)tCHO7D-5#CG7>aNyYheW4FDdHE%Irvdt08zIqg*@}O#v7Ms) z7w>b=8^|kC>A0@nF^NylLGkBb*~CWZtC`)XIl_nG)le6iyKw^wOMF3^ousM!-t-6+ zEH8EPu+WrRBU$>Qm2@{y;xJI6fQ}dSmtG@T1QVWvD-DET!XwVv`~8k7I&>m0>E5|# z9ty88OQ8t`ylU^eWYzO09sWQk9tL}iDxiT75YHNDAmowc)jizWC3+}(vQ)MUI?o51 z0mUiUB4f)Z6pb3206;BsYVZ5a&OyC|UU8uTmh+yxNAhCaEjTeuZ8JA$xY7n5VC8;r zd>vSs2+X22$s#R&EV|(Q;q1wmB9bZUS5tYyZC7z!ZBy48Pdz^tJ!8^%^)h=>k}%j& zMp}*ST(*)v3-($cK7f;pAKEJBUu)q+*l>X6(Hl_-4^8brx8B?{9wv!rP8`QJL4$~a z6#Ur3XJE$^H2%zh*@*q+>hZwULE}#pP_}g=M2yx{ItDrbs(7TTLC?P}0^{x!hX=NG zF@@j^Ue=h%S+Y_tL!WvUI6H&G5yztKEgP>c0HLmcEnOumj5p{Y?0(Qb%qht<de=kD ztMXA&=Cw{&1eXKY{s6Z%@S1+s2FEK>xVmEUz^xlq`AG?*7?(q>CE$=&0XVrvKjLO1 z4s^WrKoh`~*AbAdHaL6r{EvsW!!I*=z<ybhj_Cz(vkKTL<DCb)VmYAUUoa(AFGv4a z>ODPS1MT;S+=pPd^>@j{T`)-rD;u!$-dqk$b{d%MkkA|+^n8A7DkXsqYS1IbI6>HZ zekPZPSEJ&cA~=$IPB4WJ&1jv|lY&>L7J*H7(?;VWu<8B`SPBL#_0JbEwEf!-I~~vo zaq+_#&a^PhI*y=OQz;8te86oe(xAmB8&FsuxHE-I5)Oiw?d`_T3xLAL!Q~HOrdP#i z;78YZnAa+9Bo|z3g&{m0Ty9FDB4@9E6i2Wrf$6!u+J8~B{q5O>j*ma0-yl35oa{XU zeYgK4dt<^|DdwEwmsYmmTOq`CBQ*_&+)kRQ%j?PkQ<pQzym1+o1Gri9{^rf<2iGkx z7xU|Wnsu1%=$0xT`kD}O1`QuxN+7tQ^M=1Agd@<*uHIU9PqAElX&=jO?vAH#Pk&IY z?-$|tqE~Ji5gu^q$i}zdhcne}syPs~4trmh_jdTjAVFK(K|2v|cXE~_j*c3xn>7s> z1ntJRyiG~t_Y^x-BTtFvR5aX4u<d%RD9_d-B`MbSjt1ja_jKj0s|tE1XjaDbOhxkI z_SZ5it60Iqo`pXfgeWPu73J@DNqrY=dp8H;e&Ol5Ma~X-22CwDFjV<|Zu@KgWI(Lo zvS*=hs%=*)jL%~3l<za!&-SsACl#wM_ZXU#!$+g|<Q*JjR2}5m?Hs)Rbddk;hsoyP zGsl49tnJ$Oy$vVFx1-+IHPmNqRwvXbCU&-$+IYvxm3z7-CEHqEkB$4PD3m=jBwe}u z<?EhEnb^JbGgq*lVo4<1_E#`bp;=>@b8X=(ibq>#PJthup%Y<df!&6;jFyKFFMi>D zYTNV$hPpmujF%o&S@@zDXG>Xu9d7fChIL8dFSI36hR>RnUoi8d&^r%BNk@rwik1FR zp18ID2EMly<ue}9lMxgU7DNeo=(6|AygBHhN{PBtlXr&TXsDqp)U^DX6U8ATSVv8O zFHA`OxSPof3G(MZ_w<w!2bdAYq7`;AdbR(>>1@OgeiTkU*WBlLWfVt-H}zbfxWX!v z(LxWub434@4DU1WzM^wsBkP%ZwcZft!5Fo})nV7&nRUh>Bc1}59$gJEzKz*aLr-n( zd}1Tb6{{8&f^@F=B$=Ny^>FL5+&^Z%_j<3<gv#UyA(9MncrAQa$=wi8dvLJbgz*+4 zrEcIm8t-n6po$2Cj%{QAwF2{|1z3ubKc~_O#RQjzi|#)Qk>54(pEKz#$Eb+q!C9AL z^7#Sxh)+u3(Vcn&-0F2a)rBfo3{j}lhxuk8S8;fHGCeWJW>JnvjiY&JI!(T$y<^IV zTvIdawjb$=)-5v{(203mZvSJp_3QB2;Z&I8Me*c3vP~jAWthM>dy%8l0G6DCl(NKk zge*8MIJ9fnEPBg|Lwc+=rms>3xgkc;MmQNXo?=W5wuEQ|8j?<BlI<&u?@`rDy_HUD zM9!6D;$PX+(hXe)#|Vr0W~Jsnq8zZB5|W<Ac={81;zOGk#eRQOSjP{cgWJ^vu%SZP zEN;n(w|ojH!3%PCHWe3o5<k?oTc^@PUK3DN7pp`)sou;5Lq#^w(Xc|B+C&3aVmV=v zU|3jh_rka2OpFWUWT7FhObbudqRNRv6vT{R<YMG%1ij@772!i25#1mh8~yOJmLBp6 zLfpwG(_`r=qXf_y#4)B1tI0s(&G8*_r5h<%)cCwv*%!D@55r|k)tx#vv}?_Di&zlk zctoa8Z~HAOQw}hrJ`S&j@4{2r+aSkcMPjva2&9xMzT;;<Fr#=>PE{tJ<jbLSx=loU z>l&WgLvU%m=zi)oQc??V_ln+foQhD1tTe)Lxm0JaXaFP1av0&HweeIvs+>ARfz1$h z>J?I24{!5;p154FS~-Y=XsA(`GJ@CC1m;48x>?*5pWgBjrByFHySw>YfO-Gh=n0)a zUCR5{m;TE%lWbQ>Cm0Z13`N|HGms@Xyj?QA1w%!k%C3~vcFjc@*Pe-qqIwN|Am6~Z zt5Kn>kikx)F-hH0ov#D|FNm;%sC%;DfW?YQ;dpFoGF@@OiIhRMdqXGOBDiFWxF@|F zx_*@!r%B*8>hm-ej|ym*eM$>|tag|`^t${bw-jTE3VZYH@z*BAvbn{jjyFj_Z`c85 zDpMPj2*cv=`uHwRDtiR<7zaESrcXkqlkMLc-)m=Du~Is{2^SwKAlp5r6UGTH-f;J! zJY<PF-maG3at{E%Wkj?)ieW@Ud=np4uo!Aohrz93@Dx^R@Ek#)2O|7j#NF@wfQ1*@ ziDHrwETbln6DEXac2i6SxEiy~V0v6;|HD0g+W_BmhALPMH5$X<ys&r*J2iNoATS6K zE)sFy65Osg^x+#*XUG%O9XNjKO(s5?9{+(LdL3TGn@6?|r8nvl1XM)OY(`_!+J*J> zV1`E385%`i#kX5gp${R0Z2Du8f8r<aZJYz|ucXpjjHn39vYxCZht_TS4X2;#PLt1R z@60nIKZRB80NBsd=NQIB1ilBbzlFbB73uFIR)oQoVenKIs$2(DK@1Bs&PSGA#oLt9 z6R!cM-Bu8zj?{k2BQSg|m>$1H5S@h=B^QvbW9f~q)Ho9Yw+ytp^LLlxpMMOvYfRr^ z01b`BNgLp)5USi$sDd^ImXwQ>R>j-Y(G&52EtUaWd`CM?zNWq7%ZMCQGqbQC*?y7L zZDHcW|Fvep{OkBte|>xtCsi;4Y6Jty)5BAKqXzF11hyf<8zSz*0#!HKO&FT<5fvZG zBHQKA31<i{Rif@g*~k(Dyj>K%#es@QWmxhNuq62=z6nJYlz<prHo~oG<0)8burNX3 zF;w_0+}-aYu%xLI1)dT7R82rQR4A6!O%bTdW%+Oh(<b09_iy!C<jHJ$JRd={NVKRX zn>>|IZ-i3gItbiENHq@PBC(}@c__A(mB899T2(2*gTrI-T?i_BHT2lh#n_#EB(O~4 zr;~GlP=yU`HKxrODw@E$^6BBJ0aUpY5QWQtP+twjUZ+M16U?3yDhJ1)_tYuNYKPx4 zSNi<vM31E<juvdY)4q;NzClt#=7tLvIjASpSe022TNf&9a%d^$e-R4j>0wdXQeCHx zz57kx@*uRdeflkf=~!4IjLQ^n?9|1X!LAW@G?qCAb}|Kdu42ZnVUj@HQeRA05(LXM zw0Idc(QcO4ioz9Bb+ksNjJPPZ#S5Yp;BMW?6eC6Xx^AiOLT&FxVcg4}u702PQ{FGd zR*R~!7Hzx`yR;juh&pnz(*P%}i>KbF%CQ1=)Q1_rLY5ihZ6fK3S*X=YBDjIE+SWZ8 zu-2DEQE`(DvP~8}#ee`dt~d&B!b}Q~rD%MIf2nt>M$5?J_t8I|Q)1u75K!{)qM<^v zLlix{=i9R*4HskY8<2ixlV@s&=3KHP5g#SZovgxX@3b=_P1MXB97h7au>Wofe(URM z{o{I00_$}Vao#MlO$9wgioh3W2>W>rZ&yffK~fRZ)DhItNPrQfD!vJXoTm^YZ9|;Q zH9SS1DjzJ)<+}FRCiPF~=#e)UE$>mSRxB5U^j2WwNAib+^uPlmRm}ROI_U(rLk6zg zxqm$=GGHMCl5uwRu%qG3F>EJOe%^HI&`tLCsk_nv5Z*Sr=E_^IG@*R43a(!olR*$w z7A@L(NuDa8H!4u&qeQB#!H}6Yi}JCAV?JxSHhedrqgV5Xu4Ab3PerOSz=v4`H!%ZO zuRI$*ZR&`dH1x#Mbu3jrRitVPe3(OUyKLaP20qk-j)sEwz(g_$m7`BY`m*&i<g-~S z6%BIP^VQriT@|&{iv+z?eE{+$-_)l8tDE3Y!H|#vRX$IoO4FcJC!gSkF>rmFZ<DGI z9etIeBQ}2K_F&6Mt){_c4TXRE3{VW?b?}9+L<S~w^683i{&66B_-n%9QHVyBD0)E; z3=Hh&e%y@=m-Rq7eXatsP!p>(<$3|Eeq%@oSZdlW^sjIK+sOYS7*GKQsNmm7O>dN? z#(f3+1gY+XxKsn78D5HFI*HTAd1~UR_Eb3|hyu<4M$Sfhn&au=^u$!u8bk7`M>-4* zL7kuG1DV1`UV-0BX&ZeFxYMU@yqh7_VlX`<f`B>;FY+xU&qmV2a|nlfAR5m_(RF%b ztC+(2&#{brp%^kc_t0khzMkug6NJSz)zSc9_nu?ajji+{WqwHY0tE2pfn4SUR&P=9 z;Y_kkF+C-Kz_$Ss89kU{E)owCH*1hGd<vD{5tsEaa{Bz7*21r5w&XZ6zT$n=sp-Q3 zp1R@U$YQeHm~<0#8ak-0Hzs)#Zxv5}XGe{M6U?&3I|eO0wF_HgTW=l2+9I-DIGvD8 zaB+aUCxMhf18-MEZ@ElGtbrsZHmVY^=AV}%&cv`niDDRBsWHBbi^`6I9!rGBiW!lN zGsyN?#`n6I@fT!Ckkd#(Sx-|ZdNeJuoPqP#?+<YP?Ju0$-!@#1t?nV98ZH+7%qP#L z(!-YthY$C$MziUYPQ4=Amw>o@n!)A~7sXHIlkJk|geihcp#IqRr}W0-)VMeTcP6Ad zn#ZNs7g1GpCdRe}#K52B^mt2<9|CkbZ@7$2oVjfT5c)qDk=qV%04C$Z-<Pd}p7s@% zUA1tcKcpo-W(YX`mw<~00-nA2Z=n7^0~r*K3mL3Tx?>D*-%auDd{pRd=wKc8zn$VA z0e^PvpFHLthWX2xpbQx1{yT7SX2|C`bbJ9OMKc~niC-)t=aOgR>ERu~3A@mAz!^fK ze~CK0l?M=*^i#t7X})hP5bd9(`5z}a_`<?^;8<RF{_e;BA{FC(<67XXH%k6VI^vJ< z^xoYXeE&x_H*}YU+imTx=amXsES2XBa=UWX+^#~Mx%2h-^r)icTl%>%Icjc~x=y-M zZtc<B<)O}*d3veZVIx)|eY2Ph`9zk=JpEkuEHyVFsI$N=5P2%M)N6zFbGtIt++a}W z-dtJ=UX8Dnm0kPy)5#ZQSL_0d5V~`YtVJfo+hO7Bibv%?JIOc&E({${$<#^T-FE9A zU)d<!e`W|+sb_98jS+)R5LlH#I{b=EFQ=zS5cnEIIPPO%TSZ7ItKiARU{(-=>VEIB zZX5dp1YsJxAx`@0K|)Lc39%9E=S}=)VQM5N!3<5<tfvErZyE)FTpUUy-NfMy@m-2k z_BrS=@r$uIeG>T<d8Q0FfoKMMaoEv`<1uy66kp+rnSg8{k5MQO?ts1)6{t*aMa63h z$n@v*6cvcVm=Vl39l5H9r^nF~+iVsE2U{N2zWwHS?=EnY83M}iVv%tk+2I*Iyq|E` z1)?z^f-c04l_@K61M)FH>9V|+FY!EcyP$y{uR{=(7cCloIaCw|9jz>}spSEMP%~lC z)VQ#IHhhThv^xv?7}x0<ge+desiQdATi{C(;4qmlO91)jemtUw#1T*+-#qo2><~>4 ze*rxf2x`Rwq!b9kzNH)SSLjXSmg~@y+WI(Yz?XJZIV?m$+W?l7jg&UW+W@{ywpoPU zqdr`8B4v@Ss_5~GAUhN(O3oo$H_#goQRBi0+)<EfG2pwy!WH25g2vx6;vTATM}$_v zx<UAHi=>5Q4NLp`)CD97{~v)TZS|f{9eZcDF3_w*3j@jjC+y9x{}q2gI(m_jYsCUH zgW^?jeN1i+s({mjk#mtC0MN_miK1((48rddG64R^Qu%lIAH>FdT8PVmaJxn1$s&6E zJ%Z?-9?r`WPdQ8tjsOWHMEI=eK`G#A&Im0z1lH$palaz6jX_oB#*(kuYqE6=z0s5! zcbC9D53P267uyOV8z0@Ck&`mmH5Ed1HN=%(!*>}{*+(JAszhTK^hiT_WP2h2mQJaH zEHED#A?vB(L^sMnytN0EU!`=<9;o3SC^D9OmGa4xN%Z(>f++f8(Uu9GB0)H83)N_a zqoYCDpbE;?Vn!I^1~$atq)iS|ax6&6v9P2fq_jHTCYzpU0&KY5!m#0MvQ;cSzUHqC zznE+tOm9@9#$5w8gjS1j0vk$HOg*4As%FHg0~>}_!P*%%%%g?aAE@aH?jm_Ijvn7e z5Pg2J$S<Efl}vB+qQ;#ha7#d|>r9i1>+Nb7RZKCXiurjR-)=^QE<*<EjK;pJ;wLld z?||?15X>4LRT>rk&Zt@o80GC-xcC;(>7V<X%9o0GbII0EY?RpgyhicqLmWq_-WMKK z4i+*hSM!yj#w!_dDxpG4tZsf!7!mts#>Ooos0`ai{isYQAw&@P@tXK9dn&sT<XDkN zEDlQ|7m;VejcWs5F={?vEWQcxSIu@4w^l$8{{%S}Xaq|pF1`iyJ1gpLLfe1+JRgv6 zsIRlq>5~UV5tuQRAewlw$RwX^4QA{~je`=nMWNM&TrS0m@Qv_rtlHt6u<PB&bx6<= zPjN<e(?2Xa4*>G?KsG}v(<lIf5w27n--V{K*FuhY10dWaohl^TKLXLw^dLHlh@Z+P z+mY!6e(2!NtAh&h1~u*`h>p<eXkZ;<;j^w9(>(;%z?(Q}Lp&8pm74~p);p-aH1Re7 z?Ql?i&4<am{(gGsqHLL_lTA4-5y6OvI#5$dgxPh#KoP^mroh>*VE%JV=?Z)?HohWn z{{IzL?oruFH{3DMo)3SIjm{f2qX0JPjI{39QPEg7FwuOnz41m(9~c!2V`eW8J?g+3 z)5)2VB-$s`&lI5W<xbEfG9|MsZ&<0H4;wa;Akr5OlG#j_N=^_>K^*!M3Jy@{@#%(* z+)0rb3h&*?7<U!vyN=C}PiCoX0wD%O9WkggHt(PszNcok_wJ-C_bsqU_hpH-(oc5O z=iYR#Uh4I*5lN9ghnpGl1i%F#SiMwp<1kM`47Jkkiw;3jGTAc}N7zy_!S3<Mk&(CT z^9)n&7FR3*TlNN(ed+s;RY5+o)Ck|93@V#H0bM4I7Lz9*{8cZ2X#B6G1c=7zfP9bq z+YkTeJ;rchBS!#z>1D_Vvs5zcgWGHWvZph*Q&lubi`Jkg#q@AxpwwKS32|}1=uXZ< zdZ6&1tLQCfsED`XJ%jGtxxT~RvU@_H*lPU*C5{>3@VfXeegfZ;D92?zm|re(1>~T% z2eOYWI^93dcrb^6dJdA`BJyk)J)B55Tny2$0Lkyou~kEmG3nti4E>{6P91gHwMr8c z_Qi$3c>)e3+lHK6Fv5BMiKnVk<su*oFhdyfCDKzLPfwyJPJ_V6&mg7C8RW@V^mr^_ zIME`-O!8DAy-|@Gw?^PTc~E>8|Gdb6y&#o|2qoe`ls3S3$x+#{M#nh-%O!)rua58N zgl>(vct1jXEWtWi5ozz-Ga|33nQb_XnE&3*$OW$<l>qqTJtFBLSp-xfyvVqS>;UHV zjBvOBqG2eCF1$Hb##jVANb2XoBA^kjOchVPPL)fAD7b=(G666|R7($m+Y(ZJ9~AFY z+=^udSduv)Fi~;d^yjZ^(&;Ix1iqu92P?AqNGWZ6hsR38U?=y8q@|NpFzuaMM&va$ zGag1_zmZ7`d2vATd^u#RI(j@aK~xAtR^az#^hO9Mu{QRLW$ygtq}%(00^a}>Iq7-- zKc$&bG{j{YB(<>de@K}BrP^cEv0A_xGsBLy9*@}(lU<3TC6+S4RsUBVyM2&8Z@~XQ z)93%pC;y#(HvNl0d_|%hV|uWfJR}|k(&Awp4X8%bH7>kI?XYF&^@hJiY=%@_e<k$` zu1CLuAbIt#eEUCT#-O~;1LgI<$%ye*QS^6))JQjiSrsUQUWqW0`eBQ40NYx?Sp83j z{=L!|`SbrO8G%#wGcX?raenH20QhOhKUOGzS2!#gKtTuJaR>O9{y{1Q5Wl0<BzO{H z1mcyKCZ1wX4HhK`7(s=L;O;dI(w5+>Y~sKY5=dk<rgcEPf*6e%;=DBQlw!i+xA54V zA|xKL&6R@@C#?Qn#QrVI5ntXGu=5%I+4i1|b;+@~N(o|l9N`P^Q$8I!BK%Nq+v<1c z7p!WFwyL=SBF8IFFlB5cKLI-$s(>u_ypJP>;1za68ev?`W#hQpkf>~lu9MwcTFY-4 zh+}I^MwdJJosW&5%V3HCYfhY|czvfXsSNhEu%qbXF(gO|Qm|s?4p>amP?Wz67LzWt zz3YW>_khKuiO1tJlgTjF?v1y<Ca9AMdqK=~J_w|NQ@d;iv^Q*^^Y;-+;Jb;mcdi+c zifU%FMeliVp5m4zXYAKjg-bGB8))%NYN9+WuT3sgSeMcoxiaFi)VMoBtHgRv;-ut* za?jX{hVm3bOv|*KsLmO3#j9N|8pAFb!3t^ux5I=cnBDwdEPs@nUVTlIRBmewg$Zzb zX=}ePwf9u<Ci?OJvc&j2+brGh-xOaxx_-N9LT<t;Lr^``kPT`oqwPfT$Oz^SyB^4u za_U@#y<G!TOL>O1D$`Ye?}&E-xFSUQx)ZfW%_Wf2+174fg6cnb$0&5<cX*$}jSP9U zqIW#F6-7%Ag+FI?X<d)i-0nl1do%U;&W4RFT<p89ks+@Lg4oU6u9&S5mxj;Vew0Dj z;ZL5?_j{zI{`mCF^yr4I&(?;<KI&W1#L^~1f1|7!@=`1aKfwxmB`rlkjc<abGV*4w zPPCev4aAw-w!td()LMi$ccxzIsj!iji+w`Z887_fGmMYcm_}QYR4V?@XTa-85<^0* zFgKgd5C8aQp=~MZhNEXiBtvNp;j&r#JA>OiA3@mu*Dv}@hSt4u%;rp{*H%bvq*PFd zJ44j}Bw^=C99|iyObV#%@6*bprO2uA4Y05ma-TMl0COs30dp{aGpiQMe$tB#_a4Z1 zUjWFPsS~|B6wvfVec#Lblf<u~{crayB~JIf{Wwt_1r9F$gQouX*Uy?Yff1U<fl^cz z{&5x~l7>!JB-%UQj7VlRvjOoA9#@8vmEI4m?=R+VUU|8$hLS)2dgx+>1K7prQgbuq zbnXR9Z-${G74Z!1-B+oOl+s8&<yCR;XU)7_sP$RUFH5kYd++Dt{l)!X5<&aK{f+&- z-k{}%fs(uRY)qpA`(J~0*FMcm9otaKntXuO;<%JWe}Z-9P|BKmggvB@#-%u$(1OpY zAkp}8>}vNol`iRC%9>2TYN3^~=+Ri`?n_xyB<!KNG_IP-gqCYL6`kNgn+Wh=yyK-T zt3<4pgHo1FEY^AUQkL~|?4h+ZE)(#eT26)7N4^|~91ix{JI5CW&Xs9Iv{;-m-N+j> zyOR@4MZ=BD_H_40U+in#477SpWEt?wv#TeH$ou9wWD-xy`@u_wQE><hEtu)tt3=BD z4{s5ZZ<k-BamONi9EHO&EkqP&vk21``HE9n;ZH4CNUNC76+|w_x<1c=VKlUZw5Y$^ zs5rN^u;Vd-5<FR_kN<#@Bi8kK45NZYEeN=E3_XQtTBJ0Z=TPHyRld+e%PI?dzJL^O zyqJ(ES9a%9!<%!KcQ@G!Q$6$QZ(G0qfoT$vcviT9p`MoLFI>k^E=e#A+=G`jp(0}} zoQFTV=73}CCx(Y@%84vhzIk>9M3E}LJcnB1X>VV6NiQnS+(K(%1JiNVQORJ;JMdjM z&%Jv6k{%S;<I%@AqU4U%_kABn1^>3HKe#<R_@{*6QNKL<60fTRWB4j7N->&wXEx!T zbk2oDr7Zg}vGHn3_&cNV0Cm?~ob$4ojcuL&_j00E;0lInEYTmmhN1XMFbVm>r9Yq| zH7%Tz7l3%y2jUTkEZP2fb}2-W?0b2$J~aiK4ffuHsNj1Rgq^9ZmH>&$%N`pQgsE<q z>T;?&(4XtdJCxw#di|9al$<UQvJ(|NXF;g@j-h7}O)V5h^JX<1bzOe8!-F}|k<oXw zY!a}h*E>E2+*_=?-#@M}H1RHLqk+27k7D{%r|)_D;Zu>l@0hLt3AxMPF_Rg@^N;Hi zd>673h53Mm{pJgYx_NZd=E}>dG7`@&Z(^vd68&{QFceV<CX0LU)mBtwwS}|aoNErj zr`x-%oO<s-!X}g;Kaj8;CHDeINJRw~TM+KAVd%9))1$6^K*V}r;`<(2lbP7_FG%q} z7ZMUB%I;KaawHVLsWn<<=rFw9%c5*vTVnWbHgVxnFUmXZt6**Sk9c!O|Mnu8db`17 z#>ak;JJrT#y%^?GsIwdNvnWIHNes&_3-Vdj+i@2XT|?I~pM4|*m;CeWtIAajU_OjT zz2q<DSrrkrX8rPP8i>w3zIoOe#6wYa5R-+h7A}cOvAb2+E@q%b<ky5oc+OR{k|4en zj&QX9>b{8+vb^ilGv`^;HxcuKuTH<@14=NpPQP>*C1+OGSJICPcC#S3ZvIWqv_<{0 z=B;x|W^60*=5v1S;%;?zy>&#_2kY@VB^G6z11Ncle|xyG#4uzY=<wMAB%+B6?*~xc z_Va?ZW$W?g!(hU$^>#*87G<Jl62s44Gd><h%N3A5mW;rI<<OCZceQNNu%-hYAIGaM zQQ_Y92;xX>@cQezBa7qIU)?CdWnhdZlpH@WMms9F2N+`oLoXnjHnh9cEF})!Ixw9N z!*o)A27cUFdT{1T7D3e_pLZcE{G0^~+d9TBiO5B%?_15>!F^jwNLz9hRx;c94E!H) z9XQu3qR4gNTouIAt-f%Nc0b`oSNt$4Qq;n^=G%g<oD4~z%sLx;sGP**H?!lzRh;&5 z^?Ksc#;6M#P8J8Ua&8t8S(g0rKA(^<7~8~j9R_Ipftid0Xsl20rDrAPbbE``Ozk{L zLp=A(o2(&fS@`AwygM7dGq3ltUDlFdGW3I&ji4gsESyb5dw9mryj4gWba#xp+r4&o z69uU3A)qq<Jcp-%%I?8SsHnKt7Fw=rm=148rT#I`jf(J5H1il<>$6eLg+MQ@j%5Hx z&gCZnjzr%a1{~??79z-ntat&7{GY(qZvkcsiHD}^AiT?2Ek`6OweM76+r{T1fC}4D zOi%0erKu<=wq9SR3&q}B4@sKJdZ#TR=m1Ehsyt1^TS=vFccK}^<OLq=K|$B*^~)Pk z?5y<=<Ih>|Y$OB|ee>+|%F~=TkXhEn*hAYSuHof`mfvzJU<p~ZXZ(|~EQuXi8}8B1 z-%vHd6~6jqT_~s-7=()2FqzD16q2|m?+-6+LU|*quiegxuQ>o#8enz6H8DgH!+Y)G zKJ|9xVZ=kC0QO5+Ez%OhHzxrE6%U?#PGp(AmuDAD6yX6%izA-q0ZMB@#c^9`k$zx0 z;6Q1e-dYr~oFfjG1f?nP$gk*I$yL|JJ`azR?wUq~$gI}9!BP!!AUH3r_PaUyV;)*v zIythgK3Y~0*z-zh@k28SiR1p$38a1%o4JT9X!y{4LVN%^Z)iCo<uLjd@=HSFxtyyU z!0}q(2#xjDJY-H#3!0^kgz*@I3;HO`WAHt2xgccLR0H<V34p6F2`vIS6_+Q(6wqCM z_5G*Czx+<lRsS5%5!rF60(aA_W?|_|;7db{x6d7waWS;7`BpHig$VgeRy@igpS+Nj z0>DcCmenXHajobc98W<Z6oB)tb^_-W275zIZW7PN0Gk(E<Zl6szP$&R)DFnM3zr^4 zMFO{4eOjJlaM{NqEbZ)d5D(`f;w(gXXR-(%fDcV)g}<nW`~;9Iwa@~gpu-nvuMUuU zI1$ZU=c)C%63ca)^wHBI(cLNO56im>a@q-~#TfP%Gkl*?`5JulK7-iR;FmWGV%JgM zVo6CFDo)ixiwy*?-yM~nX?rp#O&tU;e8E#Kc|d99#09NR6uVhHWN0?)owtObHvrR| zVSSp2kP;uIo2Qn<;B$A0XA59L783mnAUp+1FmZsN52v9b)qw{AAf!}xmyUezh6it= zBLP#>GqI-1?H|WK)ax~Xs&(lah{8&5jPB)G#SpassBF@R&I9-ItRE5&4c0?yK;+Vq zs2uYIJ^{?T;EEqbG5OT#uY#GJ_0O9PCb|}FU?xvUTwC&k;|EcQw;=A@M6RiOSN-fl zF-6qtd$ypU8uj{KU><Gt5G3#(O$kA?U*1dwaEe5b*(75Rb%4xfDxpOyr=l=Et2T2x z8q3oCK5Jv?OT%nd$lNOTD<6$bRtW<TuDV1e<m$i|#t_fn281$~mAL6y^=0Xc@|-K1 zlG%Na=RMs#AYiSwqo6P9_1CB<c1vI}pga&dj{2gi%JYHGb}OmaIYy0k2fgp+@u{<O zG>|aZnaxW1RNv?EPw^T=52m?Cu4DjW!JMn&0K`-{!l;^H>2xQPs=l5Y>t5!2!KfG5 zqkUh?Cp&2&As&v-J2jt>Vo9<Y1gMC{YuQy`xiU!~r7^cF<J<hFhqkOk1ErO&?0im$ z=TE~(jltz8q>qw)@ZfXkNH%XRn<rS)qu*k%D_3vBl;E9%x(;)perR~|d;&ocotM0r z5N?8I?!2RA7mnrXP5UUVbDNZs^UK%8H(T9`6qx_c_Y4>J9jD}6H3ezy{tT-zq0;7w zM#*tFlMD$X-3y17lWdl=u%~B{f|7&qI6t&zrw?KAOX}xVIB#)KOZTCNB#h(`Tu_~a zk?Mnc^LS`cJaUc%-qHFjoO9til8TP=pVrr=sn|FM-$cVtEhfZEq4RzY!#B3362ePC z%OsEtv`nUgmdR^CvdBxa+8@tJnnb*VoZ-UWE%AEFGES_m`leT+^SWfSzi1Z?M@}Zh zKSbvtKPRLxp>G)jRiDqf%A+J$3itxG-U=TsVu;m2!ju5M5G7$sKf?b_#GH@8ma6YR zN@J2oW=+0&^N_p=Ey99P7ZXxs01M40G%Ds??FI&Hf+J2UypO?_V{cc6n_oKOJ%7-{ zS<Hdh*8kE_aaXf`Y)Q$C*w1p$a(HAWA!Hmhg-$0B>OoWJTtfIRni=My^*J5O6#*RG z_%<nC^q0nhm`4koNh1y8*$IcXrD439;p{zWT)tBY?@&1xEEKb5DkqRoqxHu_CX+E( zmWfMQpVP1+6G~aL53#3}FA08chsX7xHSgoKI*jV^&P88o9_HX$`!nYi?%r6SW!UEc zos~9x)%$J^bY@U^4-NlGfeUV?VOAR9awpP0et!oKZbL_2#%s~@u%^$y#$cuY49Hz? z2$DnX;&V3F3x)xHz#M7-e!v{I(9B{UT6P&&t|-#SGUIV_Z@~Pw#EIwLJjO3ybao#? zrD4jzL^{$i;H)XTXd0LCOu{>7V11AvM^7N-s@KK)Jv1U3i!WUb>^-+q%YpDQ_|jmv zUhZEr?o$|eQ*<GK>YR^d$yUs=dxI5mKxR2)V^42f5-jO~$L*pufdV^J>+xEx-}p<* zL2!V;ytUdnbM#?%wYsEoWMMv9lcCu2!fEkH5L$Wx+@gCKaFYR00ineUoo76qkWvYt zGMCWkpL10nDOmaej!6B{n#WtLPC0Vs5cl0j0CZ9&5s7e-JC@H!3e}r<Dw`*aa*A?K zG*2kx6afRIU`2|60m8AT-7g7xbim_A(V8G@=qUbnDSx}U&#)f9Aoe{KI4bMTQbK$! zI*&Y;kfK8R=<x|2d<^LNjuyQHYa06nz)lA^Dl4pC*TFH^77bSf9mL$|JjJgG;aD^? z$y@7lG?vRT?V~3exWgiF)S(P@s~nCv^Gg@J=V@gcVN0l+bNwBQ4cvECfq9?h{`Sp$ zDL}wE9jkRzG0Ua|>l_X;hg|F-3W<w%DWT;t`qq{vppq#6={rI2KE}7kq2atU2?UMq zpq$xK?CIx7!S8+WI7hVRWgo2$*7`Yp+((82iD<a*OhWu1I?op<uo`{K7bx&v&Q)ck z;0jRS3!uQ7LUoGcnM0O$9^LOhx1tk~xSYE8>#=FVe>XMr?{NiM?Jlwj%!77@E=iuk z_ENjMv2g~xLbX>rO6{+OyS1;v4tIEJi!D;<5*gN-vB6Zu>pU|_#!0pvA#BqpI(tg3 zEMf({yb6<^+IB6#_#9?VfgWSK>#>nvL04^u<Zk00jFscFv8QAkL0xeG)?GSvBQ`iw z@p|k`67soiM<m-QKQh_2DG!E1&l%%|+EU6D<w+e<C7T;B_Lh3~Ga~kL@^)XCul_%} z-U2GhE(#Y`>6RK&P|^{G21$_tL_(16?(RmAl<pXs5do3z?vfrvO1h;>K|tU?e*gXN zUHAU$x)#oQnb$M>?6c2t_OqY&*>-ykk!SO_S4u0%0XNUBd<OP%=9?h<5S?myt3E{} zzetFH9R>9)3tzRml?eb>N1J|B)yP_Py+Sh6glPD+rr3^RAqkE^Z5ijk+A?G9rhB;u zSsRJYv5M6o9+G@KbXt`3$FZ8#N(AbwDKbI^u1mLNGcbvUB)<Sm;waR=dRPmbv}5^d zuLFMf{NQ#Dv*n(u-f_uVqDUm017Nci%yP((V>y6=wq{l%%WP~YaRbIYW$~=V>pt>) z@|kGmh2xsFPR>Y1Q*zdRr~?(}Y-}V>Bp%B%4gf`il2QgVlBV$4KdAjuwo0GxsRI?q zY;2q{6~!W*2;lHN>|+il*Th%R;{_bPdwmH<b1!ByecEyA^+9zlh%-_ike~j1YP9Hj z)J1?tjs*ei5{)dakB#QVM)Uk>P!52@n}x1LrU0HP)IqCEu=16&S=m~za35@sm!svK zQ}9~QWu!bHBqB;0En?Tg<{}wu(C5blRGkO$`^YB&5k}Rn=s{l~G?FoioRtOYkp8q$ zWED_lJ~wW}uSNDm+QvS05d0$Oq~N^KxYmo)2V><eZ*JR7UJIIxlplLqAE8ru@NgS& zaW(avC7eg4`v|beu+BksPBjZ~NX_Gn=AQuC&i{pkxD~y3n7#a|(JX&0LvwCGd9f{x z6VOTD>YeF1_we=w7DX~D{l7J2rrD+850Q9uPdS<@8rO*W_%8raK%MrFzVpWOz6e8J zhvtjZSR-Fguw;z|ja!9lVKk9wGvqSmP(?lU1sCbcHjy-P3OyA)7v-vKC9;_;K(!T| zKgc{>r1~hxqvmX))sOV`48yB$Se5DH7l%4fA_ciroXwKgdae3krs(tYV3~sq$<m_L z^+5H1)T@smm<$W^SuFnBtUozzeO2>I%VaZt>I=ux&MP(l6>8%mbzflDAKVx?cZu{d zJA!$ElBte!Phn;d8V$LOz*EK2aY6Ta-|V%Fx=5Por-Y>%wAuxNRVvQIxLx&aX>1%? zpqyE}7RelG3t@8rM8V3p&SrsYy?>+U9eT!87q~Q?K{@)XU0;NDq^<>SJ%Na%^Pn48 zRk9fc^#v|zXQS%1uf}~5jL)zA^`}~f#Glo!AW(_xa5hEkG9hT~YBH+R8kxWgC$$W% z&l;YxI5~skaR5YH4N0CBGOY*s;q=;SB@gxWJ~HA4RD(8cTILOq7``E?V2f7CTa79r z2}D9L>+xdjNHb=i)tbwf9}w9=bWYA+mGe7>U>e}Xw31|adFLNF?c6q`*%&-^@G2KP zR&ZV`Hu9AO74Uz|u!ObFo@n2eRkXf{>!22A1LqZk{x(CF1@eWMvzz(4i<Zpg5Xj$W zwHvXh$a%P}1I~YBI7zFGW>haf5~k@|$2&}p#7GNG)g#g2E)AeRx?!<uM1|3ZV)~O% zQ$6A1R<RoXtamWNqhr-YiDVYXEBhmDHL8UqSP#MM$BR*Tn1Og&Ypzo6VL|n*)+8L2 z(FJD=4q?GOw>`R0KnsER9WB%QbeLbU)UK7FGC1KhFYpNbNT@FX|3<=U_*(A(8*o~T z<nMBOeLDXBV6QbvLS@9jX#}tdN-3zdS^26otcEkdU0SeOqZCwP3Y<*?yG)y-);Jy2 zse(*khLc8x*0T>y#l8;(@H}=|Iwv2Gl9h?W9lgSC^Y!?sauy=nc~Z<LbuB18lE&g` ztb%41BGvilZIUWE1+Dr5*qJMhYhQ8tB9f>E4pXvJ^qh2@N2&S<EXlFPps`ut*h1YA zUif?ZC|h+gBbn1eHS~yeT-B|5h>?LkaK;ZIEQEl`9$%6@dX5L1Wvw-<Kt+ndZ9VYp zXPNmxJ<#j}s@DSvn|G<JnbSaG99@fN`gHty$NHVc5h>t}XYU2$JC?KBC_we%Az|aL zb*5YCsK_F?Z4P#fC?vz{dqvx_pg5V%@k^`0cSr#Tys{?1o-KcySqI7iq#1wIt%kFK zdm3T2E2*f&OgNhZc3Bfg?P><9QyrPW1}7CiKbmS=F1t%Ed32vK1QJcA!viW5R!DwR zK=@<lJB~tq)j~!%!*%<&ZDcypk>sSI(+VWi>Fj*m1%`K*4OeiyFdP^aC|lbj1#I!` zDVg}V-ddTxNA+IZzGHQh>1@zzxqbx}npo#l+p3Qh$xk0D;0G80^Wy3kx0Qe_Y|`=e z+HV-FP%s=16BkAW7{!&Sj3;oKFkAwBQfexW@39U@54V3GAMPKsm?NxW)%6s~oFA$Y zO{{ZPg9<YQp@th1O`1UoSo!es`XWW(k8_`LX2%eXR*24-oK=rJGLQn!I3CK90}3q} zB?rYyA&^#59l*-#!$NwH;oARU;yc#1+K58+Y9c+t2B*%yAKhg5bTI!6c&^$|#6h7` z4Y%r(L-Ln|2$VvowHW!THLOhZ%j=cODRe3&tOj2p$umQy?I1rYrLBxgP+tX*0up%k za|tB}Ng|<;eG;8&-Ou`uu<OQ6kpxMhn1$Fe3gk9v&2ZhqZJX<jXn*^bcP@pfAZ@t3 z1zs6~vDTs-73K{`I}3dU!G6O&GIc&E4abAv!L%7_O^Q(&=5QK9oH{lQWCA0cR0dFC zhQS@{a6Cn97&v;?l2I9xaGEh}f-G`sAyz(T1FPXsJ^S2Nul0&$J+`}1&0ZhR3B%b! z`7Y_AWIY$TKJ%jQdd_k^>P6_~Ld-6U&<Gku>!#wUgQ*4pQ_TN=+0cK9+5$9?KAYrn z5E?<OXiWv~xyc6^(+?=KX_m@KjjD}57)PrJy<do#X9*gC1JIPuQID9Dz&&$NW>t_? zO+oo5LBh8P4Wv?x0Eyh%#PV%g+nqhs2W!!k6C=k9diq_qen$LEWz-cUy8z2ANMon~ zSnfeV>T|{F?7OlwJH_)vf?<Q|h&g!y0pCFjOU}7_2h5ot+syK7)9i9QRGzE(7MpYm zuukFsQZb2FXq(r>{2y4EV)czvW|~bs$nZa;JrHRlo7*htb>OVqcUJMl0}%E(K-fCc zo)LN#Z{?-g9iAo<7#dXLjK~Xwc@J7}v(EW@Ey(k;wk8WgRgacJRShDuJQ5F-EnC%G zr{xK1TFDRx1z%}7nYRE;o0DW0mvK}OgkkVZ8=#QV244Uw8^&ya%MMV;^fZ#;w(r&5 zvh^}$01KY?g;wblF16jWZ8b}~Qrva0{;e|V!^v-VtYVa(#*o?iy?RczeqMC;@KewS zgJ<3w1(5>=U%b}}!io$cMFAk)1R!(4!Sk3jp5M2xu7>0jW)!PEFJsbdmVBV6WAd=0 zY_p>oEKYL2Un+yFocw<0iK8?I)!sew0*->kna|C3Z!qjS30dp#-4g9%RL4kvObddU zP;oMk`4n$vCE4k3H$-){dPW^%6wOLEsP2E9hk8sLkhT2uh}3rz>Eme5HlbKltcr5@ z_cq7k|G0(O69h#G2ntuZo+AKDy31|ksFDD%YqOoBK7VW$Dc_|~lsp0=(5~qF2#6fL zBJ?c~Im^%pkPB=7i<~Hs_=0>~9wffsq5Q)DDh3fq4xnOC5J(Z`bia$=Cj!NjE^1Ii z`JG5WVo)ssFI@7i>XJRjeeP3i6rU!C34$R!%_htT$~7XNu*&lrvx7d{Z0`!dn~be$ zJm2KW^IO$;f5-=NgHi>%s-x9qpKN`3mBBO0?SjM;gD;4cf{X|Q8^lIIrx~Yo;b+s& zp83~mMPv#95_Bt?eTW?EXro!i0$5JG>Vx2H5~{W2-nT`y_>+Av=s$qkm`qVJKszFd z6^p4rR-_D25%63k$cn^8`pzBo_s^V5Rqc*EA`cc6tKQ8+>utfW?TTh&56I>#vJ(-z z$*JPsIh}or%CA8J1Hgh0Ab|m3!2n2L){;9_i)J^|RrhxlQkF(<II~oazd@NRfY*OO z`2iMpJPi%>RE%i#lv@i1xYw?U%nfT1nIA|vDT>tmK*IUFh|dpTnZZANeLKu%0rtpJ zRBJcg7kdQ*Nak*GB6t{d_Aoi)k)kztLbQ{kNXi2s69Z6~uX|O#f37MnsQqocSA7O* ze_QdY)BxrLP(VUHNODyRJW2mL7m;N-$qmXDsR3V&x)t$_fNEHwDnm_HA_7#ygqmlS z#b=-xX8@X6hLYoDsnnpL1W{QeTKmagNkB<TxWGJ}!{a-rr(73qQ8HNazGp?>O95U> zU4%X@%v?PNjra{tm^M|2$nLgafl;}t+!jb0+f{8KAZbLZJ^*8B?<e<?sQWbh;dGZ9 z<S9~P_mmsOE#d=%8Kr=_Ty`Qzr&H^iXRF%Apcs1~_wRy|(}3K65K54lO)|Td{Pk@S zQ<zYJc_W91-QCaRpj=>WH<X+)TZMNBN|2vzGP9vrCGP^@c91`7>F>|dZ6^nv0LWn_ zIWhu34jai~gNir`015j7AS<<<cizU$ptdPkU{a`RV<A+q+N%11B8#L6IG?$wR&ToC z`dhOq?*A=G7j>5#CIuhjzn>f=qgb`4TBIflFzI(ie1BJyJ0BHE`Mb-lc^1_!O!mbd zv;e4N6w0idtx`1#<)6>~R@nm$%vOxpcabw`c-F>gyASr9K@s}75OX!ya{#Xv0(&mz z132};o|DN^aRz&C0A&4O&ygxdWP#Hf6yX&2Ok~!nz|sPT3KgkkDR-zIyt`a4Riz#i zVs=`BCg3T`>bc1cGwbhLwE{EQ6roMK$&t2-#Z8CFVKm?z0B~BWDoKO-&b!|mv8oR` zgqcq>Rrg1>lT%xoXSqGcp%~zd*l1VX-v=oA2M~K3$(<HOQuP4pn1aIQ-KtC-T2yg8 z1PjcHRG)bW6<9O?fJKnG5+Hi-6lM28+J9evA0Yx3OA;Uw`^k}v0FeOCG@AnW%2RG5 zM3saUe0JSdvg(7PY!dH%vdj{<D#pEHRoon*g0&`98v}sH7pOj{0C@aCaxYC$ZR=ED zY_2ERfJe!RL;&&onVg}dXbtLpofJh<?ZV6_qfpqgd)2z-`zw5h7E|LqRT}_b7+0z? zNM<E^)vhbuUFY7hvP~J@?ug%A1Y8B&p3vXj(%*IM5(Qjx-lb%E-u?>sdl{fHaZ8_e z_>&&iOy9Qo*J|Q=Vt6brbun(q!@qX_=4Z;j%-vqV?cM(UHVQx1>xquOLzxO;@gKfj z9m3*Q<D7po&ho)KJ(m*!{#`Rie|N-hF9RyC#_t4B;Bx{AYOX#T-IR9R4!^vEi&wJO z1Qn#Gun(4+I9u>X@z`o1w2dSz5-!u3x6G$`8Un1D_pXXf?8T=_cZ$NMZpgHzloC>I zlC>t3V8eG}<mVi;M@2!VcmC4S7aaSerAA5?%q_e%O20>JJS;3`oYOJtEg^HM>7yL! zb#^<3I)fAb)#LO2UxXHv2tHgvohow~u&xF%BmHgWE;xV91l;aoLCW^>gZggFTD-*7 z+4V1GDnxHL?+$bBj_$e$`{-w@*k^*W;qo3hWwUMjj`L@^9kO$eDl_lQY3@dG1xBy- z#IJr=oTCMUC(RM2gYRkC+Q&yKw{&9(3|(vE%4ivLT^0O>sy5e0Zq-wxziJqqs9Nxg zTTF9_)^t{mFi7&s;}4daJ1w)YH8*TYF3Uw8wt0)6IUenItPv?>r`UFpA(@jxH55p6 zc$KYs(2;>BaK`lzmVR9OOXg)<=A+ZHx7@4&X8%7}M`3T^Fzebi7Q^&vW=`a&9Fb<* zC5L1#3DGEp*wFL+FV+ZO707z}z2}1DUv29mP1Iuf8C~tPvcxToWMdNwgjiBHt#adT zw0^YIK?j0HZ}!+*JZ<ApL2YpPbKnxr+O7Afu-p*LSJ*MpWEqsqwdR2Bln4{jup6zi z0A84P#ozzRUCletTTZL%abaqHG>xFe^FK7SoNCim4S^_->+rm>>T^T#^M(kFkWnL; z`FM1#Ok&?=@}FU({Y^COo29kw-<<tFDN57l4x__WM*ergdxwj{EVV0*s6<kb2!m(& zm}^&SQJqxCgc>+$(bmQf7azs?l|+n7jn7($eErsqStqW6P9!bk2Ui8!!KzIwae;G( z?45v<m^NL@>zO&XqiKF5#!P7H!b2TrRjW}LNQy%-J#b?7AsMrbwdRgsey&0qS>sjz zlV-#1A~evOLaSSTZd&PSYZ!}heLX|f?70_$3QB^@8(^1tL2I{CP+>E0G{=xvOSo@Z zNq?LIGS6TjEgRvMmh01jupS}I*bPdd4AV8OSlGZdw+E?TECxLorp0qF78PU|n)(+c zte!}I&rpE{Qfly^>qa}O7Yzv`0&W5Uw&ohkZ|G9H*Y!V%QbrD8u!d{;^!-JjWYezo zTld1lMQX<HEF{SDD%kfI4WU1<8s1)SfM{5RAJpi6e&>Z(r*!1k#jFHW4KhqeYiMb5 zwyoA}V+Go_ta`vp%ekrT(R4Dc{n(zN<$Rki$ffZ??)KWM&j`sc86tpBPEE<e$D?Pp zCWC~D12!13z(8bN4R7gXvT4sYEt(-9aE)@Gzy?x}YVK~1o;OFWle=DxmU~_s`dnz( z4^5O0yVTmI(H{I@mTB=AoG91-?~-xSRbk|7mAOT|T#NtsNcj?PjqSzA{*V}Nivzg) z0WQx+_9NSlq|+81(=9f&xLO>E_K&erv0+-zYRy3Ix(T=C7#*!!twwc{BNIyCq%$G) zdPmnUpSCRXj1D%y`xa<zw?K%A4|E36GUB-^umcvHv$zM=x0qXs<wvs5K~#t&-#*lF zdTTZK1WEoObefj<hZ8^{5vZ@u$OuxnuHqIRM^lPv-vO=d;l}K-ZEPT*tNqO}U2}+q zU0hXN!zPPq@f0sAYA$pOmB3@Hc(6-`|L_M#I88eq0shaHtph%uw^k<csMM}^nh0}n zA1{blK_D;DL`yTaP1zW4x?vI<K_pb~2Z&}33X)fbe&8nL&EEb^>W(ZBk_Ruvs6<OR zTMk~C_mkSy0#qj(GGPWz>KIbrI@$mqtn_13!v|qIKudGDJx=8XMl_C%kO6`fhyO-A za$)yc?igEgOfSTNAvkKy!cdXva9ax;djyP+OUBBq9Mvm|grR|eiyp2r@r&gr1!5Hl zQzMnwgh3!yu>r&?=z&WKhN_I5?u30i%&D1cjleUu+;BF3kQ7Iu!m8kCG~jV|+&6Y4 zKdJ!%a4?>hEeuFmun&Z-3Ss(>aG$EiMi2|tNB=iPT^IJ*AO9J2jspWn&Bl9F<R#n| zf^Dz$l&|u&m01<4mjnru2L{;zgM4H8MO^G=fqI5!Y{H@t%lXD^b;Cb{VBnze{?DKc z9{!o2LAX2%P8s6qcSVl>9=?huy}FxmHW>BMouMMM<T2fz9TOd6Qe+v7do1i!4EGjH zmDT=ua5770u*q<7d4HG0H)U3YS(!vyZokblQhon-R?m{J<6P%O#KWqkcTG9lw05O+ z&)>&joSH>H<g;wd!|3Tx50SK(e<tN;YCJ-VN4lkhI4ujMTGmGJj&}!LzR~hX4--&o z-{&E)-qLnYU!wTF$d5tbtqEj$fts=I+lzYWXSTpRDp#N@$!OK}Twpc63-SuTn#&?D z6bFsn%@dBt`=Xj_TM`fNg;jU;FyB1f)1LpVv{_*ig8;$u*>@4N|BTFYNPA+{%_O19 zf}6oDfyz1wYA$McGut+zOL<Zd%3Z^*@TVK@Ia!Ztd!&oto$L;xS~u8tOs>$i91zg% zOs|SD<S5!jgx;5d;R~4<_Zs7w5^5uyP~FLBkY-N`JlAt=t>fT9o{d^#;v)R09f6TH z0-Drs)>{3tJuZrr8cwaw-<0Rff7lv#=2UT(caofM1m@kpz#wP>YMlBKria)WPP(XM zT_D~@#<v}ohcRQ{efVa!J6s>qFIvO3S{w>hVjuCcGah5a!=n>0o-=Q@Fz%eP$Wc$* zF<$3T`Su<wC~Sd(Z!IT}+Y^Y`GFlDUODtwq<_SH=fHh7u_DPwi?L?Nrd(H7oIe_64 z-N|?mi>wB&?W|CYxQuP>rSo!KN~f}POZRmVw$CjxxVwkYpyn3fx4Y*{Dh+p}-w*E4 z-LJ(Z7H^f_Hr&xTk`Ol8lst6q>qZPiSoEs7Ha~_^QLv8)IU090v6SAzRL?esIVR)( zv+&*j_*egP5Yile%lcg&F(AzsktY-fR9bCHR?Y+JaUJd~k@I)jKhFao%{RHB|LgK! znAG$A3ln>Xe_^75LtUwYiYE_h_LP?}O$CyZYN%x9APaV&O`MR2foI?SB$GXQ*2;JU z6OVLS7g0Ud9bE@$er}A1r|}%PPshl(^Y4Ggea^ctgH9mCZq@rl!jup<!wHD!-2_?e z0}(we3B2<kA(C6#loR&G3TdG@*^t7lTCVkwP@w@ByWn()d75=x=>3*VZw;VMVaiT0 z%WU;k5eRAm@xit{oOz5lK%1{$L&CKlTc^@HT2|034J?5oo~efx;<zmoIu9F}wJ;tt z@Rh^x&8P%Z1Ko{GdB?vD*TPZnH-p0TNch%10##xlh{|a-Bm`86fgtKDRL~^Y0|by) zr43vb*`YYLFa>Q><9-`FR}F2g%4!_ob|@ZN^M6M51Yy#W@NMMf5o4jp%yC+EzmQP% z2O^*ULxk3ZlyIk->*HiMA_>BhRmrv47)mt&7SYDID}jZ*MIi}>kN7@Pv&%z3!e>;O z_e2s1dILete}dk489YF#F(GIx8t8D>z7aJ07)nga9!=$F+%1Tw+OLD)?(fc0g0WKy zZuQ;1Y6`sTt$c4j`fnGB;GwnGaha8e61%cTU-oy0%s~qM?2Y?1AzOad#$D`5=3iBM z7*Z)AHM5l=(qRSceC(!y#zJQVY={}boyL8hcgDt@+cD%?@aIA?4zFYv_px^iK?h3l zpk^GB@1yZ~4v|2Jl<9LOlf)uBe+IhqocYy=#uJmmP;Op!1%v}K^O;C|CL7+Onf6bM zl7H@^fHcq9{EI4}&swR9ihmUJ$`8nmdq|Mh<78B;qoQF!TXv9Iqog;pqp6_|_3Umn z=EzJKo@${sf_thv3krcKIDn(4cB1<|!Ki2n$E1tIqL|8CPbK2-i|Xj^7vvI)+0v-w z3-nPhO;naAI?QXHg|>RBJKP=8Us}gy91{vHfsJUH8;{9>_~bLbjQ5#t4IeK0KDm`$ z>7%en%(qgX_oM*`ZbGd_2qZ|$f#BvFRCGzumL3q?tbQZ75fMrp2aEPLGwzneQ>D{F zI4^f+`9dHI_Ww@ADxpvisc=_y5T*%1nBZVGYs@3=L64yV0`?CjR59@~xL>2P0)eV| zCC-QF1}>AVP;OJ00;Q?(P!Yt!&%}7l3J>oUKiD@{K;tkj<6pcFlEU0Yd?gmoHNkm6 z{@wmPhP#N7#3DrNZ37nMyL}J_?2p+;S-}lS-Oz9%_Gs_r?hvYT1auPS<`@WRPJ4;B zC}KpKs$Vz(!HoXT`5M^Nm3pXnbI>_}oX;X64<`zA4q&qChfBCZuyweWS!j8_b%zr} z`tcjMj1i$wN!SP_u*?V#5A&a889+<T^FQaaOQ5ws<lAtSV0=N!XHl4k^PEL1!$ltz zZ43Mb1o4N^lbpUb)Z-Gn!lKhnjk}*i3P<X>)@|`z+p8;Ah9fxJgHz(lhDkHnS5$a& zUA{EK@PAfweVXhJPlEJ=U9#F33I)4F(8hR-7<_ofh$KhG2qOn2#C54M#5JrSi=TZx z+E}QFfK719Xp_<A<$BgI>-T{e>oU5x<J44|<3&Y~=C<Te2TpV3ygWGURQ``kmb3Uc zg)L%wYRFljG0qXq))FJWt0Qq(lP7l10*w@~E?*?Zl_U361I?g1QGNqWz(t`CM9g-? z`1eyE8{=M5JX1Pd#PQee<PQ)F(MqoE_E3xqg{{NIF0;cWTKuTX%9DnSq3v<3u!2@c zt$yFI%~o5jE)fEa7e0pqV@-ai3)W1UVqPrIEed@O6{=D8JM3;E!gz~eWAKLD8kGGu zyIYG8-l7G#Oyf=eupp2wv%tf?RcL(JWQFj3M!l625!S@|(b$1#MzG`5wBM>{fv`M5 z?>E#mFZ4bdJN_m3k1dWpdTvJR!IWk+J-fo3t#Lmco~wthR%MBD%qd#`>!hanI;t%J z`>-alOk;;(3&eaCxU>svy3q+vq37@bX8v`k$_InanFNR4--F?6TN?N3;F(TqAu4}# zC#yp&N^7~cBSSIL-lRTRrh!x~RwC@fnC<x4&7+K|G6{y~%(S}tBgAgo)@PVY?$B-K z2s^l5pS(W{_W3I_&_TJBl%#_W6zet`A;bf<;XvxIn;517r2Zzvv?8-OV7S6qC6OUn zNhy(nY~CYOgQSY`IWBT8X@MIY_Xig*qg2p0)pE(G(gM`tNQ37C=o_B+R4<!8IQLfg zN<>FmHDhXW?xoP}5GOmg$xS69#$x$lfXuHVYF(oO;_%yM^?$ukeE=$XpQXF*GY@e> ziR!Su@@!PzDK{N*-=7g>+4wRbrFVir4AUh!4VtQO_$c&a#0?4^abs+|Il~WaiJg_A z5G^`PKwogP9zxYn`?aZ9x{HAM%iVdNbSIU1(k|X8Z5+;@ep9%GuR`w(9qC!h0F&Pi zH?#)yWx<OZyj(EFeKFaGBUC00_)#Vhs{}5?sAO*)GruC~u?8Ko5%rIMzwxR@y{G!Z zGDVRKqa&@HGldIyEA+mKi<n#C#@Q2NG0z?_EB%eg;-^!s)S+NCYlaX{)`q78kCMSu zSZoujfYzZs=*Xr1(XOlP8-r277ul)kYllXG`^!EGU-jZ55bNCB%fMT9ak7^`OnKT* z5m}sKg+|j(+F9}B%{Iitp!p@}@1+7$Nd^7Aw4$=4b;#J&KH8nVLz1xjzRNAiP$TG& zr6c8<Fom;tDfB{sY5UwbnW8M_`2%Kl$B3-oz%)e))(u`5g9T8tm5d760lmj28BD)G zgj7K**;1)FIlU6;PHOd}FU5_srVk)s9pP~iS}WY#XJRbIIRl?sx4Ef~d=$n+=v0sE zITXLzfcBW69lJ_Y2svnvIm2L@4iS<E?J++}&6WEJC`7(5>XCZ$rGN8L98Ti__mc)u zmbJox-i2dC$R1si%cv<&IT(yQ4oX>30y&k^gsoLUxZ^;F$j{P4XfU2b+XPposGt_P zWY~oBv#x4RVGVs^byqjU06P9}MEnyvQtnw(6HIS~?w4`0R4d$6^u7vXGQcz>FpUz% z@M4N#ixfrzI&JfS&hrU68_}qbMvWxq^S{wx50*6fi>WK=qfz}gu>&DsV#Hu#BO-K3 z$MplBM9;F^`&Huxz1O%g;@q7VOz573>JIBP7L<nS4(c?9f|miEMsx7e$7n}XX?HG4 zUGCK>nc^w*TXhP1b3|!^!K@lWoCG2Obhbl>sr1_>a4SY-Rp|iP(G*<o$86-zhNlSM zL{>kRxLh99(`c&1ZH2T}qm0+=>@Cf?HpIsfjj3`INu+DdPv3Mp$KeQVaTCjkv22A8 zFwvePk|pVqoKdDOgkB0={#8po)g>Z2nlO`O2sdNhYf8|`&k4p8W}UzbI{76%i#vrc z7fE*#f#5A@A2oeY4+2g<E}{_xT!R?PYU;qJg<pth8oDIcMN{1Jowbnvy@#qIjK{+& z;j9gUF`x3ZrCGBMyYZuqio3g#uR<p@4rk{a5zY}8vGWTNaxGaW+XN!SQ;cOhc7SYw z?pYM6i#Ax*L<l!U-Rs6Y=^<|z4`@N{su2~$E0?Uls0&uL#8-9d)9$XpG2;Ch9jVKh zDZ?082&y<)!2@n+ktj?4ys1lAL_^CrGJJAJY2&%6He?9|NQWY9b(r*~7&apzRB3fz zn?6Z*^&vgP-x^#=hC%7Lv^Mn>Z+vyoTT7(Bis(qSmPwEBrbr9zDS^&>puw{#%!STc z`S`8IGqd<owceM5rl3Rhan%NtUN+|hxgkXV+Tgf-Wz+oj<%YV!=DgICFHwGFko&f^ z*xKNbcm^}kut}(dM<s89j?I${mc<YZc{6Evy&PSY^eJLAk<~6y)I5KKiiT-io71~X zxNDe*KZ==1iwRfxT_HGTJBeX%LU3@sj{4vGpI=Hu1+`T(Ji%j*(|YW!khX!7omiBW zEV>@DAvD0uDahh=scaFqalb|S$rmLa-(Fb56+?|~RJ^{9)c$t{iw_W-W!r>m{itYr zoeewC3^l6pI)&cEV^FS%oyk-7^`T4rLc!xE-I7zk1(lSAGBscqS0*#j>_5Bwn@XiS znPG4a(9cyO3UQ{xlm!$_OCeO2;A{r{T%p<t@iB=qcJZPXZ5udyOiJ2p-u={rt{U<N zm2$_Yrt%FN_w_$MoGMC{)Iq2H&am+wLVR5pehGT#jsw-vV(ET-m`fp09etz0^hxzJ zJSlM?D_(T9aRWNQG%onedyE*gxy3W^*Ghlp-ASe#zFRX?q=WAFQTnSDjNujNt_w~X zVx3R;;FKwV2(i^Z)#6|y;n6+~mrWc{NES5?+JLSzk5jUGk7*6!^<x|OOKG!scdCGa zNQWA9&_|{i%wi$L%T~m^x=|q&b+0eMnZQ~1HN8N(ivqY@o+(a?-Ft*#kQ5@9Q~mNZ z`Z95QB&l_!#duSEDWJx4Iqmwc-7$CR=Bj<JgQ!TDz%Tf4jP7pkq=N7~_UgjFZf1}3 zroi%UsiN)b%FyT3xj_a4|F}3l@D+wQom0G06NOJMF4eci_2-Y0zuc8n{5o8&2<R|y zylrQl5y2pAoS0kh5WX!7_3b#<k-ok-oU90_c(Jg*e^L^gt6uJ@<Z#1;+o(@p?)hh4 z)8J13&i~!=&%x|5Iybl4<KY0mGFC^=j(ZQ5b~t8Gt}CzJ?G>aM9!({+WUHVr_!^vU z*ZKY~@aJr5GSx{_Zj+yBA<}IgVnIcHQJ)^ATdy|+KTk#jgSNN1Ccn^+8%v&fjTd>e z4WO5vA>!-w4}SktsPof*q&2Bt{*wZEZLQ%sbvC*6&d@hq9dhC7WqyX@5YylzdQ?l; z*6JOdC;W>iYFLu<VE1G%*0A<ix9z&IWi=5KN9!*Gj&Jp6i3bpm-+$6#4eKLHT0~H# zEG}_lm@Xfv7p3m!??SKjD-0Ze`P8(nEw<hGx6K-;-6z_`%X3s310E36z0+Clr+%bO zbe_LEZ$N*x*W_QWvuBz!fbO@={X~B>aF<_r1WW;0<K5~aP~s}M!+oC>e24g>glSX3 z>FMZIcadwbuGRL!Uv0DcvbN;!_yOmdI9LCzQxl>eSalS;%vaTt-f{YEPjsJ8X7>WC zpMU(Rm;bAp%-~wcX~-58#wOJ}>wrel{GCzWFHYCdbm0A`<5o6p_1E>~;fI(wg1;Gn zqNQ6fdR8%o$MQKjcR|Dhmgn&z-#@Y7BD5?o`b&OK9XGZQ&j_vzDjn1B<@$ed>a4kv z+u5eW)_32?!PFP?pF62(@CoI-xGHPmz5DIG;?VEDa@gViu&VjduiY~nCB?r7-Yaq{ zSWk-f9<MpEy)JPct0jDkdHAg?I9}fUp>xwCwe`~L>$As3_K!kF%7Vj%)Q^4M#<&&; zza(#DwRjYg^(8p`(Bo|kZ~nXYHd)2)4|xg*8CW#CGRq`A)v-Q5xS&(VI^5i;xLY_; zD$BdmE!VQweDpWz{K=Q#xN@|H!M`GpI;=2mu9MroNv6Cj;g=sM309NntP;i+(!nB@ z`5}=qRs7|z`a_gH)?v9i7BOQ<U`bv;?rG2U;B;r1wa9|7iR}-<DT%MQ!r`+sgkJ(R z8;IT#w0<Fkw*$-g%L0e<_>W_TZktk00(ZmJSC)GA9&hM8lG3xsn(Cyl1NZ*@Bv*|K z2SfC}w^?~+Mc|kB+qW#5vp#lq=nouBup&6z^&pSh%i#LnROi{=V>5|IQu}rUdX|1C zM;*I=E^`gM3+{4#JLaNpHf3*{+G0JE2OKf(i-0>do7!~(b)owXExS^MDLr*T?{|QM z$_W|jlUcvvUR^-N8|X{ze60g?vSoa&<BEgBs{+_Q__x;%)amp8Dg{nL%7Ce%gu^Kf z2o`m=9o6rgK2{%S(;Gah65C_keQaj-sL}Jt15Bcla3Ksy2tS;W=q<Ea$sM_>pYC+# zKR*8wss6CCj1XQw9jIILZyJvOrV#~<Q+_1H4yJ)wZpE>F6L9A}Am0AF<L*NIZ_gdt zTX{5evU~sjWY{@q|G4aU^B#Kd-oGF6dpP&Z%w4T59Spe*Jzb2QoXlM~yiCkY)zvWW zp=}=WTRyGhyvaK!x__@g{{B!Hv#RPtEA>9%dxoN&6hy~;XnrU646_aNRxvdD^ylmC zDP=0(Fu-4N&O}czhPY{ynyDXeNd@pH&oW06+o2y5Rhy)qxNA#EHO3mvYP^MXJvN^S zB_?@<v4Bp9QAWf*Fur~M;nO!B)|xA^Ew;CpoOMgLPU$l_n>Vj)H(xaDkC*=#YAvbX zuDf*#ApZ4b*-(9Hm1}rAt)lFD*YnR!)4TL}ohkk8)R+lTw^F}4shOv?2};5fPdQpI zW!#t59p7!14`0u>kJvt9*+dEJlpcMl7`eV?@J(+UnY%b=KVmzoq`g~6<UDa-VZB7L zB~duE*d!TeH{3kdK4?vu(|o6Ce?2^>u^l>-yR31**?PM$jbi<clCFI0p7CiTbn^vI zZqwhhmhwNGd-GSR=@n%}tn{ff=fBwv4W~Yki=&Gl>#AL&>8o6$b<B9^2t5rOn5jz5 zL6;hav*G<Z{WQald@Co@z4P{~<j$Al*LqvG^<NgYDd?8fXFLeMWOFc4iG|=?u(IjP zZ6m`K4Xh{(@JJ!dObpKwnZ;lGxjif2AQ_m(A4!QV)u1-(CBbFb2=)63272L!nUs*Q z<E%+Vm9AuQ@%QmbS8-!vsb7SJ&N{Vfb**)u9FKdK(NhA5L#X^}E64pOoZpKZ<JhXa zDA*QhRhosomep4iz9YKXb{>5?mh)l8t@z~rzMIXOTFHx9EZ?<Ta)Kx0SWYJtr{a^0 zOlx9nRfy&{Xf}y~Y|rcNVWe{Wv6PNk?=Vs+_E_plnway$w3KLq2l2)xiY}h@8_Zuc zLEz*>Duc~=xy&g)v&j8n>D-r;u_Z-GDtAZe8iZH^N5Tg$Za&%l2sbNqUm*j>Pa>JD z-`M8urW#)*CF~XaREy@<O^NmqCCjO=&+nN_DWp!oefj#H%f0uc8~qkxLnbd35tlCB z&wfZf_*}Uq6J-&mp13aeOBtP5ENtZoX}%m%vGCyn{YX^NNA37LHG9gEzSCD6Igu9k z1Cz{BqIE<mGcd?EUsub$f_rg!{Cv8J{Q&DBQ!33}Fp+@I3bLP&O&En(E&j<+Sg8u+ zh1Pds%)Fju4BXe_cIze9XP*1Un4*mD7<LG{9R2axQI32rc}I!-E;bZN04+4yamnU* z#U)CW!R8(iv=+gqy}Lx}%^)_WC`#7GVCeN!hjv+!jmnxxIt@x;5NUxF<&+-J((!_3 z^qZ{}{M7i`Ek7aNNx~^TV+a0fkz4d-gSXSBwy@;Kr+rjn&L5MUC{(#%W_wYZ5wzfL znoPW1_A`ad4Grikv7M9WlB@Y??6ZCTQ>vxCB57GkJu_?aNsQ9>f+owO^VcG-aB!Ix zfB6KBHDDuMH-952-1*g5La^xlo;L`uJNuJXznNCb@PbS>eRj5meBrPYes4g_*W(8F z<<|+0**v0zyV7*i-t%rL+rP0c7v<tw5~D?rLKQBY3-mD_`a3z%4y@h_z1JN_;P8FD zoT)h<o<!FvSt{K>_BPDkaO<6hAqSVGE=`&cc6eqUB=J0L_|$$hqV9D~mQY~)s=)6Q z)5qFd!otsWe3ER%VvL1uUv$9m71$=XDGj!Bz2isb3)yoW<JKc13Zh4}scvCC^>?Qa z&Q2LR5>haHjLpdl3q)>I7!H(vte0Cabc2Sr)|O-4m3)ZoF~1bYWBjwzY>FRY)?e_@ zYJPCNaqkM}W!<y9#Ej!|-4?C%>;9E~@u(5A$KHvcFdW}gH@og@kCp`+OdqBp+;2wz z-JGt+CClT5uLjBh(oCL6M#Ap}d)@l>CkFZFKwQ5$PL^Y|wntngSG58x?5jJ<s~@i4 zTwnN;{t0}>FBogf9lS0`N^GMy@fDq0U*Z9i4xVx>QwbLR%Vy;f{zR)bNg1fjL&5i- zi5-987Gw6CbdAj7n+GB{_n1aiFnjuAve$ZsU(D<!M|_t56mil+RH%J*9JBOFsNbk` z;^J7?_7%dmlv}r)YWg!8A)T7T_s>+)lsez;nS3~PZ&w6ILW(I>W<r~VR{l^7IWdv& z*CBvbS^4pX>}QdXD{Ggw24-{5{Smg*TE|a}W*sHr*WKK6>oWO_L!(T|F3>}59o<Pi zL&;{&tAvIOlI(x2_{xF}6K_w{`18KmD_j5_j>JC?fBhrS-$TE>uy(plxjf!{6x%gD z`mJ`fRL{z*C-?F`xfyEiDj0;*gz717gu2PHej3mZIOL%%%0AlY{8_lt%t)KSv1LO` zupPYK?NAUr;ZFZkP3%xkC732+-1#Hr!dIzrC%iHj*XBN9j@$r;JNtDleM5um!0R|A z2L55DU2ea$Yo`m-#*PD5>f!5QdjT(uCdEC&4I0{C3)AhpSI;T84Y$r^+1@y$4!3sv zwe)vYr}sC_9d8Z09Ukc}8(BZnHuk*nk6NBik`nXhsy>~9E1$?~M)d`W?S!$kg)606 z$V&~+rj^K;*UStIx!6sN)S3vg+WBcmVY*v;uP*)2x$s;%PiZ1*J*)AZU#)()P|$S~ zyg+f=?n3v&{x<c|UA<p>;EzxFmJXZS9HQe39+{TE%^iZT{b=r_v7)qe=9h*Tha1qF z7K%b=9?z&d+U-pO_HY_)Jw>W+ZdwPvYx?!E(ChXS^wlq%qQj!MsXCfM*G>T%n};=r z1O^=?b+M*P%GNH|F1io*`?InG5#IB<4f^WYY3hgN4Qb|02fAg`<2Adn%RKX5xxue_ z{_bPBm*<c1&<-@rh3?fJj(-_3g*<X!^44u?BUn8h-ku+>jExmucG6Yf7CM+qyJ*<d z(+D`+iwWKQGnn>gZPq2b=nsdp9kOip57VPxgyMgWyX|-X9*FjwB-#9=v-^Iv*ExRL z^xJqUK&VKpWZDdImOflLhSkLPJJho71ASBd($<Xi-R6tG?&$8?F}W%8Isq!5l|&be z(hEH<1Y9qta;GdW|MGj$e%EifF*f$v*4<j#?R5DIv(cQJfr?pUzv(4xc;e^3I;E~( zr|&H)j#Hq{=6Eep@k5iN!7hrBx8irj@AGZL#sjv1)$E3BUG1CH@@74M6}bUayrcfR zxrT#@z}%zH0x9pPZoR|wwYJ^Se^1+6+lWYF-9Dz;s=Jk_c-FJrJ(G4+dq@}%ux&q= z+0uTHq(IG_Uoh+xKy};xg{R^sIj4oM&OLWhPqS=PxHA#=`pbBJa@W}G{$Iq;j2IXn zOHQ0=Bz<s|0Snuk+CKQ`srneAjB?m6MgegN6SNwh(uw#C{T5wy`kQ^W2gNF1``f08 zE;K!EOoJP=C>3&zvDnjfGU0Lyi~PKATIu*?ICamju3egi&D1jfRM(89G_?LTsX(IF zPdaFIb!dhOJIm5nCk^w`Pfy;Q=$d`DWdZ#nNpdmm7e!@X5#0~w>$6O#GhnIq+tG<A zPqkw7myN98G+i6kGhx&CxV9&+EgRe(tM8LeKPA1&@kU?wdF&L?N3mgFM|+;Qj*eEe zlZHh**jv0y66xBGE(xLw%S-zl-FkQRT+KWC+)cXxxVysRjEq%{mZ7nop)WgMKFa-! z#Tn~f?}7P}=1RdQp!;%Y4aNPIf2oLbPX@xRN0vT5y+@9E^Cr-Tx+eD_)eG@^LigT= zvJuNnb)`^#D!PL7W#;@~n_zjdo;hc|o>$6ak>qb>^Kpah^9KRhpAI<b(TP3U(Fb;h z5ii$xUya}TV)A-EkNCKg-N~s*fE`przhXNXIsPZiqT}(Hf?vO2=>>sw)yB&ke4)2Q zrk{q!3Wvr@oU_e+TlS)ZLk^R*ik)E#HhLm~D+UoJe*FS36s+T}jS)j%DXt1L55o_$ zo-+IuFjRdkWN&YGz!WLbj7_}klfVbQd#q+x@|QdNr4-}8{#yM);FTK*3(vFBq7uGb zB2i;8rm*auH-$WpEk@?6dB=#UaZ)_j%3Vif3TfVqtHrg9J%5?;TJFU=vnyn=bw*Qb zS9%;KJl*5Uo@Y~ME_suKHjF6fx&AdGD@g5mj_&E;Hw@lTqupd5dot`u?)gw$&o`x; zM{e)~df{eF)1k|BrNZxpI}`P3D0~SD6nb-KdTRC=Z*FIs#B{7f=sk%ihGXOSK}I{f zGiF#7Wo<VJViCXKQEtgDS>0~5rWJ1a#W;rzV88Cb43r!*o_vhs!ZGR3sB&V<C*@?` z$k7$DhYbGg^{qmS-PUMKp0r5#Nx`SJ5--jtrd(~E^s+U3I=_C1u5R+-n{gtyf=bAa zH#Ya_;k@<AEml|6lo$(BB9nH1!=#GB3TD^T9}ntCx{n)}3)l9)nG&a2!8fsDr}a;E zc@A&IvK$me5q1jv-P(<4;SV&=t@j>Fx<7a>XY%Rm>+7dTiPUn|1RX01UbD~pbe;zy z_3CF6gOPz_t=~@it_0*KKV>arwTBc^e4kk_cV3pQ!(BH+da=Tz|3-}YCCH@QNw-(P z_TVJHpPnlI_+zWbSQ3rot<bbB`O$)ZRC&on^7#$x5)N_3Ol~K|cWbPs<?f-~tH1V! zDcHofSC6R|I?gVI4zB%tQ~Xn#l!j+6)y;nmQ0&Qm%GmNo#$?^HoeEG*ufEug8xB|= zZdl%27RU*<NVC+Q+Vs|JYE3kub|(%);-6F7>n#zgveyPjM|^^Q<k6C^L6q^rEPFGQ zMGY(COP%uRJl`dxLQl-rlU{SVMMGHFEgV#rJ*Pa2vdz8pr)Exgj)Zp`zH6l1&8OP! z4x4LVkQ{B;uUlT@4e+L!d)=gWlwB_^^?g!iT9P#s0-4#eE&|J*aU=V06j9vGUCWmd z!}hb?aTv4~p7_n1l}G%Zvq<yuYR?xDo08I@*0QGA&s{Nm(M*`8DFSOT7d;p_z6DQ9 zq#4=F8Afq}Lx+hYl?%3J0`>hl+{@oy1acH$J$(NVJz?t=&r#c^tP&qy8Culo+YPU; zAI6MCBa@RGKX@YQ$tFd5i4O_&(L*{rM;vK}-B@KNiH+|KIO}P?KYWeV>rCspXOm5= zAAh(Z7`r!-(kR{?qe5o?vFXh_dAEl!0+;prmgn<$_97FaAx@8?jv}fo*eI2B=ZSax z^hzq1o2{=y@yYoZ6y7`##F4;woRa_GB|f2C{L!nDS-+Z?Lkb=<BX)EXVI{8Qbf029 zZvM{?HwW;jU}~7YO;Xgfzx+~oIcB2O8B9_(8cE)fNl3*#@rviB;^}|DycLQ+<^P0{ zJXj@Lg+<Nl!5fjkFA+CE8N9QUEfdc;l=@A~_roychPC_07Rgk8-BSssmAc<Ys`v?! zVYM2BsX%-3nlI|n*3N5!W@ZnBoEK9@A7Kqv9I5telMfLg#0+ocVx6RjQ_*_gzeW)z zh0}_e-TV1ruUUnNL1raLDY6)AK8%8PaR}dmtoj6rkz++`FJ~rDLN#rr5{!}albI=< zSeaskCEL16VK%(GyUYoi?a4@{6S!AD!ntrxnd&G~M!54y^$B^1%KIRv52d6bB4+82 zU#w4(8)1hDRai;;r83VVt<#vo#|3G-C_c7xZIes*^%p)fdCR>*8T2Pw=HX|xXI$F^ z;twlC<KYFpguRv{Yxi*3pFhmymPccKh_TgbogVlnT8WY?y>kj<jn_c?F@9H;X6Z}6 zerAl951CjW<~l>~CpUFvrA33^v19wKU{%vS%&i)_&n>b|PIE62|83jLDN3DtHsmVz zcHbP3lb=u(!Es(5klKttejJuv#pd>YlEYC;WV?*}rC-iT+ylf*6@_#wY%uT}t9v2~ zLPv4XHQv+*3qloak%I31<qyep7euz*#fWn)TO}3u<H<U*&!+jnl>(^=!<K5FpU}}r z!n^(=W;5=ow6l+Jxn6p&{tBT_?OD$)ih$f__FD_uw<X`h$dnDo@Pv^qPgfr1TK@Iv zv36AX>$3E{F61!7DH!Sy3{^`vu)|g^M(IiJ4tuMr5>)L*8(O~(G#txpMm@|u@eK3q zxc)%3#;cLCT3fhW)XZ-dKCZ<d=9#}F7Ww4GI=!)ASsqN^UYO6wtEzn^lp_R6RC0xA ztkhhCcxg?s9{53|VZSc>dm_g@zaMr3PFFX%D6!4FRu^fugB^AGgIOPvK`CD~L=c&R zGPVTIqHdPNeYJN?S0Qmy*_Emu_14|>Wl#~``%IJHfiR;;zC0JWTz(pu%zPh523wLh zn(99KID=h{bm9C0H$$yirRLSU4Z7|e$@rL3e*1NA>}TkYCGK?-i7Mlcov=(ElfHqv z$=st4G4uKHF+GU4WAJ7?eVAY5&M^<QNGA4JmrryykZ>b68G6_2vJ&BePv}K+MEbgr zJ9oC<bszI_`o|X&tv9u2gCU1GmG|RY&1*1A|0a~SDp7keG&0i|XHt26d{el>TBtzf z#SpKcp8K`m#7WMcXK{Ncq>E+ph}4wxSc+HS^MgUM1rx*%UW$=20!;M5U%w(&iow<h zv*)70NZe^qf{bwGT5CwrXa^P#=ubY<igi|7iBP1%nS?8y%6=k^Nqj(-)p<@@RPlwE zH;~n5G3)M2DO>4NiKp{V2yr?|7Z_(botDG%Je}6nCdM5|gZy_3VUdrqRabBCSp~5X z*-gH%uR_ZS$IyQ4-FIK<`(pcp!R7Y3_pCaB8%`LB_IyqcEWJ|$ljcqEy*{3Y=uU?v zx_7*1t)63lDStg*SU2YUY$f6k+nEk0l<PjuKG|o-Ozd8Vud@V-fg82MyD*C=6Vm43 z2M@sGn-Miwf_e8VtlRnUx%#rY>G81DABU^F{QRJc>RD$T*>XDidwQq?HF|5|<u}@u zg-?i~_wh(vvmfI4D-l-yOnr|r9(UeTFvR5#WrY`Ld?aJ#i{vtol(-*c^jSx2HR5Fo zX+@Lr$Jr289d9*XY3|jCenxpO24>L-+d>uDUdwQmCnq^h(IJJ1OhzkF3oZ0dCw@a= zUu_-KAI7jsO}Ym2<xpm7FTd9if6;IgYGxy>`f=9uJmSiNym2t;>Cm${9Lw+_v_$L1 zKDEz3p5KsuC?R8^QFX%<38Zffl9+6|C^@xL+0>4xr{{Fl*sQZOp1)*L!7p1oKb}=g zCg7JEFN3z!{P0$pb5mD-F7h}dr|^xuCV%A4XY`%LO<tkmhmsZ+W)=e2_kt<!-9vNH z%fYgdcO-lNfYU8nLBU8(!O8D6WvHYC&udD|ko!iDDIa17KM4B9u7JKOO5GjUBH@3e zH2Z`#H2G^XS)!zkb99l?&{X=L(i|+Z<rlityhNq+rhl<N#1ZgZ^a}W|9Nfhfd?Uco z74kM`t?J`C_lQZ67w9@td<4Ob*2qhGX2080<f4!LtAIx2GM}U78`oo(;3P&gXzXi& z_vRd3e=2ub``)>r*?%I>!B@24YJ)9C>KeE?$E0xd-o}dMp;_G?@XKoHtEPSUC4R50 zeWKC*ZcIof->PnA+Vez~;=Ix0uH(<X1(R}1KzlYZ%9P;}S3`f}Ac|5}Z7n-5GUf2n zdnmt9l>Fzf$X}i>9+8LFc#0cpKMQT7zzom%v}7l#gj`&5E$>!l)n<R}hTTxgA1yu- z?2oUha0EM1kgDn|zt8>Q&3&EX7IX`8eOz7P*0GD4vLMK}MC>O8$Wqx)_k25Fxr_Y` zm&UgC*zDANpY(G`2(z2c)$z~`UuuVwPkAl>AnUkMdCb3Jji3o9&n@dUhHWQiyYS_+ z-}npIUT-n`UT*#t(ZEyww(iR=Np3Pv`I^ey+Rj6jXK5H?39v1mP%ImwB1$%*!^|<8 zc-_67am<LnvXanwX?!8#d$1}DL1!#E4}Nstm2yptuEL_2&VV)^owYBFg|}ZqYUh#Q zBLh9k%0{Q`VV|+T`1g5NL>_J)=#8^E`)1L^cPkmfI_RIid12)^@T7iy^xH=jmM8oa zkT9mI4O@5OB86;x!U43t^(%2t+uScCBJyugd@12CJhW-j?{9Bj=|$PT5$jpKbYjbE z(>6Nfaet7|8&YIm8N+3Rn#Ii|D3U1T#K~8FUR_c6F#7p%`i(Gsy0OZ^#9q=$7{T5J zV}!os@MEnE_sO65q}Ghy15sGx!qSzZA!_J*gs~fQ?%jp4$4{<g-b4H_vM`bNrB&59 zw66s9(o@Y)E8>bi4>Fz}zy;5!1kv0h8Vv7YYGJK12#N1tFll1Ft7_P{M5sm9{wc<i z$S6k*pavZ>S9T3DC9Ic4oT->{16?DL&&X>RS?tiwJF;AB^u*`C-%G^xIIe2CdMbv5 z^6Ul>vD&No*Yinl%{{=SsP}%1BW0mwfK$lB+Y?N`Al#~8R9lJL-TZ6r`5aTk%2GpM zwboilRrFW$Q@{5XUM`Pb%1IxkdLr9@YCfQ2N{wpCY+HP;mZlku7Pa1a_|lsHr&ghZ z9KNrH<x1oDm;rA5$Lqo5#C1+9+96`62h$_0^ukID0*+nk^m+~3yI;ApKLq_^?G2gr zF8q5&V6++^5SASL3YXR;OLbnZRPWN(7t)naX-CfR|Il?#L81jgnr_>+ZQHhO+qP}r zwr$(CZFk?cjlH`QGZVWp`*0r4i4#$k5&4jr_1E|R5#W8=`E?*<lRh|wqw9b$g}-}S zsgvRX0%b|uKA7M>!(tT~zaRV5UyP=}eiEBOk|X(Rxz@^M&`s0^Qe055S0%RFup6Wa zD7rs!Y}E$ww2H)dCRlX$oJ|R$cTCZ-#MFN_XWp$I^#Y?!Gf!HZ#=bN};ne1fjH&D7 zq(5Jr=v+cpRm~V5Owr(u2TP1Qd5T6L){Qj)o-OJa1Gg28R4giXdscIG#gSZDn}kZh zk5J^Y%`XOJX9Fknb*<hRS087E9of%FzzeK8J-z^wZU<5Y4iUSg<2Ilwc3jrIhK(2; zmta@GE28`9Cn$J|we>^m;9`1j3c?dt9LaDD(DBtv3W9N=-+1ErmuV$v76#R}%Oxy- zQic_Va=dr^!Vc!(mh^=I45fY{lMJjP){@C6_D5{oO>d+=5<^*TIp;!!AnX^T#>`=G z4E*>A364~R3sTaO9W6I@CINwa8&00ZA3Bs<-Y}K4CTDdEBugK|aLtYw(p8C=P`D-G zE_t$90#azYe~hdb{Sp-#?LN&fwH!vBK`1c#QdKYVk!%-~11-3DxY|&mbleE$1`g(? zxQ5UOsT*L#1E42k6lgAkyjOVi!&W`!i!zK2!-}mNVpvPmpOE;&D6x^y%kjS7<+ODL z1BsKsy{=r%F`!ZQS;56JYEUmr7CC8Btc-!S*0mzxwu!(dt2xK9MZoROsPA`RUI#@h zM&o9_egabBpL4a1w&bnN&Z>|Ui$jxqmmubtYf(bMT|qgn!R#2f>VecdBQ0-W3hy{W z%-IydMz_12mCG345zzD}Q0HT8Nw-Zg0nf#QIZTJ^vrDct#tKw>nxtG{g9ULf1d}uV zx$DA+1V&=n1f<h4YIjMSNA#!>hsVK}e@@PiX?0TVen_8`KNH0S%HFu;AXKHO<_jX$ z6E-BPsm#gH?<AFaGUhu^$sN!j4cSFM;nJj+sng`GgRz7(Xxf0vuV}%YGeytT=A;w$ zDwd^aYJ8GdIba@1KIR;Nd2!H&Amr*}CBHYpgF;HH+(!9^trIoj9~AIIWthxy1N2A` zpn*(0EX1N)w-5-}!~=Pm506vVEaQ3TuHLzcvd~YjWk&=Tc}EbsXQ`XR;O(P%ST<+0 zpnGc|&U7IYAMKgrlH_>{m$06&Ug#{IoWC&COuZk-HQZXPQFGisE99d>j*r%G5{L22 zR{L3t>xr;;6weIJYxXzytfemh_$1lnQ5TWRF0cVVyQ3Sc7>F%w+eU9gwK?@=u8eJ& zgn+eWEM+KEW_u<FxegL8o$)&NIOs4Hi6o~*qL3gWq7Kw5ArnI|mB-lJsuL)BkIq1e zh^k^Pc+Y0Yuq(7%qA-)lz$FFGfgb?WrNNij1(3zQG^`>4IkHfJUSE~jI`H23a<(~O zB#HaV6u}Ub2qh?<rvj=1>m93-iO}=#cPZQwF2uv&ne_t{fPci`r_5{NjnhqtlSr|D zbn&Jo4Db{|5M-$e(DCOrynQ@)j!CsbBHvI*(ZC#YTZshGZ=M2m;763rBXiFYC}b}l zy|*7BH%kE(ngiKr2c>OdZDuSa|6VQ1VuDvS%^B*X@#2q5<;(JwViVW1dx|9Hz<z-y zvp%^-WPv1&@{rEt5GXFeqXW>1rJ(V)ao`QGg{-yO`PgdY?#z|S`KST`pp(g9TqV1- zQ#o!}d|(X@Mo4C3r_~a<u<_IlLgt@$#iMb&Y@zV%p`f8^`Rk?(0eS6raRq{F(X-9| zy7Km=-IcVTxcCfnfXFhyr`9Ow;0qr5rqDKN{=?ONhIdQoH?w^~0%Pwdh0O7jh3N>o zc8AatGQFqgYMQ6U9fq#sryGkO^EYJQA*~kFtpJ63%B^DG?zyzAJZJwFV30_qZX+Rc zU?E`PkZ$OZSt$1KkSd}V^l#8dTT+Gj`rXa~jc+l?h#kHV*b{^!dLsF-QA542FB5sW z&IvZk{6PV!8aFdpRyPsN(c0tMZY$QLB13e~AJYk1KOibloFX9hHqFV?n;!FAI_Isp zk>}q6`3{MNiYsrNL&4E&9^Q?_z6Y73cLa!es2%(g{H_24N|Fuq42BS+PKRXjg5{`= zrb;o5V>M=+t<0X9OLI~q_*JB6;E@Wk;GogZzsl{+XG`@_Rxohj!w|D%K&wn3B*x&P zx96US9n60~gJS>ijslu_rwJReFrQ;~pt+ix8bn?rXXb2?qV5uBuJ_`b??ak&p5nm) z)VLgo+5X6*N-1S8<ZJVP{5O?}=u)#Po0*XnX8w2dV~}qY3LVN{+6)$KO{|6&#GbDE zz=S449*SjFpEM|lFA^EtlxjPA@Zf@p<pVe!FmW|3g;=_+YSNNX_;pi@Ftpq=R0<@9 ztp7v;Hjp9~_h~8xhl#3#q$lhc{B_M=Uo=Q&3i*04yxWwDmeft(rT%yJ7+E(ymH<zE zGGtNg@8vd8$yhmEVh5TeH})yGmJO8l&YQtn0Q@y>Dc)Gk28B4B=`n}h!c#1QjX-h4 zNKl1`5ijKgQuSjjy=7cw1_+{J(Vd76p1j7e5uxjbc?G&8@0ob?J|J8cY{L|kDzH>~ zkQ9c|Ky<Kq&Bj};6YrUoNt03mdh$vPf#;OSh(-H$YXJp6NWvm(#&-a;MB}3(I(hxu zTsW9f@Ns0mwOKY(Sls~xB**~RaBTlZXCNHtEYkbYOuwb!HAuDR0S-tyoXX^(!|_ic zq$*Q@1|tEh*#jaK6^)=J8DLngP1i%bq8^kjp3Hu3su*UuB}h^xr!nzEL2RbUD$zPj zkRZ+z^U{3U!?W1EIlzOjqEo<uz>)u67PAhhMF3Z}0(_G5029<^Iku_nrK(RQUX7YR zHE>}+Fu~NFiw`wC()v>13iyi&NmVfX@kYT2us>IGBY^5FKt{G?#GtP5VU1mP0)TO+ zs989XAY-ng_@(N;1`Q3cN-RFlg4N!Yt+Z0YNUo*}{x5g^F@{@)wsa06CHDBEyfn4d zNBp%3%N4qYWkTQXn+o=Z<QxW~A7*Uy85L@qU2@=~6ZOtG5ZXUeVM38R8<ntAfPf6s z<bj*v?r8c3sq-M~aKXpKw7V!-4IUJLI6UeIg<rzMt%7eAfu&182Fac*c^d%xyZwBF zFKC!^YpTz80b2xnmS;_*R|SK&vC&C<_x99N0o0_H8gD7TFH_Yh2SCy$WD&z}Cz~}X z5|a&y2i==QW+P@{$m9hn4LifDu?Io*g?$x?f>Wa1c?;egOHG!1n3j?pt2de-b`{%) zxQz6X?)H98T^MjM`v4(5n$i>0(Ba^as56k!Bhl^JUcB@YI&W<7yCV6HOJ|d)FIh4J zVbcJAAdps~H<v$8+G>xX4OuYAhF_`4!vul4uK<}WT6&D2XkEj81X|MW;mR&-mnP{K zuZ@tj#a$MVceb_wBdHQ?bfYiFO1XjyjiAm>!5G+MqZ9-kXLmQoi1Qa-+g+*@Rcq&h zCh<v5+03hG+-<K(+|uLmr(pmtX9&@^kQa7sP)=E;n@T`4rbc{>OFeW%Cecsbi<+nv zeaV0A%j5YPsvM?D0zl+;M8{ItbwW61X^+0Z`Nz?PpBwF_E03e~n883;^@|hwy0TaQ zbU8l;ZtGst3D9(-4=Eo%qC22&ir!(o?+1Q`q7gY1^6@7Wv8-+PSU5)Z?XM0^cYc!| zxA^u@-MVuASw0a$WUIV@@NKWaGJm#F1Z7mW^>33L+P3TN4FevpM=Q(N!)QtQy&gnr zkepPJB%OCL*&-#kW<=LR)zMt($f={++lXSKmS^l^Ry9|vz1W_tn6xQ9-1f6mR+WQ~ zOC&$a6<oBsl>R8sOzS<#4X?7jR56U!qE$@k+I;Ax@?EGRg|e+3?8Fpq{uI4}yWLH+ zg3{_P4JkO?d|zO^)+{vbVB+vuR;)m`r$Ty#&aGu)$K&OB^4Se2o|~72_|%O}EBWI& zUn0<}F6~c!NXN<xQilZS#bwS@xLADWl0~sNBO*;V&0@FoJ@K#^1+-LdIUt-)P9`xF zZPGI4H+W=H$-1=B;}VJTl~o3t0-d`GBxSn(&!$>xy5DRhP$Om@WpT9Q<0Fg)NqI6g z($=S7-{eU?Usj9*i;#*s?ruC}AANqogv5wyo<Cm^7{nxkm!7BEL-_ZqP(CL!kK{yZ zF}lQPms{>h3gw<Rzc~HshRO-6714ZY{q?I>F7<REpB&FtvQNCBKgkeZK&?&j`%R+x zOsc}>JFbXzwTTe%g=}|2Eo~;@kD{4Jj)WT{Jcd0vi-kW8Izy|wX$WO#G!>}GZ$iSZ zr8;b8pM_Ccg@uD}3iNKwW?kwA3{ZmY0=EDB2)N+;a2;xKU*CGsBI-2Bq9)}b@-^6% z3bDClbNY8<sU00hPXi#JqtcT2`o%tNmaz6}r)4>EO6(fsnoLJ)p+$=#{QdX1l)#td z6G-{~@dDiDtodoADWImT&qe;jFcf*B0o4p1BTyi8X~$m-0L-@uE&gg5%>wt~oM)h! z%<hKz<dYo=-rAx5b@Z$6HX!Ea#{?jvauJZiJhH+p+j)$RWd3SBo~Bd>#+rtDbWsQz z&q`>a1!30x!|MRqP!Bd#j7nhEIZ?|oObKMfT)1j0p}A<YSqWGGkEypuSwk?w17W91 zq`nHaC1z0|RaX;NL$kF9rCB|xFiP3_;eY(QqLv{qgDK3oz;f7PxzKb6(f$j)<&RgH z*?+U@l$~o1F2Gv^-j1c>T!et9;V-~D=)uSa;XXF?@ewkMKJE^IDFGhz`spy>6+Eub z&;FaT4LzwHz7T1M^~CA@*zn%cNhlH5`)g2dj%X$T+v0s(QPhi~bm9~eXP4PU%4Lyb zv?3UA?sS|ELs0o*NM*3{QQ=%1F6u7P=xMwLOPJMnU4*TZAPj&pak2R{oW)pdT3jgg zh_#t`M&5mN-weUjP8+csBlv&?2fnjaHlq+Z3(p&u*+8&ql;y<{!x(2?x79^d@`DG% zz~QgQjx^I``denZO45yE>8?nJq<j_P>@)ZKe}_$I=V2t^%pVG#jX_*+-Zck(cr9o= z_-ZshWqa#yPA6EwwZ`bq-}J(gE-i+{gpzblw9Yk<2TX>W*(;0^%d;+0@v-xny&H%O zsWwV%-u;Kb8ei<hJdZ@VGw)5i0vTTov%d>!eZj6rE!@TPkh}cY6cHWVpnL9OW2g}E z7huqx_AdGX_vv=cN?l^%)ELodr0euJwdcCYmq0>A9|K9dj2*QGER~q_l)N+J5n?d` zo_3WU5%BBoGqcbT(dS@lUVB%az=;u~%rwKRfUx)%&q%L|RnJewm5mcTnQgDm&xF@I zRP)O2*C;1?H&TnVGBG(`CCe$G#l_#7*pK~Qf^5_u>WtqT^oC+ymCNTfz?buFov6+2 z10Uy?lA|^&`g#}cvH=})auO+AN1-uT$-K%z#QnkM*m%-cq8U9h7Y=dgUn33#(QRSz z^aJg=Nn4&pLE}A@^R|M)(xb0jl70pDahceERaWn;@R4J@<w!dmjpzIUV1$3w4J1IX zIa*V`#uT|-Ep=YgzbW;*#=qmWbkx$G=XP|^&LX*l_N1{l9i1f+8GnRqkow)?uTn3y z7(Ad^b7txj$q*hXuYZ33++JpSPAvcYr*;$K|7bVSaF=X{|LHh+0RKPQO-nmtr~lG! z+R6#q4GO??zoPc4Yi?k#1E>?J(7_fy;b;yNtFdESY6@IjW~G#(UnJUEvM|30a>Lr{ zIvsi~jd@{bQsjgT`yG95sS;%jYH>)bynKvEe%5O_+VDM534ju`7Yx81A-><1@{e+@ zUspZ&`mU?h353yT6q|~rY%m`Ad&8+Ns{wzq&&`l?9HouWl!2Zm5ytw<1pV2?za?Vf zNaZ0O#F>rM_S`3rk=F`QlJmK@WH*u6))!ioGceqa4>WrX4*vOz{h#swhj!J5cpg3g z`k!|7--Gf$X;+<04F4<q|1DiL*raRG2H13$!4SVo;sBrKW?<5HqbQ-$#F2{|I%6Q| z^EqDWM6Bpf?ZGs`hGNek3UaX3kM4ut?tv5(|6Taqfi0klkc*NikdPE;S?D85Ky(0f z1~do!6+GhWA}R-O_LVTkmG|*9IAPx|JJs_vB!Ts9J!3-ovVkvT1>%koK$jvrd4}F< z-Me-~dX%qz<l?T|C3T#8xj|hZC()W{7Zx`b)a2W;rYq;D<Z0Go0kOLcG!igX=xMf! z8g@Dm;LW`jR&Z2NL~QSgjyeiMf~gE4nn<Ub{(G_<*ch-C&p;jT>Xb!Og(4FvJadS# z=odE1#ZZkzsVYsXG-*QQ=@p(fd;+<Id5isM(^d%XCXhQf)U>*jmCA7hN3#iJw-*>? zT>V&CkCfc*eQ>&4Rs#Cee{uh?oumHJY+;-pd<Jy!N7S<zrb>D2wL%*2_D{$zb=~8Z zq1O{#g?OD3g2kuwJ88{@nGcq?=8!m^5CUBFQx$oAb=r7AxO^|rH`Vt==!VS@wc7d) zp4vOYiF>I&sZf@#45?Jip%0Xu{!+8H+JM#|L!Z%vI;Ku<Ll(F4EAX$Y#!Kvk3tys4 zb?0-%rkyNcj(q<Pp}i0{O8em9eZNx#{~b3Wl)3I&8>@OJU9_?8Uy%s(rTx3iZa3Ya zdV_+qrA3{FF4EGD!zi#|r}b7Ky)4CCngp?tskyl5mz#wa&wqmG9>(pVt_F{NQ3}~e z;CY9=RLRz!?&BT9_rZp7<qyjz7HLpF;mcFdr+Jo>DVeTrw!IED80*VqkFK2$Q)aN) zB8Tp+-H$y7+FXtBGoPVM+YWnrMu;%Kylc~Pjv%6I&iO?0RYpnN+;j0ySe8-l0t~zS zytx;~+Bs^FMh_l^MDtH7JL<I7$6Mf$S?h>b(zypw!TA^B(-Tf7UjagdYNIpSyz5(N z&=&O!35N2?!F{EP{uDEc@ur>#RFvhx&?L;n(`n`gXk6dcwL8s)#1|$yrW%Y7&(^$x z!}4#Lv8~m{uxKM(3{>A%a!Y6nV)>O*O5F^B_)C%_r@%%F`$9tbFj#817W;U2<l6-6 ze7tLA6&%fCtl$Ar!F{y6fCMGubyL^F>#sp6-HUPM5l=ZYRV+{BOw+AC4J-9ox3FV* ziweg!a);LTqlc0$>RD^9_WANh-@*i#Ws1GFzJ#($(gzoSlYax%EbL7iyWT-~I?=JH zE<ZDhAh8V+#;Drm>|rTzYR8V6>Rp5}yO~xJ&xg*I$W*(_9{be4GRpRTnsFnka*u-c zkxdd|pnAYUoTscCX{~m)yE*&ps5<P=X*Ihc8|tMqiTVP<|L|UXK<;ilUB4tQPriF& zhkrd_6!3P{#)}jHz&a~_S^v0Gn@c|c%QH1mX3S=lo%Ud>(Jq}LZu|A#-Q=VYHJEUj zb33J&_kXTZ-`{L;hVAH1CX`(qs|vv^mHWM$p3M9$OK+w+dt7e0aKbK?puzA%^*~Hl zw$kT8dz0mNwUj^1Iapr5hq+aEeZT=#XPX?OJItu*+F1+n%%N2ziFAkQBIP8*_5qm` z?*1gboUo^FzlH8TOV(Gj9jO=63rPlqxC5oC!<0?R3(W1cJzGH$7SM=9?1qxI0I{=3 zQ*G#8L4ogvs(#>VI$q@+KHM=YVU$c<Gjf2`6P$21gKf?PgWv-^!w(VJJwEPAI*a)C zf$BDZFG`}ykRh2NI{yJqqB#>H`%LV|<XB#=SNI(iDi`Psf8IXr0rtE|K`~l_`8_2g z_)o$EjDjB^D(4#n*!fL+9>D`ynH{MID6_OvG~fU^LB~JT&UBowwdy1@LffjL`qD(R zhLI*Xwd?wG&6wKt*DQ+%M01*t1pI8sUoJ99-3zX@D)_!qTy5Q|uKMVUPP!9TyzKL# zR{dmf8c$mAwn=~}fqV#;4qWxRrj_ejVNYA|HZIrN8N262EmvLkhfP?!y~gH^ZLKrq zHCK|lgYnkkvV=7o2eN<u5btYN4*3%$7ix?kdPM`S8@(>G0z!Rt$Uvcd#0d}}ULq9u zrhq>nE2&t2c*_2i(S@Gfbo)?x!r2=B1qqTW7jlup3QfVpLWImDkpu^VL<j*g<hZKo z21LqVV1OH9fD2{-a~h@qP9-E_P8^pYQBFDqNHI|Q2MsEigC%K!7_tcDdy0qIhn8#0 zTIE4IgVFhXu<PQ$A)ADH#dQwT6+(BgYOm*$#9mqU%ucaFZxQi0JvI|)WbPIXp{4H7 zjn75KVgosZHGkizoOLe(Ml1lqxc7*dA&Ml!-}NCyX+vTnCXL~isc;&9w2@gQIh9U) z7^XWsAV5Wv4wr22<@9k|lV|NW$CxMt_Hi+ly)eNfBcYt&B}_Ge#nn~U&ixzG=J*a% z=2rxs#55QM(_N1@nWy3uGUlX>P8yE~_mZr<G^c6c@$`9I$NRuTSOVdVW}WEA19-;l ztt7yP-CBCmjJ=eyOi6PiIA&E6v<9d!q)elOrj=y)#Mt8yxG4Z=s0xreit?5$my#im zK*n|7R)CgDxP*jn^tvW6BY%8EzOnV*t|m1A9XWsrR^HEbI}>u6eralLFwepj2(usk z5O1Y$I!Bnb%D1Kt^nrtw?$6`{ET>)QoOw1_oBTsb07R9Z?RkT+Pz^m0sM+=?0fg~` z)z%<#76(H+c8jE;NS{e5ahl&|VO)Y#!#TC@enA(;_G;63*{AY1Z8L^-qSeo$FyvPb zLXfr`Us$^5#Nj$~l1R&IMEtFc=;#f+=%HXo6i=uJnJPZkA{md*JZ{Ep8J=L!JF3w& zR*MMGBDSZrnU|gLt^nB{hjmpMpPUg5LO1=Y4c};*fLD>B)z!*0=b3n3z6fAQQS#z^ zU0=F!8L<=_A07t?<6JJyb$xsJbGIT6deZ*S8L2C|zFhyxfHNTn0jQQGYAt}IMF<_} zsVtKncl$Q5B)$ygF<U!=BA0C0ut0%2N9`oov4Qik0cQvHWWraUivx+<23$#(QJgtP zdA~&+s-^LnVS=<eL~xbXIuAtoHa2M@uNF5su|_mIiv;RrHQo#(T@NhhC@o?Xrl#m- zF9fl$xPY~hT0!H_Nv51#67z2%BaoHtLp=T?mVgZ{%8cf8Sm1e8xo<R#FF>(r$lxA( zFMhmYhb_jpxS)T>+sIfK#jc9J+a@&x)lZ!Vp^VE@H)z%Z_y(uPd|R<hDYo{An0->} zLy7=Nf^qqMyrQPa?}dshdp41x`WcY%XN0UwlKA6J6JGL*oKpe^9TMmL+TmZUc)yzo z!|8;Us`~J4(q`n++o^8jO9J1?evTuWYLYPlc6PP17DfYJ6D5gz2}n%j2!GJ3%-XZd zIl}^~Q^nJAuK;ACLsmAZy0owGZQfLPNDt)q)ciSXbq0CGGaz4p0q_zn&iZ{bF#*yR zI8?id8HEnRQ&f27PT5yOxZ%A`D%hmDgR?^{H|289Nfb274+BOKzs$iVxr6Hl>0FV~ zG8b#7PT{;(lOou26{<_kFJLVxofdfiKfbCxfUI~L^-o!>l1r1`kQy##9xjviCRve# zq~8%>dS>zuNFdD0n6!tM#1bkv4k+_xwb}Yf+UTX)4g!6U!7H_7TZz7tPER8WK<Jna zBDz!=4D8(Gv>SZwZ_qKpN`XVt)JrNDPHAH;z-fnlVZzEGEB5Vv2_G#x8U_;*@_Q6* zI(w?zR_yjPa5E-2;ktqU5RNYC|7LuCP6MR9M_`h=kjDtxoGi5xxJ(iXo&}$P;x&)r z%<#TE@PC#Y4m22!xfLhvA+o}jlbHtqf(e}nxrtFcIZOVcLtxJ7W*3rp^B>2Q`%OrB zkU4=9*(68Mr0{N$vBQe{4a#NUR!Xw(H0(2GO1vS_nYv*U%&p3FKC@TPzPmoQ0RCs` z{vWN&$Ag}EB@h6B0t^5E=KoN->;Eg=>8zaX?f$ENzfRGW-(o-syM0F$PbCW!>6Q9u z*hS?sKysN^V}L9ekKGyFU(dKMb}9e$b<|o;fZMDhlszVs+CA&$<McZ^;~lTt3?LD* zdYdH|8kJBUu*UVEYZl^1oX}acEPh<O1i$NT%~IQ`Svw#%P2tBqg)4y79W^ij00l0L zn1*OI)HQ{YNrl(VCd5O6`NNU|<V8|MsCe7H47bJ`8)D!fT&(4$-Bo}&2*k9dv6ZVE z{@q<x>JKnRX^MfRw`9+b%@C!8kpz+tn7hYm<#u7)eibXD?YGev&cfImb{kC-KETc7 zb7T?Bi(ZK#OH!Ii0n2of{Xog$Yc0cC{FNAuNw1zA!6Al|MWhMC-!HO236CIdQ(F!M ztsFWU1A<}6VrYbhKp6^h`6nSz>d}dlUU1|p2s3G9a5WeSCpSl8cv#c*L`U*opxH@I zgbFoVFUK_pngwmQp(#6OXnM)RwdpZl0WKC9%_A<y{w}If%eK$7$K#Npg1c(9$AC;R z2?0|g#%5{Ya76%m(#J8?Up51taano&<JyaE=P95-&vw>wavi4@V^Ud#x_%_dkFah8 zAZ*I;<ZFj(36w?X0`cSD#k=L~ON$oR5?1ItaU(w{QwHc{$P<tUY^jVJmz(zaKq(0c z@|1n=`bLN*187y<d~s4p))|QgF;(1Rou%}vv6L!c;C9u+R{m{$OI6FKRu}J|QWh_5 zEFJgXmyei8Mx*!m+_T#tlMunZ4>Q=BE!(>YriT;Ww?T!j_u7S;+M)4m&#sNM^_t#q z7CdA~BOtCMkb{3{VpFb}77iNR8qtv|jHu%insTf^LK>-jM~`q{_`_vA&OS)aXnqy} zI$ax^^U{<~wre}{{6)=0*L^WcEb0T|_~Ln%mHFDb{4}z!-qHO3ccv?QI)Kl?0RT+n z0{+iUs=JBVe<!)_bz>0++;^WmvO~S_ZYejU>Zf^`RjE~;zpIJF8v^99k@P&bd*>%u zj1Nhk@zi?4FW=A4*`ELRnbz0Tr@}k<x5|&(iT>rj&-JY;@@&CVsQ<kAE%wLvO|(O) zbF9Bgn?oTnYO0pc%*r2?bgJ&o2QRLLUr*~-yt1k)YMlZ_|NO$UDsJm#-LZA*6+dWI z4&`~*Lp*DDb@V5zSE*ih-7b9;D|mAMqP)peRpHQCK}x6r@3V^Uow>_WW4dhhm0rub zSK05a7w<iS_1d$~(ihs#Ui*u^#@El@AKQ<<+si$%&%Nb7Q~Wp4??|r!`-GSeK_(|i z7s>;xa&1!MpDSuM(I`^mI;nkOL3MQp^JmeO*dIHHDcp6Q+788c@Q-^7QX-fetzAeC zC{_bm_m3zphoJ5r#0<X<{S|w<5HFk+6;Fb@r!t~X_bogwtMp8GPAb15A~=}+y&nkw z2?f|C@uwG8OjL45yws89QdNlM+HqRA6HK{;4yqIzoF3<h9g64t_5huH;<wnJB5Al2 z-nObC0im1v0*&JUy~F-*hpQfsY%xM096IpFS_0vMlX7pWhjqTaBJAGU1j=ompPwC6 zp7c6%f!c)5!nGPh7~Xrq9$HRa@xveSH)+oAypy`3+{pgMNd7_N`0Q7?@F@lHye^#k z^q<8yXTtJ$W6u+KzDWXW0=YB>=k_o7+PfKiHb3H{<C;F)=_%(cRR-Zm&Hc5Xf_R{8 zj5<IWFr|bJtg-S#)=>3#<bKg<iShkjUcmx~10sIYU8o4j?~09a#V^OZK)PM-ISodN zQBfe&fkbGk^$zoZe^w>&qkn#mZziD(AbTjz0SJ@^e7y(5=6Ha;8FXk+8=PLQ)oHL2 z#8irZGQTR_ZxGc$kE{?H9Wwdf2RbKudx!(l!ND=E<gT`hfW$B<PJ7RpEnd89{IbO5 z!sxD(7|D<D#Ets)2WQ0<Z`wT3rp&C(u<1T0V(dZJU2+{4#tKkE;Iqwd{aCClqUN8z z5A?9B!vFM8PdeKlz$ZW#Ae@$qTVi&SoVu0yelFA;U2jL5ua1svc7f@L#^P}s_|U%F z%>jPg$nWBbo924+y_5+Ovi)`|_j=zByKQ%=A9(7gYR`gusJD0`ivjXA+2aE?58_2c zK@Hh-*!!>FUCNCxI#X6dKU#5oM^+8*=qacK4es3<X##TPgag(e9L=t@ENC4-c|iIC zE@cLIpO_Txc*>A}8_-F{8Q>5YQ&3aE=AFBP3@7<F<fT7euvS9ib-?6;WRHNgM$y4^ zv#*cgM%<|6BFOe$Cn1W%sD?P@bi_Y<=^3a%deutKaJ1LX^h-vV4Z&Dpn{11*tC%3; zvtNc$(_j}|@Ol`*X$s=MQ$}B#>24Vq152u#w+=w|f?jo>+ze$C5xuhEl(h|r9#JyJ zkKtKo?sp79<6}0ima@htSHs{lo5WnVU8}t>?z4o^4RF1%jXSI*xj#K_r$V(G)Xhb6 zXD0B2Zwmqd><)ZR>oO6X7D>#E^ND=)Qyk2l^!(a1xcp`ECIqr!YkG|yJlF9suj>Jq zDuC}xpdZeUznTiAn&z7~Tjz{ewYJyO4G(u@Y{O8}d+ME~CI$qpmxj~;5J8sipF(js zD}T9%ltnH-A7oQ5>XVMoQK%J|J&?Ot=OWg{4|v?Z9kaxpIl>E3%4M7Y@C#!iI!Yh; zY@PFN7Yw|Av~bbjj~CB+e;l`|_s7#WA1?<Ao6F;2%}471#6zaS5F1Z}3tK=+>8D}{ zs$+iEgM6=lfgeJEbB-Ht>g>hRvj@^rGSpJx%6tov(nim_liYNubt+*UZQvYs)+2l$ zjba=vtL4q&Xz6490%?rXQX^1NnuQ9vpwbFli6~Sf*p2P9^J#cocPvXtq#ZDok^YX* zTv6cnYHeXY#?SJp%kW9>ao30)(^c?uk`*UZM&a^GKb$xFMM)ffhW;*Z3WLQsbnP~- zow-DWV%3W;Dww-;Gy&1e`zxNqYSRx<_t%{&5Rfc}-SL$kLcZ2~suzt&`QTT`W-?PF zVlhAtjp#b2cTMh9ZJ#t?vB<3XT|8=J{puoouhO)650K3|(YtGKL5y*0azmZN9YwK; z_mjtr{^@aJ?Vwj^S2eKxbHi^>1Rk*Do=%)<nL}rEG?IRSol3;-qWai2sH;pdtOx(N z(9HcbINvZD@68jwy*LNam(lL1+dtTcz1#n2NX{dEs`L8K6?|UX<O`(M5l-Iz4r~+o zc+JE6&`m<x)M_GDp18(l-4m%*U|)wjlW$~q6-eT1lQU$no=mjrxxCQpkK@!uHX61; zTd8{7NukfS+VY>H-2n;yV4W-ae=Fy`<f8F<B*!~TR{dLbi{o~WU=Q|o@2wtr_oy*L za~p3S>+B8W)-Ih_&@Odu=!&}gdlv0w0j6z@;MaeZ0!1py8=Q9v=0W$Fk(p29+Exs& z8}qlLSar8=z&88UKH)a()!t_v@|Ju9@c)Tn=ApwKu=3no`+9aIZ4NUJ{}WKp`TYRA zo2bY=P{+XKr_9tZpsxzTHo%yIQx}<`A&6TjzK{atj*PAwYk@hu9xp)2+zffQFKln$ zmmf)<S0C;)qocv5!9JH77K4BGDs_LSYUgESoU~Wdy5sB{;#BK$Dmb3y`qfATzf`f@ zcuuHf>*b;?JM`XJdk=2cX>r|0fdAWtgg@esGHhD-!==Z^Cvvm7uKPLeIf@=or1V|X z1UR}ihc=lo#)5wCy26Um@+wOcN?SPuHgioV9)wTZ)AWH5eJ9ic(L8IVz{Ekfq91%8 zj9Y>DH?6IDoc`y|OTiW_8olt|ql#J3cx`{;o82@N$;NUMP;xPNU5q7Wm$Gq8yU*Wj z>szHI{O$v8?7B0AW0NNwFkHI1f(65S94zB6+B-4`&{d}%DxFxoOC}aC`n$&doxjx- zPj3<vQ2vQzKbU5hoL15^J&wNb80@v0ha05QGhW-3#$$VQ4q$ZLUv2>5JVk<l%_5;2 zPDkL}b5STwqxb}u%xnWWoA?A%W7oHgQdH$S+#_s3_sM!v>PbdYyn1d8!|bj+w^)h= zK$+%8HEu}V3&zMHys(S{(HNqv8KTtZQn=K6T7Y!9>RZNTF>^@lrXg$@l*X(hQ;PQV zj*ED$e!Yh9Ipd<i9H4j?v3pGv+)WWx=uGg|86N;0jG)g8n#=P9UZtum5ykmMgPh44 zwpEdQdLH1P9-xxClFs8a<rZ*Rw4gHuDa%EyP&0<jby%I_fS5UCL*0J{L(EFst0~N) zrgQa5F={GIqvl)Hc=Yi!&zY;E{lX`L#7fI;{u)QgFNy`_eCQWmP7}s~xh~v{Uly#W zjX)$q%ElC#NFyFaXf6(MIIXS(v<~-he~f$PM`}jIOhqt(n4CTl8l<QcrYcTA%vhJe zyd8Q|zjlLp#8?#J6Iy4Ui%ZW39ZEf=YuZ2|HkSo4q(ghMjY^ouk@<ItGcBW`u*NI! zaebP_>w4~kx>}t$KT4bw(e8B!@fqn3+-v^LFeY31L~IZ|@f)_$YQbOd?uz=3*lE@B z<yWrN5#i?xDuib!<(^HYs#5Fc<Rv0#%hv<^`xFtdlNJR!y+j;@uBYJKAP?(MVu7AK zdBf{uAyoG*PGDPJ|8H}f7vj<iF&92g<1}oc5LhY$`vcm*R2X~^HiDo-5F1JZ3|g%! z3}$FqLLiTo0NTdyidyl2R61MUyn>y3xrcsNXCKN<U(7*1)ju(!Mh(hV8S>T7Uo=ut zuMpD)#s@ubnGK<Z$FAvEJ*%e{F#-LvD9qRH7<>&(#`<1m_~GK#o^Sy@c@yp-v_lCw z6+}>?vr!%-FJ^x=MOoZDWd3X;z-Ek4=CbGp5wM4o!g#@?&0E~74?aZpsEYmk14!@| zo;#}-y=-^muGNigFd{|PQJxy-Irtn}xs~dncy6xN3@^?)+s3&mCSYX#L*+0A(l-q% zR4ZH7C$EjEq)*^cHzoC;V`Rj~+jc#YZgg<;hL5V0D-Z#QfyTti9gSk25lLcpV#ylq z){Z8}&Qv8z;>ahUSC6h>GL8EPNnUUGu?+YDCUydW$b_j7<Ua->Ax*dS4X$D`mxnP^ zwi@WrD`#q@?<=Ygf+P~+%G7&OQ>7DJ%G@(*V+pj5R+|&kd5->|61n0+q{C1O3Yd#Q z1GUa#7+J``zQqA|FQGVlp}3Ga6=SMgRHhG@N?<ZW%#<60>6_2z3!M}A@?^}907Q3U zg(Wr=1sbM+oRVvNYDQKv8^_-Q+E>yTko0E*u1ZHVchDO)76Cx!pu6NV0!gfM8H24j z5W<*eLv&(!94eUqP!qYm{Y1r~roDO&kv%wL&nPQbhL#@G-NM8@(8u#e1+y-*?EQT8 zx=km30dtcbfug`hA;c%_D;hjLKVMBSf!6Y*_VVP|`)t00Tyin(lGWZk6{Yswlr&V% z$<o<;@p{_LQ-@u-(#KBKR92!?kq~uyO{RbSdzTR#9ajCEkC%?3`SRV7K6=nOnh9YT z{~Yf8(L@YgiD>BwkjmEk_oLh@9!25Mjz`Tn%0*&<KfYt(K!w_lnzT9sicT=~U3=Kj zCg`CGa;f-=!?qMb^_^>y#65)P^vd}a<&PxR2EO4d0#WZb{GQxrm1uS*^jq6tvQEs# z<5OHEQB?L}m*bn^9~90TnHfCLgv6sRcC2Z+b0w1;^W!|tM2a<ebR_<4;of7N*-U*~ zfij1OQF`35X<}=TQ-^ZndPGQ{EWPW?Y7V*vx8<1K$Wj$f>oUS<zC&Ngw)x&JYVY3= z2$cu`e^sr~%x4w>{9D^*-P2r%>zJ~Le>fEPQO5a@-Os3{C9mB~;1`OEa_{lBYS-8I zv?jeb8A{5P?3Puf2Kd`HryD$2P!Ln<m{PXGIlZc<3#@nLy~k}u@j&#)W@n5zzu8Xx zpI3!rTR__pe&YPBvDd>o#;U9L+!j5?4=xBVT871gqq<YAT)NtJkdHI}|GN`b1OtWZ z#{vM*#0CIB`yXaLbQbO=rvKdwyJmnY;PAA)qSN2hj_mBT(Db&`b*6|$Yg5|M!j1Gu zIJ#3rTRXbDQ%WxgO|8B5?&WyiaKDlzXPY?9+A}4aFggDau#%V%0RY@VC7c`^ef6+o zGC~0Yj0=MR%>SyD!w<jEw$(Ky_u@eT{M}a?pOrS(DU1ID!D9!}Q5>iiW)wC{iX7Qy zQNP}Wx$!}j7G5wMbDd);(uck3f5u4a+T7=-?3~x{sO)&Ltv9qa8mjh)Vs57(&HXEb zM=p$+y=~AavWf_ULQ{$l_JzlE+HfS(gsF^`e)5;=f%Jq(S5)>ebq(z|UwqYoh(+Vv zUhiOg7v^*bed&z|!muU9Y=WpERj<?Yp^M*c38iV>qtB8XJ^T)%f9s5@qAZkZ9W0&* zs>^}pxp=TQ@Pvrzp$f`&{m}^;tyVYU5akZ89W2-6!5%ye4b5@>(HL`dPspydTt5T~ zQ7x-zCvyI}Yhw0KtY<rf*vUq9N0~48S4+kggzc(;=Bmgh9i5M#INDgu9~^RHg9=!3 z-ZR`PH(WJLazSZ%xDx?Y;>O<1V$oN%<I)Ra_g?ZgxCD4+Hon#y<M*DhN?by{QZ#;6 zNyCB>0q)fZ-&W^^$M)>2rfHj_{n!!68WR!0c02ko7eRg5g4hE$@N46Ffq8OUQ*ABi z^%#1j^V<eTK!xAm2HDQDi7sSd0AtL5=@I||(C}Q}=G?G<6!sy22;dR$OEa_Y_{zHw z7E3CuN5l^1kEE?!Q=(l2+L1Dc{2XQ1ulUD%c@T^jy(IOR-O{`*E*4V@mqC5dmZYCR z(BVxXM%qnMiVOh>s-4cqvlIRh&g<RT7Z1R#QG$Odd`2RQGisFP>fJp{az|-4*6ueh z>T4rW*LU5+<&xpC)(Y|SobLdfeKGnDoIv^(;^R@7JV&Z8i>RAR2|!m<Zd-N(cJ$SQ z?cQ;L==HjOJ)>hE>#x{mc6$-}2+8{E`5GQz0-Jn7lG|mL_^d}YHRg+gPix)yZ2{%x zBmp5+2HUhfsCFT}UvyIGASdy14;nxCT<vvgW4&f~U&gNTyoBTwOO}mDDa?eecK!0c zoNbiI$jk_s4lFa!U*B;gB^AGeWWvhti|uHoz=PzFDIJV?nZC+va;wi9T8A<olDB!= z3zKyVafPi-%XRXcl30sI$`8nUT%u8n4uDx_&V#I=ZVQ|(IsK2kVDB?OcUc$EpDTNV z9%4dQ5exQABUz8vJm5O0xUR1FlBwdW%y9m~*W)}f{R=7Foq%489jcQhjNOznJaQRL z2qxc|vnMQzS`?CNNiX)?zFKD-H-&q|-|tRq6Z-s%!syoni+byD$1^l-q>biER)Y~N z-}whvUnp#~-q~z{-M4M>#d<*oNufRJ$^cvcj_TLBdFL964%`XUXb;30h2!QqK=1;g zm+oZ_Ao|7OApDOdZOi_DMK(q=hIbK^2FJ1mm5KH^KIx#NG-lBnwZ60%U4Gj;b%Y2D zHJ`Dh97wbyW}@g!fZ-i{ysjC($=9@jSvFB|Jkx1DKPheBrD(Zg^X1TcbNj=voKI7! zBAhk54$t<NzixF4l9k?G|26*abcc7O_PEQLW3<jdt3}?hl7S$)Ai891eMQ+-UF_+r zQc__1ARlaoAc=U%mRHqT>)Euci(&ZDWUc{S=Fp_s$un}q2OY7h$Rw&eLw8Z$bt*?F zelS_g@o~dHL;;0|S3R1h*8|1Ckoq_uhbi~3<-5^Z(D&QqmMVq(qec+tHyri6^5;ct zz7Z0APwV0pqI|E6gsBu&Tt#JdAlxj{h0^bNmJ0y+j4FxSLdGZR7BjxuQtGY}a}wf} zP=bb<DISyusOpe6BrF|iIxcFgc+g0Ec88C4q#Cfl^_cIPe(3|ae$yE>13B#!C4HMZ zj!H6+ux(Fc_U>f$FIj@dE?t60siId##7Yr^-HGqCw{$2h>TwpT*dKjUI(f;ZH<tGj za3^VtrzZsK+Q3$qD857v_9&3DL>JlyHa^F35hV$Y-}B%nvZk@aXmNvFl$ad{ukb2} zgA%^3D?^E*?@>xk2-w#I`a%fMs<KsvE4U3+R53(-c%OQzdlsjXf~@-|5;Go*evgZK z1Y}^lXG~C|O&%xCxW1t}E`dx}3})Q*Zst%K%!)d9>J`Sh#kwqR`lou#BN3#RgE)FU z{}%9kTa7+?P78;)Yn#KaY}<P|bUjeuEBJPLjLqd$vVkfEeD6)j`ohWqQeHv*aIF`o zE-khlFUfRmu2}>PpL-{@TIA$fubyGxjkDuhtW8jNJ4J682N#%X3j1Scqve9I)-l+) z>!&Yi`xm*hA9mj(dS6SVZNO*Ff_HFVOSEmlQ)i<VJ*1Pg+_h~_X5UDN<2%lR8_-X0 z{aGrP%I^TZ#Y>j<WU>R=pzkF*^Utl(5w%3bD_i0C6i;tn5(v`NrZ#-@Wx)}IErpLY z)pO5M%`GG0aqkU_2q&CCK|XOuXmL7}$o~2qS?vW53v~C~`KtZ2EAqA0iW0U_BKu|+ zyqtIS2z@|0a=S!Pg{xMp=5Y@Gt5%|B33Jt}R;gx*61nAP&f@yn(0$4RJasFfVBO4_ zs^(6^mGBd}(!!>@zf9%&#D?5rv+??izyc!)MWbT@lM2^v5Q^;~eu994ZfNnj*%&cI zgjZof9N$pn*}~-j_^`WsoUzj6aRK!bch6jS&>79iKX2U_U*)lpnk`GdFH-hdMxXX) ze<P>$%;_W3FAOLBiUtg{=<xgpAH)GmC|^NY8eRh{WIsxtkVlPi_k<G-s?21LJ90N5 ztgA1o4sqCgjG<6MC<6T8O);HH6f13{Rc#Wfe-Gdq!0I&7l;J_Eu3VQ3ddEyUg@$>A zLSA(PeV%9*E{H1X=9gsAako(?xrG!+mI47bz^6_YVvx=&_zHhC9r#S*Hnm}$LD8-W zs95%Hk|#(x3__a<<s<3~&J(K=XWq&ql&3g%PIK-vIqkj1_S`}Fhzp~M0Yi6yDA{xK zD9O>pe|6^Lly8wEhFCrfZA!vcdxRQ^?YV)Oa)OcEH62tVgU$3&`2O)Jh}Kl-6`BQ* zal`>ANURQ7*7^?$=LQp78Er_nL-fz>h~jKf`Jw}PEtzd$DSM5Lu}bQB$M@YVFe?Gs z2gL<7VzhVz&`SNv#e#ckuO`rKVM7L?c7_BL1kI8FoO%s%E`!PhJaT+6d-I)SD7)x` zkV`7B@A=>m&KDU$lG0iXOK8tNbtVnXuNXkE67iG#Z>O}bBoAgy&KvtspUwMNcy__s z;~$aWIJOKYvyVH!Cn~OpBxrBpRVeA~eR9Ur1hGx2H%>UE)NSxPdqdnJ%ZfaiN&YAS zf4ig?WT(;A4&n)#gxZt^QvN+=gS0syB-PT?F(V@jK-l^A;IG(VETw-XCBYBod=kP< zcxnaP0Zmy+H&nd_rD&P1Fr%qbu3)XK9K;u*IO7Z#n;GY<8%0yn*25-HW*<Q(id~<` zMTJOy37lsl7<aPBW~Ym@Snj$$v4GAdtz+iEr494|x97MBJ<**+St*hgcuFU(x_W!~ zt8noyL1|OBACH7_n6^1sy4;wAv0_*yW*N%S-4o+4n7b+}fqT7k+#WB#UlUS5v|y3r zf|RH72%c7$^x-D(ye;3&9?#tS^qD?c_G3}f5@YgdvjwQUf(N2H5YJkgqCr7dgb<bT zO7uyJLI!8~hw^8+V-_;^eI%CqAgPpuv4DE(78U*J`qGk|Uij~>Ick{z17B_hKzFfp z$mHRGD@QDpU0~;yCGTC!o9v<GCa!QAf1EomXnUVFyF+;1KKuoJo`J6RiuMpY7lc2Q zMa!J=dXAN<HW>RLFv-3(;2jx5*?ZLDe%GHLesPho;gmj+Ke}l;Ifw=+cHxQrP3^Xs zVyFhEl0RXJJ0Y*Q;bD&|1VhkdG;`(|X>Ll?(J!Af?y!wmfsOpsI~Y@j#*UxjLjp5Q zv4+$u7Fuo%LfH~LnAYx|46B#@*WV8QOz&smicdP?LG$uk<E!Tf+fJm8SK_#hJ$=%} zfn+b5EA1#&$YNx0xvZ?zcWZm|3lJmOU;Z|QAsxOIchQlZFuw|<Ts5lAV6ge7&O{xM zH#9@=nrp9>iApBj=&Pnr2Mea%<_i>fGNREdw_#1Amb*wKq<B>E%9bzwG9@A-yIJQo zU1YsuG%c;bHRzdFV@naejW7o1gyNa(cRxRX0-KNh<HTn++}TZQa2Q68qVD?%96q@M z2dRDvpc%Dyuvx#~Rl-lg>SKo^R&a>Of6-yB-!A<$%HXq0lLzG;WnyUWl1fn7S>KMr zPoD-M=*m*V1eNfNQrqb6qIOL-XB!5YE3<XmRod^s_h#=C$|CixSFOU$Z>gM;j7%YG zdpSn$gPam9XN3feQ2`a5?rv;)z^0ASAC*OImp<5{y|?ATUPPvt<y=tUaas}hAId|X z=ZcY$DD(Jsa(9gcB>fysxGXf}OQBxV+|db_-9R@~9iIjx8}}P)1D`c{!bi_4l9C6r z(->^cf&|$rr1v3(TMN`P?Pg7@CY=5R=Sx0i3-5mw_>ti@1i>3XwN(+B`vewPYGhrd z{)u>-zl{fra87$j^u8_ml+~*+sfEp*@D8ma7OZo3ee_n38cdy#8Vr|B*E~Nm;Q@O3 zn=x;3rgi#M{QOnwsIXW{8DA5{Bvv28p7N18C_lxNI0?Cl9z;u3H{y1j^TukENA@_0 znL%f%ugyE!0p6UF<+Bpoz42BK7MKo|@nL&`b0J|S=5S)z&e$+Hu1LXiIF}SFOKK*Y z=UhnpuDX$bg1sHIp5&}*wVEi5<2?~Mh=aLZ-q7B%+R^^^$>BKhfkW0>j72hUIX>9G zh_+7#-9dwvvCol$Q7bo!Hp$o(3YEuYi;h$#PUcIj&DHS*>QelsH7#|%8Fh1CUS2EC zXsNMwTDgESV6f5G2i@)fdQ&u9_a4Yx$McO5LAWI0z6+KPS%Q-}QOI>X!VXqIlRqw0 zwq9eZzEX|T0W0gtSd!NGK^3Y$D^rqB>Jvqr)!*h%&IHXQ)DVk7q$!p+84bswJgc|! z7PI5T1~WvoU)5o4pe7daPSPvulrYAHWm2^`GMiK0087D;E^t+EoPmc&?I#&J-7h2@ z>wN>`p^lP``-i=lozs|WBpj#?j=m4H98&I;j#pd@z4>t?`2!4p8Wc?<R@`{W<Y_M_ z4ug>bP4*=A(>h#+L~OZGs+_Ptp4}y@LSbX{YInlM{@2_4lq{j5=YcRPu|z-bx}!+b zju=tkZR5!hgSmM3_0vRNoR;igC4}N8z{@2+v~<=jZ+n?zVXG)a$%0*wJv<k(uxE-_ zZR{LG=EVK`fTZyAE?Hf8vYEonsCr8*tMFD$mx&PN@Z@?7Y^o?kl#Vp^B4%||Z)%qu z^o=LYWP-Knkg%)X+oRWV1!YiF;47-vgr;@&zew*-DU5GOqv)d=NUSf%o&qY|y%)Or zm#Xx1Lr4(#BV7W^3`{YM;}_mi*0m{K;X8Bb4MfM!;F69-3%S`B_83DT<GlJ((@L7k zLZy*o1c!zGIRwDM8Iw5+b8t<it^e#K2B%?~p1Y4FHIfr7D=gf<=`YHk600f6yDo%L zGul(!SP(|0*H83?g%Ly@k<7x5cV(*KbZW3x=89Z?th;xWIE%!DWQ&fy(Jofyi&H4y z$i*-l{9h;hZRpl#YiDrWs+aq+yI#@5@);#x;`Ta|kMrcRe14sjJotS~k#8(n_6CsT zMu%88Bp2Qh(aF@)5I8FL(fxZg@7@I`E}4mRIo2gjv5<aZlX^Bq*WZ%t`Trh;Tr}`4 zanZTHt{L(DL&82RYB1N}%8X@UPyz;txCHw#@G+VL-qs-Dkm?l@X*)ycC@!C&J#YCL zWq6p=wkp$e_|X46QH;>)vW~mzVsnT5Dw%_Q)>$K3P_%Uv7RYU*!WW9!_k(Clh3vMZ zFJ=mlrJ)iIZ!`-1ufom*8mj({<CA3)O3J?PWEmP%mh2JH*p+0LvCNo^F?OZWgiwg= zLWNLdEQM?(LX@RMwxKM^lCe$mzutM@^G{86<~--jx#!%^e4pQQ@11k*@A;mmF-QG0 zA%1Eem?-t2f`g~%y^c;l$=AdF(A;uLrj-XiSj@9W(B85)sN*TyzSwqRS?$!jX3pID z16}e3IU(z})Ns;~j8I{Y0yxj@YqK`xg;ovPn<rPnUC=m9wy)(}f`b@>@FH9)SBrH0 z;P@*@i_<D|m_NU3_Nsyu8M8<`%~4PauX@zpc-~~qTk;J~GKds1a2uI<Jh5rdn6FJD z57#yC(~&&1Qw;FM7(B-HQ%?wOnSig9{a#0FuakDpQPERHWny+SOiTxd$*)KYNAY4O zuPmBcXYR`@D9K#Hw>^QfRXpbgMwhjxF{mO6i<@5iY8>_AP^k*PgSU5Z!iEXs+HvgT zvFfQ}V)_^MS(I|LaMZni62m_pryk`fzcL<g7&St=oy8yt)&}e1)#7nWdu8{0IJybS zja_%SzS#F%>I!SLCn2Gcvt4Il|D97qb~4x5R3Cv8rCRJ~mQMRhv(A6lZF^0+ajcEp z7!BHk!1Jjwc2zw!mxH~L%9vEN4m2(5p?O!+p?PKv5|2b2ke%ra=ob|jxZ$Cd5&bZY z|7$@YeU@z7=42)@%z{qX%qpR)AxtM_e_`+}KI=-=tho$JA_rt@ovriZ#OdS^1}XW^ z4s8-C%Q0M^G5n)b3*4pHMofyNwf^He+HTQV1K8uRb|<vMn9wIPG_qXP6c*G2qe)R) zwox1mwXGyy4$0RI43sN;()FN)E4^--M=8<pqo+Zfsk-La$icT?_PM!D+z)jAY+~rz zk2k;2U)!AeC7741%u>a-^cE)Al;_c4qH#&r18(1p;g4CkC#Pw66v(Rz8g*B1tL$)r zc|wz00?>Ge0im$-B!94u`IUoOb@*ge62T(e{N+i%EHC3rGJ1o_jy#X<m3!4mym&@b z3l4w@AUT`}$C{*wtuoo{X*M`PPv66WM#SXwfIye!Yvo`KlNy~^`loNsWN+HHJm9K{ zDt0WvJPGKugpEGj8J&<=UoCJrIpiDRB>l75iU3*;4AC6*twD*;=T%pnG3$qn$Vk<k zLv4DJxn~Rd7W(04BI||`3W}MTZW$>|`3_wg+(Bnbtc>J#b6Y9}RSz*JF1e0nDGwu? zr0;Sjh0AJnO#~6Zmi!so9nlic)fi>-huS4}8K{r<Rt^^A#M&Wa`IIZ$nWE3RGwx)M zHMjKId7xg6uZXF1JY7JSlQ{6EgMV}^o7mf=xtsG=S$1?I?JaKMD(RQsO!DZ7o2cu} zc#TPi{)pkr8hT|%@bx2a-Iv|Zm212<=!uq_5Zcwn(kK1Skoaak=z(Gwllja0<VLX3 z3vf}>&ARF{1pV0Jh4)}(q%znEOWb&1Q=8$e6keHoj)A@Jvq|ljJ#jDkPKxF}DGZGj z4nbpxhCMe`TFfkcRPl5^%*CT#<@b8ml0J&P62EtC?~I2ZS7?zpJRs5G6SE0sv~BI6 z_`UCM$4?@C#j+g{@d|Y80|AeroYI2|ZC6$OPnqN=xr3vQHm}%_<NMwpB74?{_B=`X zvhi47u*OVpkVmqp{MhA<8|w`XHPzMnqp?T<*^SptgDkn}9-Yz)6EWlhL5;kdxLJ#Q ztxTtGScyRId~?a^>i5F}NugSPa#j4`J1)W&^(HT4YI2w{Y!!{D&Ug1iYK?8LqAFF2 zyVKN<^yUV8E~@Rr^%J!5=TB58X(-pBU<_91D~Bf<CL+Bc{^9!SG0tVYr$+CRPj_a@ ziN|Qk^49H@2^?X+@x}=1hB2^?pN&e`BmhH4XJ^3rl{8oBg~`Lo*;jaCmYy^CtQbbi zrn7vAGkiK;IgVpK$dQNDJ<b|h6#R7|i6^_9p<~z8ELriTck6e34ourm3T6rKaMmph zTWyUiy~l4iwB~{=KT%a2%B(J9>}=hUHqI$x$^4E+f45of1(P@r^tG%te-@<>Sz({K zlCM3gaxvbB7DmPuvDOoO5QLGJsQ)$AL*e%J`}2F#?+wji>as~)A&7Hl>oB|`plsN( z;F_%$u9tkPlwaw6)Pb=UrcI{>+f@H5@zLRISJeoYyessGlsdcenj{^$K-r23f}u-4 zu$4K0MX%(n-tdtjEfg!G<%A){%%1~?nEL0jX-O+j&kvNwOch9lXUXQ&0xy?y;Okla z{xagv>blNsMwD_ftZ!iHaens8X|OU_cr?W5dwd>3G2B^2&-HF?w+BtjZ0hXA?qI)u zxmDoJcg$JV=uJN~*lgISY9@sF=z6+J#gHw|Z3kz((j^xG#+|9qdOY+TBw^{IPe*KR z`9Szbpr3rMWTAqijy(dIeb%p9vR$}RaxlspzPF*lc(d@neOav3RQKE=@nxv`!`6X{ z^jGf7?{~vGmR!s19Cz(1RBbfdt4B~w&6Ve7?yil^`zmqW&(Biu1cwn@3qOLxIq`U= zYs$B{t313Hmb)sIGx*)paL)`r+LY5(CDxZI_hmhImYoah93U><aR`QFmj<QgIP=UC zrb&-lN4z(aUJzJYgiysz&j>e)rY|s<uZT)nVJl#|-WT7Zq-%|jG%6M36PMJF?mNPf z#w#kz>avH)Jf}~XmtCg@ZY^m0IDymd4ZFuD#e|3sIEmg8li+?WGQeWK_5K&O1y)U8 z17>sPID71VoA3Hzu(-<X4o>Y4hU~hD>U<GR*0+Q_%FjHgPxxeNCqH8rIMu+BfVS2< z;NzyBZlR&LQL7ojbXyF*qN@AlVYQIpMdppEFX*pFuS2uyd-q&AADK*R6WUZP{dgqO ze#7hGvUYIM<D~fE=dEK37Cq}X<2Fa7bsbrt3DLvI!n^M-K-F*0jXdwyH@H*8IoWJY z+Mt_*43Qz$ru*W?+!N^CLwjg9LyAx$Gbx^?k#S@Mcth_Sw%|w>@pgqsnr~jLDmM>Z z0Rmh7H6&uCwW>6_gAO+gmgXEwx}KO+Pgs)Z+x)PUX{P1LfYUopPJVis<bd6nXEWtn zEbZ28mRJstHX(bGJ61nd#D*t_E83D%!=(ku+-sT+Lz<#<$H}Z~#N82ZO-;!aQOlKh zQiUTU=mPJv_IaV{$$RimZZo+hbFw0(<5MH^ZIbS@cjP9$g?ywWh<)14`j&Ap0TJm> zqTk3M(-S*r*l4#VZBSaCc{ZZZPC(1E{Vot_Culct90UT4o1nalTi;w=P>=wGx0U5C z%A}30X&XNiJeL;wIDu2%0>QbB5C?F7p4{Pp_QN<s{QpRtGb&q`0ceMS^Le%rCuo05 zguDJ(rk;4$>lz@XDj@!YZl#!a(UhJF6y@aWg!pUjf?`;YQvhTSpjPN#r4;B1FcqkW zDLfDjL-|2`-B8|6fqz^CRn(}hAOi?gD*k`Hz^?#$r>UXcoP50lApu)cQT|XXiyb6Q zaSjlub#%*{`eU_?Sbo*}SKI*tLyI;b>MT%}|JIbEu@F%GOX;0`oP1sX3hisZ1uX{} z@P`DqHe@LpDQhY#`70EHhC89$ev>rCkee6s3_l0}x8lE~DL_6YDnOL0^KWpJJ7(w< ze||AP2y`Ea{oC|GwW;6&0J8wqicrL9O<@;<fh4zLyEtwKDm1ho;2oxlqln9}B8IaA z1>Ot9c3hV?6`b2vmp4@$Mcwp?5uysPR$H;X7J`?k;9SoI{AKaDta3zI0T^(#{RB*+ z26XZE`Yn*65Q2r)q<QTCZN>J4$Eg8*|AL#m!GbtK2Lf%y_JphFcl>fiLy$;sB>Fci zNGV9vh})ct7!62Fgy|<BWSI)k)y@C6KuW^fM<e}sdvtQq{i={MD2Zx`DFV0K^(j2c zJshP&g-0>(Q{_?gZMVPws4og=arpU}0QtuZPZdXzx80KSqr79VUvWPebpF8HZhrWY zDR2HO6Y`H0;t#m(9VGwHc<!Q#6BXRQ{UqCf^uQw>1mXfdi93P+y%0JO1awRZ4mEW0 sL>#l$Ln$8$GFDKOH*>c>hE&!AHoLvO^ifLk9#&W%CI6tqaP6c21K-y}od5s; diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_placed.dcp index 957be15beba8652c2aa836626143e386e2ddf61a..04728961c1574e82aa6bfb215e19a00b4f6b093c 100644 GIT binary patch literal 201503 zcmZ_01CTDy@+LgCZR?C}+qP}nwr$%yW1O*V+c;zEo8SF*@9y2W|BR@&qpQ0sp3ZzK zyRx$Cm6rkrK>+{&fB*mr_SVR)3!V=K0su&d1ONaBfCMl$vZr;ou~tzA1pt1G7B?GO zo^mRrM*+CGe^WDNzrvGD(7fPm!6i`NI<f-b^BflrXVD^oAsG7hZP@?KGZ>M!1&qEu zK}Uygr~jaChb*7al~3KB*3n4B!|N<M94cIgS!4luT$Wxb*<1Q+;+j;%^VA#rtf>Mt zfvL%50UT~S(d(>b;~Ms@b^ei%H&+AAQ_ixe59pLDb1+{9m>P7?6dWiK&>p&@dr!u% z9L^tBD4){udeprK+Dvp6%Sm%DJ>VQ6t+NrT=h;h*O7qJ+$w9Xz!^JsFMdVl1l9b(S z=Xr|=qV``$q<E$E5olwQHVSiRY3E6k7h_Iy+qVGI=CN^xQl{*Yywb=sXc=+MdFDjo z7TnU}ZS?X&27`fW38he(l92+!3E3cV0X@Y<5j&1)#zg#scr^DE1RJ%+imL173)A;M zvVZ?dy4lqKCV8~@Vl_OVFhi>lpYO3-;SSIZoM4$~)w>^&nIqUV3$tPQtT^H=Ajm#L zD&tp9?o82UY4sl>^m)FvT?}x1R^rKdA-4yjL9{_8H_R`4&Fub7Q@di#ygfeU^2L{H z=b8F6xm4b|hHk4m&M}76h0c^V%U^n|<(<0Ay*A;30~y49P6TpRdn|+(q8j#v03H-^ zoWU(k%gRn{I6J>b=|U-*ZqIp4M4}t@Yx|JHpEK~-+cJH0&bsLgbmfGOkxWHX+nB9& zYS&Ant3&*LOJj894w{8sm#91h3q5+}F{Id_efav`Jh@9Zob$NQL(mE<m?$i{G~$$y z=Fusq1?g`z(*%tqb*MD%zzO9PITgB*_h=ny9H`YRY8xCUae-*!H;Qz<7JB?nwO!#9 zrv`u0jP<tR9en=W;|Sl1P}x1Y{C_ak^8R)i9S{Iu@u#5w!&nn13o~0i20b@N1ABWD zM?EKJ7eiXte>2!8cFGEf0b%I+U9HOqTI&zR=N*aXtvo_SiF{=A*eRG1Qet(-w@;Fa z`Sbo!UslFW*2=Y!rOv@pZ6rod4>wOVSP^1Rvg)z6p{+1Ha!tb|IG_~VWgNAa$Twel z1`MwuQJgV|R2S7Ajj6YC;6P1&_JcwN0B_ssuD(ePCOIk<`voxxv`lVcBbZi-YT$V< zU;#tJetfTa%`}ur%ca1oIg*$4C8pK|gWhi&^vqxMI3c6r#^mZ5!N|O8R5or9El+`T z*NQ+AYe+R^0E`~Rj1q62n^d(^Cg;DIF81CI$2gwcvdl|K6Q?zGQV=}#1e?0>(Z%a@ zaPJM*9hzdbKHEXej4sl*p6@qy$wgwIS?}iaUqJs;saKMXZ&W`DW&LplSpTz9&5i$$ zXYh#=u<GYW2)TYmb*i2PAvWANBw;}5Gi+#iXt}g4b+BK9?ZjsJ?!hiloqJ-P^twOx za)1f3;FNkC7J7SNj}RM}gW#1&;N2Y1o&YJ8?5kvBS1%Ci9H5NYzaRK2<--~Hz3xEM z5Qv_*+zaDIGWUsIEUs?Lm7ZpjAyzYRkla;cX5HYOi%u;=eqh|8ey9+<o^+irDAsKH zYeQ?B**riS<i@f(j0*zU|E9wqMttBG`8T4eALKO~XhjR#GiCB*@9!@)eSDJ!-CCeQ zU}e*~?f^oVKregay4v1>Os#gpxcOGJzE@TM*N(eK(Dvt4;ftWE1!)S}R_SyheS~3( zLr%V?Y~$sdNR|Lz=)F{DpT8Y2P=0aWOl|r^;M*<fVn9~&J~7;HVE?IWGj^VosUHVC z`cwX^uI&vR4Q&3y3j>Y<uzjmryQ?y=iwF|@BMkIx|2h&F(jR}0<{Q@g`3D^|mGaa7 z4i_GwursJ0imuCov-k7v?jYyTNe>uEi0Q^p&sVm?M};|V@G}9`8b#Wn$4<G)fux^c z!|pU-TMuMX{Hg$Q@ME8+{^bGU>Rz0bYzo+x)6+&-uqjg9Kr!~fD-z2NEgHc0Dy631 z{XJ84j`tV7FQD9pZMPu6`N2|!zbOqBjl5<;d@uvB<_*!2#H^2`GX~%LAyK3zycRw= zTsGljxcEP{;fPaJqxqu=xF7Zr{5R%V$eVjO$=N&8I-1y98+iP?Umry7HbPBMOG%HZ zQ7|#mGtMzBGAW8zDwm5>PEATEjLs-Qj8UjS$kNEm)2q-kH!!j`F*eHIxIiiZRG=jS zjJ+MD{Q>}movMzGsfLm6WrTv!78*5HDjx&*Pk@~Edz$zV004EQ|AV<q3>>XJXx)vC z{tc~0bHj0i9m!`#&DrFsFC)?A*2GNpU06zaQgbV^ILSdqrh)(|5kUzA9l*p&>Gk;v zjP#W;qjD=(f`ieHAD?aZ1c(3kJPl<LmBl7rqI*4t$bmhgj2P2PW{oo8yB-&IcgjnH z>wL%b<|*C$+BE;laKHH%!)f%8;<GJh-lFVbhtllY-Az?@s>#THQO55mU9$`~M~kJ7 zK_iu%SaglsdUxe-4d>gpXWEv$yGv}0xU#LkyEA&*Jmp<Gz&4H+Zw3f)*L|kxson*W z7jI>%l}?r`1zh^MluD5`m)|yD4B2o+FLeF)u)b54M#g7oQ^bF|xpI}X6-<%75SF;! z9o1{b>Zc?J)LQF|{qO{s>UZ+xOD^-C`FT~=+rFGxVf!L;F2xpJb)ZBeyYR#MZn*Sy zMa0dTZVfSp=gREcFrvSk)4`JH19bmvj5L|A7wHvct?8_b<v;i}FFrOjt@wHW`R>4E zJ;&3csaLq}tl3>*0U9?}P%n=Z)TI{gxzF$few1NM?6Zzd_rrwCEPlw$&Q_c!+4q}- zB%cSRnunLcpJCday4)jZHzwkk;O*sC^D0`#21no8mOFX5b%KVcqa_@5V^(uYFP}8W z7|-e7Hy2PgwSkBP@@9%+sAlHMXyur#bD!lXsP!ly*rK@L3Y1Qh-JL8BN*TXpAcaCO zfdd($I163_CS=gsOQz+Z|DF!6P(zRo#e>}+%9k~T6RV?5z}TzJ`JLrek|gdG4A)>b zGIv7o84;1JZ{8}P3<4&_>%?NnAwoA;WFk|V=i$#@@YM$mIzWLL9b}F)oL$~c%T%2= z(B7y)xR{D$SFi{N;+i!!FUd#No;r2Zj7Yjo{Y)Lr4feGsPA&^P4ciNp2sSY>;3`UE zl{v|7aaiP-#TqP^QH@Y+VsPl#n%5Esg&d0LCJv}9pFW&Jq3kPJ+SoG8^^$h!0xPA9 z)m|J+GJ7}RA$gdzzN~dQwHM@%(K4<;o92a}5|TdD7*8E8eOC%}J)m(7T-<7a)K4Nl z=MQoK5|}<6N(hn{XpXZPE#!_*f<Ssrm=33b(O&({A!$d55odt18M9C<0>It39qQoj z<VSfp$oIezexRQzYS0ffcI4g9oL=B2Q?!P{5c+5GfW9xtoDpA)P&QZtMgptY?Tor- zWMZN3#TG+`uTkFd^$RR7eOeJiEQta(?36?A5ZaWOpA~0w-@+a_rU=EMFo(xXz?c$% z%oN2)vtNQ;#%EqsfpbW@A0jU3jAt^4JqFC}fFSf$hdYmSkFR1>ouGZ)!S%LphQAZ> zv?shg4XLyEE~IHBhFiR{^_QqI+={R`&~P(51znqB(Rg*alS*wt@`d0YCtVUv;5-JR za!Sz4L6~S~u^P3zc1nSFg<$(9IDgjh9?H5VP<m92x)h_L^P@n541>RJ1uM00*KTEW zLI^>qD^|uPh18gJV_6)qcA<qJG&o6Uvc!bYC~8I$XRB`XGecfGWB7C&M~)$07BqFR zc1%OcBtrhkNew41G0|jB%6F~F!ImC84>W<A{&X(#O1?TF6uIUhwd%Nm>5Aq_T&20a z5*Z|Tt8N?8eJQ(jw`KwO#GHG<RbPpoPMgtCxTz#<Ol<~LBy{ayd|Dr)JXo4xzr4dl z4z)lHf_)2zN{hu;wpgy!4_@f)=C(~uG_Oj;YLzn%>^+1WI}lHX2y>AhA+ro6&W1t_ zi1v9!15M?DsIZ$=S1OIFdZ|*!dP4^jeS{)2ED$4z<I`lY05*AQ5beaWf)RC(oV`LZ zj5E;gb{VI94V<*!{rtpnf`5=I^)m{kXvee~ER(asyGvbU))qaQEN_Nv<E2t=FXdj! zA)$%Wjv2ytY;MU0RydKA3RLelmr-5BUm#Hh$Fgv#yZQr1Nj*DTWo;8?z(e@&A_^f| z7)L<R#Dr-mE~?{!m}nR&1w&TUG1{rW4aF^luFd(l5f2+FAAF-=x#y^wP^`QbNzf!| zC)j#!QB_+J;aIw9?H^-oAf0GKHh!C%BAlW9SfW(zC~f`H$B~*c{`MV2#<+`QB8Rb~ zSb2W8iD!f~?RaK1>PlJn0Lbu~4U+Ndd1p};4dVT?clU6@U82+Qju(Uu?BO$v6~^*{ z`AX5CeNjsyDB7`Dfng4s&pu5@O}m1<1|ya?to){a!;{Fqc$hDRt0l5)6c=&#E~+?0 zFJd67<fiM-0h`KN#Q|k_!bJzW^0}rFPxAKP${NS8Dhk3d9Nv?RBhK1%sQ$>Il)wj8 zNr3mRxT3gLUnLT(U|;kT4?PSrHwh><!a)Np;RSmLz=?Eihi3m4PII;4pc1+iLh(;B zwa6Q!?cE!UJk&_3Ed`Aw3eu2iJaMk%X&Y=sO${MkHW4x&<SStX;$ISPM4&2(lZXqo zdJZ1iJVqk%&mE!C$+>ACs{U%W%_+Y>t>M0kVCSH)TFEp>?59eerR^kcNg`w{auCg} zYrvyxTS`IU6Uj-J&!9wbQXtZIf^?aFeSOG%85tZge&w%y6foG90=fXjVQcfVCb1!> zn0-JkR8qeoAiWF-KhpSqbM|w{LXT-S=-n3+4rIyFV)dTLv<uX2{B`Y|dIwk}l}+3a zctq-Dk%OT~oSEH+_7O3Jt0Q}1407t$G^eY3q8Z%D-}vj&NnOnS%OGSQvj5sl%IW0W z$KxnY{<e5erTEn*K6uQASrR>IjXrp$ugsn~ikwc5hGU1qB6y6|cki)i)Q#`16irTV z`}6;aXF54wW=GKg0F=)F08swR0O&tP7Tq~^b{p(1!#mey1o1u&=;^G9I45#+f1!sW zlb9P0TyV^S`uOdwn;o)NHKi;TejRt}B`R7cCQ|X@WCKLy^rbVr-V?s!;3bA>B#xX} zW{_2VfOq1#?*Dx~lJMTX)@{gJkh>o_9GKY(J5uWZzIMtre*LT8{E>LLW^4A^HLmVv zkM&nq_HWwPtu3F&YeF~njM><oj$Pu>*!ky#^&7g@Se?hWuiNLJw?u2+_uZx?sSZu) z+(h_q9GK9#*PArIJM?#-w=PZ6DDGU+wU7J#I6q@b`IgM#`=K(@_l$4xB>3+LPHEO* zv$*?^7qPoUTD8}(`>$Qo!N#%H;*S*-#ta{f++YP6SS~Iu>j(OoLp6r48OZl+>cpkP z1c_+53DgOEB(khY;~z`BKIMkU9{@cM)2ulSYo#{52C~tjqGcW9=dWu`H~%r3i8{MG zi}-eXWeTsGSalwgGCgx-$fDzI(r&RIo_{3@%4~jS%N+VfzE*3L5jpzsVtnHJV3Q<U z0Dt}b(=bN<<M((xk_Jb<4U>M^y;&6v?Ho@e^N{T%ijnnXW9xWZk@;HQTD%$w$=i@q zlt=o!QNm?c?xvn`)0XM2HGeP^V8Q2DE?T;?Wyv``IU%^x_Aey4+i#l<@VNTA@IbvQ zut%>RwJmgZ*fzhQ*KmPm@%j#tWfGUA{*`_$^FWe2f`71O%xZ9Jgf`=2{KEe;?EO;j z)&+Qf6#csGHDh$$b6<CiJd6B(*6_4r_4}Hm8LMi*1<G_wH!MaqNrYAAc;_WPg%1gT zy8DFM?D^--92pG%$l&E2m46JM>|u6(_j!)x`N^VnIkM(doBnCI>%{l#`ij`u_QU_J zaeX=CXFy%c#Ckz@e<)P&E2Ji*{E#Cr#XZR*imcj=6D!x5D-GRnd{Fa<J!u6NximH@ z$*1(OF7MKrIot#JfcO0(?t2L9jJ=MHwXw4~0k|u{_Wog6;e$i&HT~hYLf5zN49-x^ zbHK?Nf)yA>pA2}W-w86W1_mE9em=u>OD#{of-IKS`lpK&ZbwKKjLj9AT%OZ5jdz%B zfkQ8bEf(k!Vpl*R$aJKm2a>_G`7Z0;ii<*XSnLNDJjv|P$B7Z+`_}sbo%9?q8;!ZG z{YGsc@1{PRj=Akkk4_M9Tc0h~+~O|w23YGZSm!T6rJ#`(9Yc8A`Yd{8mY3xxblU+~ z*M+cSFs-ltcl)5N<ot?0w@u_`%NxM;-DT`i$q5<Ndd|&<V5yZ`r(C)|dC4eInAmno z)yYfn%#7=M5pZUP7zAP0n3&$-jtKsy>-7q|+OwHEG(IS{)T?a0p|k+DaIu>78M~E* zuy5o%1A1GaIT+-nc8+HHD%eS0vs;u@)M3FQ+^vp^w)H66Ufsh|>?yvFGrTGb+l`OC zvNNYH$(L3dR=z*@4+jbO&!IW8lV0ug{iPV9CuEHBxL$Vo&|bD3y(gC!*Z1CU@%l+m zxkbXvu93*R&5I9_<Mxw1_a<SC?I7oeM0|tpo5JN8WfLm_soY<o<mOZqyy5#~3R4ti zPZR-`nLGo`fTKbshGkDWH6^zXF1cu<LZrrKUjZ~Tx&2C#8Vph6GxRuYG*-i}qkaZb z*-v0%q!vLW%7SivYB;GR?~w){#2ohh1oG)m0%U9+R;K4`ZY{t*x>`jz`V?1e@^dX& zk%lhpk|%JT9o!M>%ro;NWAN3IlrEj9O6JYjH>5dpu&LexxHF|$(>?^aZKEd#E^@Bn zjUdA!q%LfN=hf|P8|{F|=et7*E6csA`F=~KNZnfD)IS|c#hNvq^*)xFSpm$b2&tE= zoXxbUy|Iv;^6lVHokyPanKzStS(8jjcu+6!OV5^^qY4@-$t_~2Flmg@sPT?zQ_PU& z5dQ%h*O|+pYLX~>mVv)5C*)GYHyBXMHJQG)_H^Mk2e8*$Pf;?9YZSfphD%h~+5)$3 z_uzu?9GaeR=0}^#19%Apm>5~6mvk8RW8p=5lTC=%2bLYQFGh4BiKql=ff4$+a!Io2 zrf7y?va%x<-n&cGXg<=Ar_H+%CqoWF52yT@J7jc>w5$v?E3DvONQ$TXlFwSJ%aI9# zVC6t8yr2bXR;B6_uX%5>O&DhUl;3b#`LB0r!Wkx-jMM~&O8_WC^nz?QHe@hD0T9v* z&@`rP4zDnR3NS?6_DWBt{ag#7GQkzH>g<;)Kb6GJ4^)|uA>UyLw2SN(?dz4R@Gu#8 z@~;f76grg)V+`sIxRPQ=qtOZ-A$S<It+*I?y!np$w(=dyWmT=Xk|)P)`Yvr82<o`8 zNV>IBsWYpdkN%R%1M=)5Erpp`(8zj{vP0yQ<3G>~J&E^)mbY|&Z>r}gi#}RmhF`}^ zDvHs1pLY~9*6K~@|IE0JtC6^L!fL6Kw5!`Kf~hR3NGL!Z6eTWVFOXVd1yI>&C|<f^ z9wUp;b23T{oh_1%^)T<ayU*7U1zscyQR;LHf#?5iZoN20Up3-$URW~i&`OqlUfpon zLVj9?-$Lomh?S$o$oX4?ej6Kk#qaktJC|l&3N667rA5~wM%f~CHmaRwM7+2yT;_EV z_Sgdaxh6!}O3IDKM9%-eYR+Oev(c*I*hT=W1{Av7blu9<{J$AgNmB~%eoZu;V>BtR zdf$B%dNzsF(fJUTfDDV0mU#Ei#~BM)XT7ZK92Frg&~rCpFJ`4u<$5xdQdy_x`3jSj z5N^_;w#XP?U*9Q2SnRY$*Pzp=sS)lc%QGO?@eQPJlAu%#$c*N5de6wny>R33&KyfE zYfSgn2VS?2Njdppto<dEDZMpjMSZ7e?^q*JEe&YFEk^?(#C@#6RDAG|8uhxej-fN` z4nV`AobWeS=Jf2ei(}1Fz9Ls;4d_5GNCn2Mcz6J#-#Hks&%4YE{WK;-h?SJK0|4ed zvnDb}qEO#AiXWE|?UUU64O2ZRqy3W2?XEe!3QU(&y(&fxp7eu5#;Fcsh=(If_tvBk zlyZFPdQ{Jfg${t$#b;!aR#n->4b`$@k<{D6k>c(dh0-4JwDUNzp<rHTSWmtpN=t8W zGP0emqXTm&=c4LG9T0?${-qYulp5euNQ?hbGL#NTN&x4rh8=3TOv!`rhBinpwfK1Y zX|=}MxhC&VDA3H*yRn2#|EzEFFE!?I5tl=7s6|335z-`_anJaQR+h*m)5CYDMMS8h z(nMDICt#`e+CK19<*)deFa;~0P<81{ec^St$s%CY{n9CY(pW`nc7PG}n%G}fnVrMx z!Rpl=!`;3Iiq^p`%3)s<Ja|`6Itz!)PPpq%6}0>Wto1Uq?s5HYlVe7GF8VVi9DqYM zj7zHJMhEFFWf^B^`t#0u!Sq_|zGcj4Frp>#t-ZU7CV#q^s<5D4=5d$+SeUi|r7O?V zo=sJ_`lOZL+`*;MDo?@pldEmX7mu7LiH-X}U?^4Yfe|ehu0WW&L*Ted!TTv4q|b~Z zeZZ?zBvG!djrjFS-z@dqTQ4AH(<*{yJIPQjjiH19O-71q9)}o(P5MQ8L`cI8D9A{- z$gXBB62OF-kt;)JC~08gt}=dmL+@wTj|X3HX%H0?(&sAs(5<Lc=E&2KOoTB5rT?4; z(O2f^ll^md2*x^t#hdP7wc=H<C85S!@y)95_QHdXu<hgRKj0pEM3zZ*cx>kV1iy65 z6nFY4D}rx|>^xXMO$@U+H#Z-lh<mE(l$=Km%SjSR6*+-VACQZ(n5MF8>t^=?<x;iI zq;b|;iWlT?(N5+DMi;I^B}sHrN&ThyXf!uTc8xox^cg7&xSM(Q6tRRe0;RHW&sl|z z5~&~OE5y5vB~;zYqLR*7gT~5*?}?3>mSAaQO~d9AY?+if$B-Cv0FC<zpfoI+h__5B z*0c`I?skX-lCE<L&d6|LdppV>7-2q%tG;fyHIDXe_wPKCXhnHynWAmOvaSqEm?0!d zbBr3AO<*(s3|g+^Kdz=oQbYy#+d@I9?vt7R@TQ}2K=v-oxLJI*R)A$=XX!n9sncHK zt5++1<T)$1K)Zx8ZnYLVz*(7z7k|$M6Y1hCck$3F#H>W0Cm>?Qdqi7wO5W|Uc7(P3 zb^alkrScVN&@m~^v|6i}yi_h?8Ciz*l>_3K!XieWt)&yDaIZ=l*HGY{1)|{#Cb)2Z z+Tk;^WLvW;t-@1PvASf!X*_aF_@Ay!XE)Rh3_z=v^oCOQ<y?=`$#tPbX4II6phma9 zhvg(wnh*g*keS7;l^@dI4L)0GAq>OJ{2-7X3@NO%k|pwm4h$QE5MQFdZ|(wq*iuw6 z!Pp?855uAWAWZDcdp`fXQgpPAqEXF;N`K1`Dw1k%5iK3t&cTt1mITVrNC|%^OH$cH zzCbR<;t(+$CYRvco+{5zvs`|t%nUFWkx0EYUz0A4z<>3UmMA+1AY3NZ7%k;Sk?)Eo zJ;r7ZP?&VAMWXX#r;EhvT-ORNjru+t`07|mal7-Ntfkyri^lMUYdOhQd0=jlR*E10 zW-Az}vY-9{Q9Y<&;Hu7yk#jA&6691bSc&;P&3ZTT=cs9Pt{4n@(M7WXN+%8Iw*2@V zd&7CBac_tz+a;+$knP@;X>o-}3WT{lF2ed~;i1DDd*FGWVs!=cbF0jR6`XLZ)Ej$r zJ;RF1c*>%7*2-!0u{*I)zy6(hW;XYnjAJUEZJz-FK(Pz%&2n_#*x(dA`&Qn}`pR^* z3X)gDGM`Yq9RD~K+*UB|S!L(6>V_6u!!uvNXV-<Q!1s6OW%)kPVt@cdPyazu2?Um) zBOxBO3Pe<peu3L)=h>U%X$OJmX*yYXMm_v=Im<|oP#nDQ4o3J*iqHs&$W*YAIS%bo z@bVGO)AZx3DcgNBin!R(EX-hP4a=5g=`899GLc-Ko;xB!%y`C`P^gwg#b`>EDHcv< z_$Im3AhZ8z<Lk2-tXwR&2Y<{49n!VWuk=RdQHi4|m;y*Lsgrd8YFn$2ZA3HcG_5Mi zatgRWMGt1TS@A`tld1|!WnOlN9=X(P3y3`*y$WO*hs^l}lU#Jbxy=uZdL%YAph^;Z zxp`+-JcipaeIMm@&rTO4BWrsn4-Y2&*K>{v=LBkmDpM76lW%yR9%~DmR2mV6_!LQt z_eZWS)@o1PqB}7C(_6?*y|P?)8u0m!2s-tMEU>^7cBomncQ!0tC>$kdmgj_oE0R~e zTJ2lr4d=-HLD5#H?px*wMgpv*559UIR~c5XCp{mga%YzA^zX5DFbb)_Xj{SANp@k( zDzh2lhJ2putnl4fdH&Qc3;isW<L09!q0@xcCswwQpRYHytPqM^C#$-q{b%|?DMI*3 zX{$b|tswZ%mxFFU<|SN)oQfLSY`625jMJaC=A85<4eli!WEb?`r)Vaa2vZ6>SaYH+ z43u-$M_ZwHih844cNFSw9vToNMT!er8(T1Qr{thA8<{)yJO%p7nw(&sMGlpMW0>uP zL2=3;u@-TeKaV5VTf07vY8=)Gm6$*xL`$-Z@tZ9^8%G-LCAgIj(Ty8o;A%*gVe2C? zz$u_`APVNa^YnR!=%E3hZ5lUa*ut#Zrrgvi-7FeOTd`_D1bacZyGpl$sP0qDb6s-x zHfDkVrkHQ9mtHO5Q*0RzQO6Y&)d7GSzlIMl3$F(ii|ihyfO+4L+fNGrJX5OjkKbz; z7@ipMzT+_1yPR+WlZC2n55)2k!iY3iDPdEV<BPC+W6khcb8P>^{au_41Xxk}aHAfK zhsaN3l$UIb4EM+~dWRhIxz3YBnQiXc<w9K0OZC%}?FdO?J%V~AaY&8BT)gr%6OL9m zs`Thy0@m<(d1OQeH>2_b&sv^$CZIPw6%ZC}*6qMPPeD44AYVA;FH4gAsgdQtK_02l zRKVYQpfu4y1#pjMfPT9g%mGwtD)YE#07GdmGKk1Coq`(9jYOObEnI<ZJ#4y2AS6k; z(II&Cu=-|kRHc`*RG_>V`Cu4PmD*`4>9AU+i%1|W$i&#tTcHpC8CI^Tz{9FFEL?WJ z2hU`nYmlW%6tF-y7~ir$+?FSdawI4!;+h{_1;$iLQiz?9$0=XJFyV+#7>7SMa;mPE z+scC9Z=hd@HLs4OPEf>eEt*zeclcu|mYy*FerB)`TOXGS)da&4sRO+bySsPlPu;GF zZ!9e{JRj?&!c`a@Ymu6XNym_a@-#Q^hgJdDFJ5jkl(<WH)5|cU#AE_rEG;8hkr3#Z z11?Pisi^3|-Tl@Cul1`vWO3oIq&ai+@IFG6h1|`k7k}z;C46EjSp`l8Bd4h*vT5<X zyCr;;jmhCtg~SV1<bg#gZeP%6fKg(V=`h}CdS>(xLsxIrI-+UuoxA6wnsp1K<2z1e z^bk+sUh^2mL_oAMp4?1b_jOAO0}EyeZrF<NQY<}j%7_z`C-5e(7?jcN2WncpjG<C9 z0ffZSU3Nfx1>kFCQdZY*O97Zf>Wx*<ay;nUn<X&E`#@KW=E`AV{4uD}`8b_k-MHyr zF&d7lR}oH%uRLkHmjgD%S0t!%u)+JOr4~}od=`1*2kq56a_i$iFhdk0y)sL24MZ8^ zz_Y#Ee15-#dDf3JlVAZ5N~yU*g7GUND|Xbf#5eu}<KDaak8&ewBDOf!P}OD(a;u_@ zQK14tBef|yftjV_xPnG2Tpwn|M=p~#%@Rd8#42POLAin?GgPD8(UUg_rczLYvsWNi ziZJF_Vzy521%h7ZmEI=G^>*%}Fqk(pm|*J7CDK1O;UMZ%Q<2m_D*n-)Y##(uYdqFB z#XWhZsIU;eF~^MTt%b?}w(_kpB0}8wOv1I!#(_iL6*^Z^*5|`N{2X6M80nOswBbrp zk+wIRXSmm7G6f&xX8z&g<s5xfA^}-S6>_`2E!VQrkZ_H}(becP@+HI2?+P&f@lOfZ zdJ5n>1rN?U344D!me<2Op_3#Ndft(2w<&KOcUa6*Io;)TI({SE+Owz3*WRy;Oz-BW zf!A{GEQrH{mO0y-N(O+1aP#~i>X98th>Xm5NtoW>Brp<3N5c9K3E1MD<=WM3l%m2$ zIK~`bviv=Zhe=d1k)Qg!lz+%TUfXP12JI<Xg^jR{A-`e;h8K-D2koS}`hN%$<BbQX z|3kQ8J4!O)0W9O!&qFRC0A0&niMrp0JScJ0?K_aiuFwnSx6y_rcAD_8#_=Xk7lAoU zEH{xUJr+C|apPGWfZaB>nKa~%KTQ;2{s8D8FtTKnG%Fqd7b$zB!|Ny)ohXma4kBUa zm?(`ge=z(YtkP5I^;YhgWN@Dj-w@@=Q_S8fPlxBp6ii*M1KuwI)9nW9cUQ)f22Xak z#bPmS@PO<v6kxXAOV^wQL)_Y4K`en&MKoc6XgJDTtw&Vg#RVat^|iA|h`K{$90;bN zcbp@VDyFEj0`{&LQ{#ei;N{c<YspK+E?q{p3D}EFHEI#Y=3ez)T4Y1L=yNy5gE=*K zS}323oXTj81nu(|nP8DB1|=dxt_YwQ(L+^014gX)NT=lmYt9mRG(vz;`8|rH?0U;k zzPA)7fH5-_2T0{`Pf{<b?Zo`Ie%kTY;_KCeW$a`ERI79!f6dOCQ=|Spn8$1^+DEf? z_3$<U)i30T-G-x7ZSnk6`?2$+?Dpl{agYnas@sm`L%^tLvslSa`T4=!duljmT19AV zc)9J@7T*{Cf2P=f9YG8U{N(?2fd7XSyP=(dqw#-Cgf}ZFNDa^<_&%$FJh;RxwRTOl zV23oM$ci9BS~zYM>L}5kZQ^{nE!e;$@SwsHy0yPM?u?*A$FiAY@wbxRbMF^9C*C-7 zW+voNyMS7YrI~_$sk7I|nwoF-YG7rc(O{Y!5axvU2fIBe)P*qqKDQlW0D&&Ac$9}s z4f`#x9IzC%e$v5lq^)}WA>?;wrN^gs7=l<H7?Y?6@?06{|Mn?IB20yb6a*DdI^caL ztqC1@@djX^RX-?cr>WKyn^S7xnJLx@cUFC58$m<2-uQ6PQPyTrk4B}hw#J?@Z1GjL z|7SGH8nM9j1Hikxo{w*>@S##l$klcOAWN)?I^tCcC!xE6u)=u@bd?zlSE_7nfTzI_ zb_7L$B9(mL1!nGOcXB*ctE!}2+_;OB!ujgbZZbb^I7=7noqp@d=>J`la&)h~HUt9z zu!Ro*fcD>#5KiU>_9p*c;E<x>VVymMy0bQ6_osWfiFcSV%z^{x@TL}bV-KSGxxTf* zEoaN6zTmhji8>+*k`R*3W(qY{P!p;ImcXGL2^6XZ3QC0orCFf_s`5*rpi-VhGeT0r z9H5yHf#S-GX{WU_FAKyj+5P16c<R0T`FJYJ;px17;<3-laO&k@@?rUc>ptazQ%b9} zMTK)giK~oN`l_7zLRzQ!D)ee0tM&3y+Ih%}Gl_{QM{;wny}Plf@pFB=6g7&QHtoXs z<3#iC0RC-sal=IO+Zw26yti2d9t;B?4$sH=+^*nD-Dg;YIj4qf=9!_b51AdDG1$N^ zQh=f3;AWeNr6(0QnV^wm_IiVZr4lKOEuwgu=%X_VH&HqjOnSQ@CsTHIxW5{Pq^co` z_p<XZC22EBg_|gSfjEaTewa+*-;@_K82;g!2Uuw3XBv47^zw2G>z+V?M1>Vr@(_et zHf{9jQY=F1!8SA@g9M6ACTr*jsEFh!z`7^3xyZB8mMvCjBnXP3HZ`W<5%r)J#(=-W zTa+7<B}<tssC1SpmOFlJxI7{Tu{t|5M^J$cH=fPwdzf>BTmhl5s*4Ih^~yE*1BAgL zHkyVm#~{}82Uj=Dn};gVqozKaGpIof2qNawC@C^g_j^o$hS;k|v*Pw5Ay%%0^Ow<A z?!gQ1DOUngMfUT9^aL)r?5ov8Do}xBi*B&!>oO{1$WqZjmPMiQWKEb}kQv&G)+ok% z7N?I3?@8-8q*Ci!G!s!%fSGA<;85!&N}wnn9ooZ8qs?WOJ0gcVQ6LwyYo1#Lm;|nT zNRvYWBNtuOYY*b26yLI{69x-$WQnu^t41!jpIesVb_iFaWmp{4AfQjlYG=shdxQZZ zD-q{o8%-IdS8^&I?b~BNKqL%vkmH1?P)ZS(=0*wDB}%1E7QnNu<<do`a6C})QxPEe zFZGA@s<+@yqcUJ6PbC;vWdG7+UEk#<WY)!!t({S~XUdL4H9@sQM>S>AmKWN`(je}C zv6y!(S%E?4OmK&xEyTrUR1zBV=4G<p=N%%@;L1xa0s^ZHYrvA01T}&?9530^rW_!o z5p3ZXSp&lCtMYHA2GXG3)b{OmEZl?%n&!WWhvxtmAQ7{bTLiyjArB0wF5xFT2R`#R znA40?Y37IypOx=$?IMat7quv4RvcXzhd>EtP?jupP>d)dDNSl=n?|dsHIgSeM{G(T zD-B(tOu<OTN&ic1Vu`H|Yb0@`2QgfKB=1wCN2p#0>c|L%ZbYiFZ3tCJPaDYI2n^95 zY(9=Uc{@kgMNNw+%Aj@ssEB0M<GY161`bRXf)86!>XN~9zh+9GlOcA9oqS^Lb6l1U zVd@)n|1@#90JuuwJz#WX%?gmUNWs@%KqP2soH{<F4HeX(4Wcw=s9+;ci|;?x&!$UJ zN(NLf@*+As-1G^UzFgD;;H?y=%GQV4uS5w4ZXn8B5;}7F+rCW^vy94Bzb&4ctxt8Y z51JK34U(UEn+uOFL|;p#_fpRW8Zvv$7Mh3RvOdHY#g(#vs{lq@33ax&xrHCBwh5Hc zzMJMD4W>=tC50X-5Ss?aCTy3C^x=tOfK3gQ`GZDFeD}_->ZqHCSl}hre16~yVv_N( zH=O*<!3V^)0@-23ZpqLPbqF_L<6JnqTPLb&A4M7Cul}gotkpohl$2!JK#nxXBOsnc zX{39@)=;@@^CFPj!Gc&?AgvV+*d89)#~VH53vJcXB0_Tss6E}lmrYJLQA=>N244S6 zA0gy3!)4%;4zsp+O^H@F{VZ5#hWRqH%Z=d+9x`ruuF&zf9;*K}(;f}cEX?x(ur+`X zYfT`-F1Yjy*o{kkTpzHsaAHY>cV#-}B3&Z@ces?8QoA&WZ+TKk=bT<g8!(<>VF|q^ ztCNQ@H8FCk&gP^k#|MN`i5t&$7VT4Oe_Y1ynD#IZF00t&p?hsGrfmoh%WvZ%9K7Kn z^ReH$)HOE{MOpJ1`3T(O!VBuYHa+$Z+cX*2>!0@DNp(1|9esKJJ~Mo-WnpeN8<>8f zb2Bp-e(|?iFHzBaLoY;MPzP4->3qE}y2CSRw0xT`-&Vg@VR!dw&(59C056<9U|TQR z_%?S1E6pO#m3=X;Zh)ih4&|6~k53Sn$iB3O@zwlxOb1`NRK<=OD$;rQ9b3tsF<)TJ z&dFmi7mT142AlHmS1(S2K75ADUUo3}`d_r7^+xOVA${X-|5SzB8FBYM(|=tYAgvfK z0_TZ%_veXs!I<)q?NiYQm=SMb@eX%?Fha5Q9wg6U_yuWu*5%H7AxZMwxOIGlruo*f zuYq&c1DWO(CI6XrPvsMKPP--C9(ZQ@q8jde!N;I;<h$xW>atHPSLs;eUf2SCk5yPq zU(y)XGx;!!Zp$-kyO*QyN!*#F`bdL8rXR~1J%)rHce@Mh*L)htn(3013c)ws@!Pkk z!++}XZ-H5TPNRcU2%yLL>kAhKL*@5tfJG*>M+?DnuktOz;n#)X=XTVl(CPFgA8u-o zdxfRN%t08po?!$BHLk0==PlmG{N?pE_lHU9CY%&LCv~?EOj>6#HjU3$MdRV^L4dtf z9qXzs&L1sGAQcqxU7d4Lpfr&iI`BR%mB11F?in(DSR{8GkZ)Ink7l$!txjtoxgZc; z@IFNFzCNv;GGpStPPt7hWOr<m8!iampSwOno-ZL{Ri1mpKzck7%5}p*WTbdpK*VrC z@O>W|QTuxGzV>;ddUpr_*&%ox5H_C!D#eK0%R0biV39y@K=L@Bcawrx`xcfU@&$kf z1negTZp?gGE#P@;{tDy^1m*|rGJ*G9yu)i`ef*v4T-p-@DiR2c588DC@B4d>H~Dwn zMhw9^p5T(;B`#>Us9Plv9KY$AV39yzP{4k7kLN4tN$l_q7MJgN6ReD{Ny9{2XTrQ| zZSE9~GaA1v=HMoQ3vOzK-3^29oZdIvH~LhLmrL5moOf7cihbYL-SLm7>f=lEfqTj8 zm6ya&NwP8ld3vGt`7a;v3gwGm<5!TS6mUEx*Vw3~nTig$ev-}ZZ1G6Vz9AL5*S+%T zMMZz{V&-y+El!af^+!3x#9X{%=4A3$6fWHKUtWGLW3>;L_fbi^ID>~?mvV4c@>xZ{ zwHz0TUiEJ7W)&5!*AAEC{#4Zg547HE<DQpd7135PSXRMJ!pb<gluKAfXO<0@YjM(h zUQf>zY?m>dM{x352L4<Q_-U-fB3d)2U)jz{-<JOPv|P4?;aop6PBRtQNyt7n){-Bq zXt;Pqf&3>02Qf9b=5~m%whV5yLo*YPD3yOu*IY#|_J|#`G!2?#9J5sU)vE+<rRvtL zA?8pu9!2_bE9b%__Ox!5Ty}m7xhng08|vv@cv6K@T(w<fIafwmEODj9Z<53;)X`O( zrkhRJ{roVk0u&+=_g?Zho_C=U5>!rHjIJ-U;E51;8HQ91D;2O30`oVBB`KqEg@Vm^ zJ&O?bFXB{wA4$>XOv%DSH255@wdlaK3s~f@jPX*bcQP)_6>>pf2Uz(r%Y5vBcwRq6 zb>?JO%qD6jUK40Y?X+CoR>`^|QF1Ms5ep^bEK>QSao0wSxf&sNw#!Y<($lkI3noYt zQ5W7{7AES?(0gm_P9o&ADmt<>GINS5gPs<3PyVcWuIkf@F{7X@C+bOeC@9|A_^jQv zVkP`8=&;T*NC}ovkrXwTiX$n|zlsRAM30E1^0z6_wSZD;v<0JIULFR%I_t+zZ9Q(q zUx0{heauoa*V{~{SCk`a4}4{oBLC2~qgCv{?8WfV;X+oe`@Ej&V$oPLv2#{`S;4u@ zXK!~Hkw1?gJ=(W|z8`Y<h<YoTj659Im~Lk4{tRB%HkH@dS(uvJ4P9$UN>WlTsMKt; zD$;LLE~K-?vNq}7)3D8a$2wdhhokSwGHkO;tP+DRv*4xh8%W&wkI#ijk_DL$Gal{Z zK*5jJ?i+Gu5rd5@w;>9QNvPA72D;I35~NOyvYx<f#E$q6)+D4IY1QbMrpvX$%=)@) z-$cD2BNwy~ckHK*vOJok7roX^JSYz%hugi#Jfw41UOIXtwux3I$(epjq-UsRWW=$S z;5Kg@>idLORU>R1Y1}gio|_AgTOR~G%HF|w2pn9f%G`n8eZ0Dhy@zb)5GB!w26tq? zHS&YE$>){|eDainp^q)Fu&aX8rkXMTHGU@1BbtH5l+WDO__b4C)9<4@FvJsnYB$9Q z`4<-B;Zwzp&5gL)?~#Ai48!*^Z<sh+BxZN=AynDKRCEJiAWH_F3FlgY&SSKjz4yeO z?fF!oZoZ)A@Y3&p$DjcJ+6blX2x)}!69N6J{I>|m-q`Tp@ef5a-KRdPyox@6r=2|} zBSM=B_{aDEk^#B+n~+#qX4f1cofXz!5<k`NPtKnkEp|H-wbqK1=W&drm>z)kfR%tc zB19|lFF`#c4cFWgM`+$@as`-$1Mihfmd%<qo-<X-lqr%WiaB|lIkII7XU;!oLp?ur ztbdrXVnmDP%u%3182NsFX!#yqy?OIyPP$0Le6TM!^md-!Ty+-4<(f$OvOZSg{jOe? zqV;HMF3Ji#X6B}+Cnv|pBlDtP#@b%em8!>ZpuY9M;(Gu5yaGN9SWq7U0t5(<iAJi) z>+}1kuLI7A1I|EQT3iqOx8cj<_hU~^Yi!TA=ZuHD8m|kOawP}M<%Cp*FzamML`O$Y zYg5V}?_o`^#P8Js?W&v&;_rrMF(b8vQ5KXh0{O3@n~PR&O%2=U?#H09_d_MKHk`Yj zWw*cYm!00{(QsVbBR+OMb{nZ&7Zy4)Zeh))2RMUcthFKWJ=}SBn{Yd=IU6lkdRCZs z@|Q;`qZ?ULlb)V7OutL7)<D8wai3J95e#w`?j(10dYJ;;a-Q$#ch4BoRf13RR|05z z5$4lcQkrV60ehHgL`tr^J{8KZrFUNEpL}XLRx_{lEI;C2@ti4>#7l0~tv&9*6Bzlx z!bEpGHttfLra4Y%PO@A18UB$5qoy@$)+|x7q%mX0&eVw`$M%QZKistjS-B_pX#WTZ z@IT_~<KtuFGp5UUb@b@zk^bK0OZWZDh_w2FUTxTZS?+7UI`3x*+uIm=gfLNpxF0uO z+{i)docWJ=x19)NO2_+iu5Ce~dODH4-LAadNI}8`w?!Uu&?|I$?hCJRt|qA`KZ)Sl zLwlSGu0Oos9vrqEr`uH<)Tz;;{%McIbLLz10X!zJ{&RWzQycI2@5x>644dDpw3%1q zcR^VD^&br1Pt|XsSM-58d5Rw*Z9CDdhgVD@LA#++NY^LHi=hMdZvun&bUOA-z<Rr3 zZbG9B_K}VfxpVn<Yi_N>pb*gW`(JYd`2TDpixJFom1(M}C@ILvNJ)s{7|NV1xxSLi z5XOrE^nJToD<X%_<#Iir%^)7>l+C2yljKY!7L7!}BqD)`$LICDSuG$A^BNF^#oBVi z10IG(r%|t#PXHQ%L?(R_k48W&yzsf%bzJSe_rAvTb~C)uxeUCP;pX)7U&E(Afo}5! z)Sial)#C+^TgC77%DZ;h?Q&QMox)<Vn9XKT3Z2AYFzEHZoe!BnqtU3>Zcq#vNBO0Y z&+RZDJcdLn5s$;57(9wVDB%BbGZ!=hhs$BVS+5W@3`0B6S#!-$tImFb3tse>@_FCY zp|wq8lgbv=EfRakXP?y}t?k(s={a0$5O*I8aVf~x-Lsx+5$6=#={dArOGp<C2K|P5 zQzf6iPgW3D2L!?i9%qzAf7k21Hvq>~Ah=2!1We5u#A*?C{fSv0y&Q7Ub?w5x62Q{` z7k~weWQc5@BA2F6lNwFN``4iER$I>Ae)UQ<{&RQgyaMa#y3&+v)f~mFtK*8*Msv<V zJ>17hPu7|Vbfdk4Dt>(HePHzlU>o~|s^SHgPdw9Y?Xuh5-`^LO9b6DAEnL{x=pz6S zA`8__WC>!VHxn9lCaWwon;T;JU3DQ`IyH(V3!Ydt^GX#DUwwlVTk~DfGs~A7xM?YS z`!jB@%9*TjUDrW)VCb<qE%&d7@}0F+dz~s6Jk?<>HVaCiHkJgi)fXL5;6uEPxE7hI zre@qpRuZhNE#(h1z{(Y++FDvwWvi_ej6XqUDQk1CM2z|H@88Uozhz44n<wJSPJ#mX z)}N|Bd+u9ow6+cFR9jiU=RPKMzUR75Xmora!-1NHO>fuMG*tKtsMIjiGBPxh!T61j z#aj8$`v*g)Uq&Ke5RpK_-QMBxx375IFK=iw;I5IJZq(UrSIg%t+*_Y9x36?U-69;e z2l`&qX5@OGKR~{wh}`dU@o&C{bZcyF!2Z_g9qaLo{cUPP<Foy2tf4kTwOpBVcC&Ru zD`kbSicCmISepNiI@)4T-@bB6CHL{0{Nv*77wvyhd|}?v$=(jW+zRYu>xcq;pHih- zty&=&a-LkFSS%U=1$v%TCY#M_F&=!DSR$EBCJ_m8mQW-bjrL12_%yyiFc=IH3glES zIJ>+^At$*ofdurI@hZ#$HUSDaLwWJwGB7ege>8P~VPr8qlnACE#2k=_Fi?hgAf&Kx zDcKo<j|{FCP1uO3U;K{H5UwlL`LNgtEI)+`0hOgmv!q0Oi`kipcv<yn?rCsOJKnk_ zOPhUokg=J&>&+%eFhz$7!MX*}OLD_*T^%6DD99j3G2z`JKO9NGK0b4}I|~7N21NK! ze!yrQC`a+2AT=1QNDtZ|At}O1LMVhDutNf5p#8Kx*san&@(EtKDIq6HMn_SFExhzr z3D#MA&B=tuRR!_iFw2x`1FB+v0phyV*=M&@Ep858Hg=<RPO~2M*V&>e+EdxX)2s{X zOsJ@8o<g{CG~@zBD58Tb9Fa=Juosa}lunc)tIC{qqf2hc&4g8$)7l<J|DOPyhs5jk zR%J$3msGbVUc3o<_m|`;S!)obK{^Aaw&ID%xR=>N2|wo4Gwk%egI4a6C!tUj7w`FJ z>Y&pJtEs_iNB6P?TjG}52Ics7L1^ebXZ_qNxWXG+ASAs*N7F2GowkF|{=_XmS}A$1 zHomcw%l_nTAG!*>9!jDJ15ZD+k}bW*_9*nVb>EcD6+E^}r0oPQ+8G33InMxqL?<!X z&#VZ}uPR(eT`QE?XF8}wudsC!&;zJ}(Df?WKGlFXC^2!9zwyCuQAMTBEC=;zgfKs( zb|X}M*_CQUb_<2?q2_os^f=783!<Hd&s@V3BKsA-y|EECF4SN5*&wsrzf9;Jgzg>y z|27NVJqtf~Eh@w~qYS&GOvVze%!uXc_1iVGE;&#h@;$vM6qj?62Nxe^7Elvmwe-(< zm7Lvo!I@3Mz>xc=8RaepZ|dQ8&Q<-vH0Q~t!^phHG5G<QR(U-H{GR`b-|f_s;Q=Ct zf^1|g%y#fPhm?bTZ|kp_00inB=P|j{?79!=)D(=|TnWrvXVjbHbqOr!V+46cAR-9U zqrVl~)rWvsjQ~SkOJgDSzV&29HmC-~Zet1n`JGk((qnX0SAKY=BPm)ZKws*IjdhF3 zS-97?Gr}<=egWMKxDVi!k#!+IgT^ISCZOBnDmar*NqvCGYZ8LD&>V<da9dBEa)h_a zB6_T9`W`)w;AL$wwiSh`&dC5FkamJNoq=}xEAY}X^{Rp`jc+ipW5X?MjP$6pYjDAw z1A!1JxDq%slo3z@+5mWatmb{Q)^fO+JI-==y_TWc6W8FL(7alLu-WESEoc(PLtO7j zsxN<WTxi}!j=ispbZdMK2zoswL%f}F#`9TPUsb#u1d|eWEpz~7;3}BrXCM`{#cgPq zX8v%<*P{K+cl$BW3SEmoz$3uZ0!M-K!|G2JvTxfa2t{K_1!=-MA}AT+)0~RF4#uID z3Bv5cww@jr(1Hvv>7;|P@*yT)SU7)t+d(|lh&S@)!lLi7Fa|QvuS7w$4fJV@38RAl z{;?*k!4}>Ekmq5gj=TT`sO{lR4^8g(lW;SDN%3qBXtDqUb?%W6<<mlNN}<Cf#A^Ir z&L1N{5l&+W4#-4@f}#+Nf70nKucT2AN2{USK3#4&U#J2^;@3CB0lJRueiHJZyvFCG z=wb=yGl#viHhh5PISgkA17k4$NL+ElN2ZtKeU=EyHx6~2VkC%%=+kFDZhqZi1+;}D zF8k&NT}8(At}K=_{cwImCbc^egy0ruY)cO?5rrXZc|XMDY&7Q6>((0wLFRftd@FNK z!9i`SE;8Q!W}@Y7^_hr;o5ld``-PbdA;i#s14-m}b47fhWyD0nlvFYbXPd}I#dR&g zR#`O8KJcpDh}##76GJ;Ol*_NvD70t{Rxt**kcw+uea4CbmRjgTuAbG?=?#P!05w<l z{MhpBe3+MeM7uv9{(E*$mXyK&Q6ZTCNFkUgm;i(jq(Wfgv@<po&Qm9eKBD%2hZFz* z|0+=8GZTE`0sy@H6y*OFPPp6tJDLD|!3E#{zjybt8XL3!Ym=|B3B8Aav&)Uez0aS$ zQOp&I#{Q)iZat7ABp>(hW`|Q$x2bLXNgmV}Tn+naA}%$g@*JhY7?rh6j9DJKM_+av zlrRAKGGylUnCS!EPSBVu8>0kD=KL9EI+@D$g5`QE1m7x)q3QrU_YTz>`pcNUzgB`y z1MB@s0OMezN-$6it(|50(40dW=wwzgSVEL+U{25So*a`xm)P6e4a*Xv%{Q}49DK1? zk8!B~A6w@bBT5uy>$Yv%w!3fJwr$(CZQHhO^R{i<dUs|rlgZ0eaw^&NtLoG~mD<_s zTSv9sE!`Av_R&sy*c-4eml#oGRq-e5te>b!G$mfiFj$hGhm~HZc>Mtov+&ic_svmn z@u;=Jc0+Xx4A%a>dzm}-LW9Z^yc|A@#7tf+Ww0xn&Ng)9u8fa*55sN$X|iq<n9tnC zpv9uum_+&IWwhvXM#mD08cP><@5VMms($<c$8wK+alqME8d!s_!faP!^*Rtt48f=| z0LAxi9Re=Sj|!|hcHCKN^2nkk6<D>^^Hk}gE{BZy9o%b^SjocUh78PKe89C+tMQr! zYbM8!28|=rT=bfu3b&Q58$jI3Ghg)Qz&FYz+YKPeEh9h=b18=FHGtr^FL`Q)SWzUm zGy)#aMV1BKkeK!ytTVzmX0^(ckLCiw8s%%amgD(n%X28&^`*JTug94JhRNUeRLeGx zm=@pjHXA4&m5bV2rU_tnSKEI@$gj+P-~%!T8Ak2cG}Q*J-7ntAG}(H|4<eI1a74aA z{S9e}lME~ANo@xAe(tbdZ`{4l4VvzPV5#6tBU-g>80pejY*D~8z}6HQh!^yDZlRmu zDwJk3KO?7JDuFxz<9-4s_f&J-;_on(yi2B`?RFHE1+`o^s8ZlRpNPse#C&4Ddb6h@ z<d9WmDaO}Pc)}S#XQ2n$&Wb6*xaL*{_eh}ir%FMdl^dtY*RJ#x--M@9RbB`ZqL*Hp zlO_IVGuOwXf5<1(4U`t(&w<L5wa?=lqdYaw&u}*QvYYh-*v{WQ;6Vly)^2ztFb8gg zPPTWVq;i4)%|05P%IqUQzR6{x<fyFN_tetRV({1F^s;Io+-_0b+FXk@1Nn_W5c?AD z{g$9Vs;2BL9C>(iw=&av`+Q6)71MNN9GZkyyBE6WD5Y4nDNX<IrVTaczIcG_sE6fg zxazx_D|z6xZfL^LmFKt<yyA2QsWMbw3APhkH&>c7MVqmH{?Je#l9k3+*XzSiKuM-` zr5<1OS2ph!QbO&AZ&ws2^2xRd1rDNrA{Ws>&>h|q)_e_!dqU)|=vZVQVz)K$KQOU5 z@ZDBmwlm?k#+CqR*V#V^rOudv3OvUq%aYl{Btwsi!L<xI%ifm@Q^ZS!YFT3nJO=|` zgu4)+7>vDZnT6h|rr}vj20P*bk@?OLoDGLCc4aqxrrvJcm@h$v<O3ob8+p>I&ZyHP zLzlr$+^4P1Y7w`-?oArd=Zmm*2~*a^{AZ7)NC`^ugHoclfZ4Xq$rmVRYURyR*;A{f zzu&>Ic)*!Y6rZ24B8yc;`5>uLF5*8nv1X#H5f<^@R`qxxA1dI8mtqDuycm-j1AE62 z*(-cp>WiZtz^uppI}U-KKY?9+_hp({{xX|)bA>sfj)nu5L8#zIEH;O)f;bzhT9ZLV zi}D{13I;)G4-r-?p71Zi{1a6%LrDOx&&S)g9#IcPjVaprSgZS%LS=lrr9hNrLM&U} zrBwZ=PvEjT$-@Q9vG%e1yL}W1Lf0WB`pyJc!Gn@iA4k=<i3h8Fp(qX;_yRj;OT$u1 zTwnU8z+s17BxO_s-P+D-q}e!|Y3Dc{iSnHyr-AHSUN-OaHNfAbN93rYr=xW%zfI?d zpkw}$G;Iy1zd80;9VVXVe|_7-CI@0p*t!iDHmOEXKI1K)q7FbN{kLl#GEqxegOYXI zqU@PR|K6uXf|D$<9J52jO8bmN5tjld$C}_q?pGn#B;3y~)!%TBuQhOCt7a{@x(`*y zHh8ucK^UEV+X~O-9Y&Ic&NZB<vO$kXJ2D7~qA_~!Sq#S0@)0`?11c}!1H{1aA&JWA zq{b!Qf$MFG_-M)E9r;g|sT_RgbmY*dUKOCnv>(wPdt5dF?6HF8z2>aGJCX+`X$tn{ z@A+3jF{bbyu%>%aI4AL%6kY*jrt+z*3|B>A8nT*Lhgl6xx7i1nLxP0QBvYladI^;l zF^$_W5ni%^(2rq+$OL#AIs09jANtUMvf9u?*87S|CPs&Jh#1a6u3VUWb~P}IfD}N@ zW0e3(r6V0;!_{<YpBhw-UovTdrNskXh4FTe((k8JWH_eVo(ZfzTY-HHxzbcFExA(S zu2hPCgzGoii#Bh9Jsu?ues1|3ZQw9%Yfr4_@nEb(pW=r(dux|35R{Mv&4gZ?tIa*b z9t`Cg?jjXpeoWHsPo#gK`)^D1{dPM6BjEptjv)+T!<Mg|pqysuzL$|rp)y1zX~42^ z>AFbwk|3mNnlNQkdA$mxI(D~bR`8XFICZ?A3f1HBKKjs@UI%m~d&QXq%T<oJs$O+q z>ArURX!p!%1WZI8e#Fb(SIBD$((Z<kqaY`_wwAouzB+T-SA0MzlbF5L4H<6-s>mT= zi)CnDB<|SUVvF`TQBht|&U+=C+i!QYy@cK`Y+NHLI}0=t)BL@;tIHPcb=sT4kIj9X z_{Y+sU2Di$)I728yQ-*l0D&*R&sh3+VsLeH5IEPTWjKI_t=uggh?`R@BWqwQ>m|z% z5}{4^+xx(y1O|X`%$(a-`PzLYeV~K=DW=StQacGKZZvOL9Ed67B7Ps?%)_#JdDh!f z|NP^v2~NY#2;LwE$V7Y#tcpEuElJ9XwL`TI_r{w*SOdQKF<QHX^C}b^yiF<p@`1kV z!P96hoge%pw*i$vM)6GW4dPfOuY+z+G_Uk^$*?`_sE-RXI!YNQ>Qhl=cQMM2JR4YC zRJ}f@Nm0_zbh@2X0vu&XseRJ3Dd13;%3*j%jH$*mYpg!yfo0x$NhfrU4D6<;>jq<; z&koG{pwlk60?4_fKyY&vU$ZWZaa-}D0Fn?QamE>SBeq@sfP;R?GR6YrH#^&dF3CIv zf#t)dR@zq#v&Uz6E)6@ebkxfDI9HPF_|oNwPcR!PWP3fd65OBk_SuH1i2b#Qi0|hd z%L4wYy60lh9Mvan{;?H@l{tHA4*w}!{eggmpeGiej(rV72Rsnk1)rCUT;EO{jMW=u zssy<C=J3w$eUE2*yj0PTWFqXY_8tFts4Qof<-#E`QGq?P7Ww@57N<1^uUj=MWQ`l; z3RHePaM~>&%rLVl`57cHG5$};ij)rtEswrPx)CTzRikQ<*U(&Dbzw*SnsERgadFRi zh+!X8f5dHHvf@`sW|0v}>;=8jjJw+bzvfS7s78^oU+;lZC1<3@t;zeiey*;y9w<4P z0u!z8v?Y3)F55J7RbZR4x71;o1*XXf@JYX|>V~Z|u2tF@^XXC)wjHqvcsf3GA3-Bf zdu4$YvHN_JYvc16M-LaFzV5h+d=Hn26qW}CkB1EJW%85H_zLo^hgnC?4d+Dwi`ze~ z>|G4|SoJU(c=q|Gk2M!aAk>&iK2IYu8U`+>(M+{r_CD_pc+)X1pj1}|=WfbC<jAK$ zT&)G{WFZjPX(0&EuLPoWmvR>dbDbW9ic-b-U8C8H*YMq0eoe`A5{bJAOP33k7$%LT z{rQ*VEepTY42LFY%n00}0C)Om0+y{Qzoidf*bzK8B%p=^Wf6D>(dPT?-Cw;#uS-05 ziRxUFAV@JsV5sCD=x}YEe+auWbH+Ljfa8)11h`|yPb0a7Kf-V7%x`39EXz#%<=|(n zJir8Mrc9agK$DR+i36@Z0z&uTYmx9ikcN6%5&2*2v8D*}8ve)GLpJFMaLKeZ5Z0Z9 z)m&GDTqwYbJ~H@j@?2%aB4Ta*x$pex;~!$8E7d}j;eI|S5%C9Kx2XH{t>1T<fI`Ar zJ?A#OBW!D7T_Q~WP@A(tZ?qw|ZMwY32R97)whyTVqTPTHJDY5M9)Qy5U0~!75apHk z6ULtDmhgp`n{1uQX^+8`I<YgIm8K4<H^iSP7^1`EQ@1psSC{yR0C@k5Q53YJd>11@ zvuXve0DBJja}b~0ghT|byMNmC)NAV!kU0e9ZjPk1A)?^-Ws+tl2h39(Y)(lk=Fw?f z6O}J1ED`5&2=-<}O~ZB#rM3~8li;K~UUpd-PY5at)tGZY&0r?TQvng42Tf>pML<E~ zZ5CplVQiWWmWa{$n+Q&=G!i2+yo$^Vg>}qhdS1*b_LPA_vVE-Ni$0|@oBIAQ;3XK| z)!(!oi9%W)e47r3{jXTs&ZvrQvXLRLSKx?;Pa*e%-kcT*Y3Dwa5Rn9L3(NG%97RcK zGr{!H3IWJFN2k{b;2EG3;iw)-Wq_)QQf#)gvQ<WTqql-;L)FWpW#V9cd-+VqG6V60 z--u94c54?A2mM|rk9-z{hKFf1wXjcj)&TZZE(m@r51TN<M*WWwk4U)DpN>@iwgio4 z^HIUDP4H&>+74*n`0on7oGFs&7s+#iykGHXV0lDlnq*w^Dz1{p7cPDT@pgV;3kC|} z7d$qZ=|ZJXLmVW_u9?;4_ak^oM}>?CdS2O-yukjhR;z!!$8Q{vAFVq<?bz5{IXMd& zo{L5*@^~P|OzY)`aXv+x?XpSYrLhHq4WVBvJ*9%DD=27wV<#g5Av?Y?FbgL@b$L-7 z{aySxJv$fgID?kF6(Sk<2iC-kCx>I;H&gX7lS>kZqRR#Vg|C4XcmY4(hcyHt@HO;z zSgSyaT98A?iC^`}d*Gj23C1y!3*lZHW3E+CFcO*z$(YkHM?UCZDi8O-S>`Hsk{P~} z0EW&bV<~iiT!3%J%g&vE0dI-9j;k^+v};kPQTOq6?*yA`uKq_BGDK6<N_(h>R|!VG zcuXY2@A1qlA*Wm$n3Uw8pu+jWY)v=`<3h%QYB%c>Nje}(9Bd3ZoZwVX9G{xF!D&us z%N2vzYNIB8*gNU~(i(o~FE8ND3e905F1<Ey-j==J{O@;6uS}NUmEj)9hMnlWl+psV zb~wcVmhl5U!n8}Qgf0%cpw;tvV)DP<A!*NLRnaVV4$n?IOzF%2(x9ZNu+O<)uvFhp zovcakM3Xv6=+wWz{Uzc1CVYh@U#32JZ?pSpqnW7jknG+lkWU2);7R!pw3T`20bi4$ z4=D7p_S6j_k-L&E;eJYJw<ITJF~LGY8LTfz3@7@Zb<31@i>|MJ+FGV_*hZ&&n(kAF zfG>#G54?e`oD^*p+h~>dAj(K$h<add`Lf!CDx47%y2VS~l2x|eNNKg~b1Ieg@fg@^ zrpX&&Kq2wQ9bxjhGYIP!le6nN@iVE(_P63=L}n{vezZoam_ID<6)B;=1kT>_Sqn2; z=+#AJ%hQqr?hHwTl61X1>W{(UCu9RvUc(dd##zDOBz`gkxY5t~Ykg)`j0>Uf@OK&m zRwfgHOAWoB(02{x@QgJBoiD35Yx?0CXay!o9HD1I608y6fA(fe<8RC{{kdIe?)=j< zyVW%o^p;Q&+7yBT7@D~E!m1BJUhJS)8-j1$f$<5foxn>x`9A`kzA{#Wq~!bz`zgf7 zXjv63+;}Bc9s=_xGMBV)t}arM2M+LjKGhtB3xQOxa72vnoaN0fp;k1C8A-57q1A2n z<cW4&a*cPhn@{-7pFvuY@<8+}9etMq?~`pxg_L$4s@O6B+=SEbXvbQjB}*^#t}19n zOmYZbl_$Px%!d?&I)j62chp;;6&LOEiZGIZ-^}(~Zc$QApm0)TrPnvt5pViW!vcP> zu%;8{-HM5qc;#?N7}ht?S?D=RT0b)PuO(xj{AEP9SBWZJ=f82?u_9;_+g@weNs1Ww zyKRW<rPZjxTb+Fz?3>HK457&_rrxJ#0zs<Ac$dSl18lLhc74~~eQb6kb2okxU_B*o zWLOfz+=mpW?fzH>=6iZBsRi+d+nRdYz|6^>B@M&XXD!zKZuD+OR#5vMJK7C4m*AaT z8)}VS=HWF_n=^z9VhC17N=qsj=g1>+IlA>o@rhX_BB#ZrKFCV|FxSCkH{0c_$+fvM zi3J_3%d7bg*CV+$gm;M2WAkzm19DN|_!ha!F72zaLh(#wS_En_2;tL*iCpkq>pFH8 z^C~u3**GciGl8crOF7fOz}Ct2A|zbm5o&tLjSA8Og6-Ig1Ia$&t-{baQWHeHZ%>B% zvS^T_0BO9qWK6Tl<l_?4;@mZm8!yy{>to2N2U_Yr0s4~8pn*AH$$Q_SC~VN6`Ux!v z3P;j8M_;Dg*JW{kY$!-}+|52?^BH2(fXM5+hY%Jz+3ywZ<p+2EnU5K6>A%9VQncrs z3x=WPwz0ED3(=|;kt+Hm2s5ew9cl)}c(dvx@c!_uFWTZSAqrPk<>XTA+{vgh&R6`| z!pbweV62ZN8jB&{Cetdu@%f|#Ls4dxMg0u-NOtUVH#h~;`J><!WdrRT8%C{TU5PCv zGD*z30#{uRHF%IxuSKOw)RiHJ>^4ei;{5kJ$zHsdC!)IZ1iN2LSIvy@;Z3RPmGSAf zK$=Wm2ZGrpU-VVxa|%$8pCszy;vGuEN%v^OD;OS#74b()`1yRH^ooO~S^ToQKjtX~ zJqL*m4*dYIilC5L4UO?M&kE1K_6r_A>t#<*HRH=bw8;d@X)8(coZAM!^Ig`AEy3Z9 z-P;>)!t8tV4hlZHU0ZQY%<jbbj70!fUf++Vt0&@Cc6zdW{v20*p(c8Z9bcSh^d|K? z#V7Df{BMYYqwv1_`NJ&l5JkVb@>s|&oc%LNtS6nzi`BMbE8kVEXNymmO7;B3%O;i% zzXRlIp2?B4#b3x67J8^+qECxG&<6gs2gOl7W1xX-Hmz=6Ntkd4qV604j#DxJ6)LrQ zF$aDn;0gpccmJi)2I*@KG^_RU`$MZ0k~OUS+6V78X;#<S52^c;!tqk_yg~Z+Z+Vf+ z2Qg`^Y0$)Ht77ifY&1sRws_0fj{^B&_~jp7rtTYjRsV))_8o_6BA}o0&S$=AK0nM% zY|vXOX*Q-e`OCUZVzMaPSfe83t*ksF#oK8Ef0CsiDDEJ-2w-e5@wqp`eoe>roNT8< zKfTqD2HsZ`&z<3Av?D0Yi<BpD@h`<=oa>NMEqjIy(yM2V=s9>7Hw8mPkq0nX*CgjZ zH&Ou^EIkhaAoB&H1#H)%>Sjh6KBIp)#P9d5o4=TT?H!lre#i=dxosGL5}NLtO#X5s z0MSo6@0R5m0tU(0YPjhDyX#Mr%dpS**uoY#vhU*Xpz>0_>EwkPtO0xp;YM89P26al zRLOa>-ozHcrIs}(e2Lq<M01>+6`E}t^)$pcQrrY|D?Yu!j1TMdCgce|@x8aa%P_9Q z3BE<8iQxB-(?8#_&eNZev=HDkSEFuh(JW+Ra??s#NqerIZa5gm>laHTQzEohKM6E+ zG_&dm#dsSjxq^)3M{LatgQ3Mb>g1J@vLMHz9~v}NM>k)uJF1}WIpbq<+{TcJ@wOZr zdXW?x6uYmjv%lxNf{@(mN@E}{f+4o#2)0LUW9AcX(4eu4j5o5AvaxWjPc5^rmE$pK zxtPgBgZ4hP=&Q^Ma)dH;!KJ2*^Yg=*#QFKwp|B=`A&L#YkriO~pVi69NQ@Bw`$g|n zBzVWP@@s9=pP0Brwe}%!#D@3W)O{>GW<WG6?UMX6QwM@>+h>4UQ<B4;(_FixVgk}( zsNFL5Tbd%P@JA6OBxGDvYzu+i%{WtnHthm1y79{0*+tiYgKxr!Lb8%N%<QgjN1S$D zGfYUil@I42tv6jSj7~MB#FHzxGOi#0(WtHs;Xpr7#4HTR*VG$+wBHV7jHTv9z2FLZ zgDR~8Mw35x4<pdh#dwb6cN(z&(xcCMuBWNg?{1tNW|I9<T4*TI7U=OcC2x?W79V)R z0+d44<QWjbboNGJ!VPdIxl}EO=Q#BK>bJ4ax6;bU;h5mG>r<e0n->58cdADo%ixjz zURRlE3R2N)FWUFOtd;D=YlUKm&nVxr8Znk~57omLVn~9NPLm-C&5k7MZGk4rblsn$ zrT{JW#^KUQ)5v#Tint<!@;#VfuMO=b>MBXOf<Cag<wSOHvn~X4L<#YH#yx`S-9=}C zmznWRz-jQ0__PnTX;yPM_yX3)ujz{4!5qOqzYeqJ-KpWn9O%{DN5BK-7@j%nH+)M} z2WP5V#5UJYZUEcy91V-E64?eNM@7`nWbKobl{X4z%`^a@ybpe11dw*Ru1{`rtnQa- zav+pNK86txz2V2PP;Lc<;o)y&LA+n)>&X(_5Z{IXK*2}%0kx|7Oj$gSX1E{i;6bGr zJ~{LhOn6a>y<BV2M&en%Jw3Zh9o%`+^zH>mcbI(jbmNPNBSQh0;+Lu>+=|G3^e$ih zeY2&PD*iT4w%!&NNh_dn!M%L6mnQwHGiZptndm(nEvI?$5|%M!Sf5JX2mpE}*Gx2& z2_+SbqCC0LZJ{$>51}oc(eU`wV&;a{%x~{QOaIi9K)`MCj&4yNC*Sb9;~ygxUv#t{ zf2Q+&cNe3WJ0HiDzyNvFE958g=A{}>bOOZ?EawpRQ+A`G<Nj#ACz~!t4P0`P)c)@f zJ715WT+ji24czb>DH8FqdSXe-1$7@0nXqrzaxHrYAC8lnhJEL0@Yx+*Tc-*dgF?%5 zPB^;q7+#iR!qL+8&1)mrqAgaXv3Qwq@qTcn<FQ7}DWuaBB7h}qwgO_j#L=SuBSnf8 zQUlGSrawEzzx_O+&Qh+3<K*KmSp~%HLB~o2m{mH1&c@%|XI4!zo2(?y0cBCB_ZCOs z<M#Rwt`ynM{Sxd@Nv8y{mYe9)d9j^Cv$qM-@mIlQh}Z^^sJwC_{BFiB&pC)-IjR}Y zQ`r+~f+xbKSG#NYYTO9~pc@<A3c*KpG#09pO!{W(D-j2w9fME+<{TVYDL(}I6t*vl z;JLk}Irp|RlG?~sB=>o*V_R!}2O<Mx2JX+isPEKx=wEaTrJE6Q6Uil&=rg;qAJ-Nh zcFf|oy|9Qz(J~E!_5p@=zE;GIKT(q>9H4;jG|)``*PF&Z!f4mMIBH3uZM+hTiOcUP z7))8-LureloYgcdJ<K|J041KTlrCB4Bxw3yCoe|_RH%1D;=&D#{Nc0i7jI%{%ziIR zq6~D`NxI+n0=rTvK_TLKG5ydRbkK$1dZt_w+zxf|C-=eADhIbj>^a_#xuPN&S}ZL7 z%(x3I3WPXp_to0Krg&4-HX?*fIz$j@2qkQQ1MC78&VnoY2eOPn@U={B^+xR|dCOMn zTTnyUF0%vBsWBFwBXy^$AjnxhK3;+*2s}}6s$T1{YK52ROK7F8n&QM{_}C_7U_E=5 zE%ELbP*Lm|K%uC?_80lG#;J5c1+Cd&Q8lY|s(tXSX)&N55*rGFL+4Xq`=&4fGOBEr zAE!uDI0hY55gR2kS6GTqJPNdYYm6bD$T;CYEc1f2e{Y06tCPs#xs|&EV{&Sk@O9Pq zbWp;sV<qjqfIba`Qtw(h*SETH#bN|w73gYKWW`YAmwVtiX7$j25yvf^eXqR(VFWez zh?^nGm)e;pl?V?<(nN_9cojWhe2;DS3ReHxirl%`DsEKc)qhKKEq?;y5_HsomOG;@ z=5JFU!Eb7dfPrX0Piv?b0l0Zhe`ME&7gr}&PnaqxG>qMfWvfphh70qe?dN)7R$i-O zw|k7;wr0sG(_{to_RKUd_?;Pn9Tpy>3I2iQ#u`Q->Hm{mxv+42n4D<1cN*I!=A&<N z#@^WcuY;S^xIC9<R<^!HB|&_XkH4UX*@uCUL!!YdI)~T*EK;%608j2=>*SbFgN2Cw zU<~Bd<optwkG)CpTpAaF!`9>HXWccAnVi+<9~Hw*Y=zZp9G3qAFA0#si3*s(?IF!- zHSwhJRwZoyeF$#@LCzFHemVeKBl0aQY+#xI#5J}q2B8P1*<`*ZQo`X$A=OUQQzA{# zUJ-pg$lgXbE~*E)4R^~`e!4+b*ZZv)!vwM+U#-+dOVB6)3i$T@-Uo_MF?_}uAejSN zWeW>wr;0d!usD|CqZ=Ck{ufUMBI6RauEh~3eW?BRVMJd4xoiI{G22g`xv~s|^}pN3 z|D{3|?K11RhXnxmkMVz+0XjJw{7>KbKUJtAOuTjglQnB3q6p@eCBX5p3)d_P7|;MP z>03kqj26h*Md$(QJAy(Q2?$nBPf7$|XaNA^+BYT}vxXN!nf%R=kdJ?;Wf74|t`bwd z%935ZfRKPdfP~#|5q7A9U%~c!_}#HN;W?o>)lPGr;vZG9WDCEW-&(V^dZn%pjBOIf z0f3#)AOIpVQyrl@O1kXRMjVf-uQU{mk}%mldn^y5lu6;A4n#dmiiyuVWAE9bfC0s? z+fyhlIlgj)^mw~yZ~a_&r7G#J(~>5bvBM~dhlm_M&HztPkDmlaS&zNBCORkUhh<r+ zDSDM8*H#mkz}45@onsvWVnQrDQhYK*CKLrn0AsPzMt9G!=kD8T^PXR>)|<x8*U?fL z#-E=_(VR!^0H&q~^SvzqQTJJMIT)uNkbnld|HU%|gEs#{B(8vml4C)TeSY3$(7^y- z7cYAPCOBtMlIT@xQU=|+MB_Wpkf*@aDq_@bUC<8q9-!F0=L@$0kl2w+q)S}~g8cYP zDH<qrtW|ggWu3wmaAkAdJo^~PG3ml`$rBe#%Rt6o$Uwk%#S6&|K}*MAfq2OJ$sm70 z$ZU#A(LDi$mGIwqVR6>UnY{Q*$iL{RXsfArkgMtl3NevKY`%hU-Ng_E-WJ~oE9HM~ z6>u%`BP^APe*qPXoB0*tN$|wD1G)S;zeZPXfrwNU#e=o9^-|2MIsP#%2oxY*2O^^_ zCP*<Yp=#8GmARV~#K4b8NDYZpMA<;pQ&2=$)Ua(1AT){}sI-871CJDM!0vbgip7?b zkx&tdB*GfBL+CXA10>_2j6zHj8)4nZzV`Xi(N$Lx>S=Myf78-5(6CIns>+Et(gLL= z#iYgina_Df+EcSlbyPq=G^8Q<-U9#l|M~K-r>$nLgQQScjH7fPE(YOA3l!nkM^wB+ zuV!S4HHsTugsjjU96kz1Bm*?rbjx^=8TCoB*)`s9oAPnnbSv{|+6G@y49HWMz_U|r zjMDqtxYBDiq2g<G?s4NSyb~rbBLNu!1@wHhJUrZwgiu1LtfVNID+(Im>1b(as1F&A zl;Mm5@)PZgf(shZS0*aw$3yCOBa&NpmeBqdJdXc~R_^>ShUop*?Ma$If9jDm<B7SD z=6ejFqRo3rTEUIN*yUSwNm}ogICV8Wb;;d_IkM*Z<>+dPV|IDrZh3kLb4qA}KLyQ3 zwZGZFayr@1_J4#k1Ic#bz~9RrdUqb(?=~L2BQOnP;5zajg4LzNz_!dc0Haq6#prbp z7?^^+=-m^9rm5aLu8aqQ(viJ$>`0d%L__LEsp1ZV&=ed$I5xcBq3$A(cX$9c^MmKw z(~nHjLzS7#Ncu6AeMsY!IN>?z4roeuN@q@YLOG>3qnO3w@Zxmi{NvzyFnzqG(w4pK zIO{m-XnR28Jlp?1i3xlPolR?B+n*7^3_6R<p~b1jN$;R~Koj7EWJWS8mQ8FArWnI9 zVYwsy^m59AcS9Kz%{UAp1dpM2bYBQf*E$arm-dwfil(NnFoMgi`(cMmp9XbXa@gb4 z?FMz5a@gb0tp;@)a@eEbPLHzl8T`t%-(%O^26<~T=(X)`hka{u*kjeL7V}zqzeC!s z26;R4xI@y74tdKv=(XT}i+RgB=oNLR%e;eaxXH@4eq2CKGAv$k0t=lSFI0B!rC;O< zNmT(>5Gq{|#QZOX8U)`LEt7_tna>4SdePOSK6u+T6L-C;On{wbe{>tTaKSG+cv}=L z>aHzPB)zQVuBonTcuT69%U+ag7xC^XwM%-M%SI%<hRSSG=r-BAe3q!?!csInJWD2( zc)H5moaHW$a%Ts4W2pIjs!Hu74vTE$wkstlONPs;UuGP3QEsPbcBfxtZhMD6PnzvC zU;hc{OSaPfoo)3}O)yVsBJ@gEXv!K~cD;_XW_cmi&PRQ2x7lO76tBHbd(StMh9uAT zPL6V;y^N?6ZRJ{3^oYZXu_U|`M>~BUw_baFl-h3FCEc#Gj(aoSdenQ{CSMnQ=(pIl zN;Y3+>~@DFFAvU6dXIP3BClVzRcCZB4XWOG9N6x;Yji#0YJJk2caJySI$mTJeUj{U zk2YSB@-C@LEa&V~6c5}eUv)Zt(uVC6Z?c>}$s*=PB&Rw~TO_9r9_tmm>pl~Gruk|g zSiQYpt=Y-JUvboAeva=P?%!u>VpgS9jSAy(lEUUJR;Ij4HtJF&Cpz@HBqt8)v`dON z>>3tJKV_;|pwX!N)b1+RzIRp@CcbA?nBm|6chUTIE6@Lqs`{+8S+#88f2!7eT-}~N z7VK{|>luQ2*1Za*fP835WWMN(`he?fN+hw&)L8Ejc%^lDxp}#zjXAV=dAR*!6WrWf z>@60zbv)AT%lGP;0piuY;FEg5(fd=vvhah6LB?9o%Gf+clkXLQq3?=MDq|Bd*(8J0 zmx5^xR!GB?=1~%b{GUMruMU&^F6T%Frv0%T!7Rs6xB$^)wmEm8p}uKguQC0Yf~-?e zktm7yktgRTGrW)h<4_o}K2$Ua1Nz64|LK4eR_B)W%@3t_1`pLUIi`ttRjFhX<?LJ$ zpqMUWf1O8zDALWDL%|DN@(BwT0;GX9aB^f^*;d!9kJ`oLbbe`>y_qh~{=^m~^Vl`B z*&)}svz_|<9b8{eBam}fLTu?z`nSkj%JZ4cpg0{i>N7NnOGY=PA4WH~(Bb?wA491I z1=b1jj=J-r`@K9TAK75@-MVw`jQ2^#HYZ}+&RNPVYHK5Ax*%_4;BIJRD`0NP3^%YE zC}%dU6(8ArZXQGbA^L083Q4Arqz{Sw2M|QT5J2Jh-V{r~8H_yP>x9#=eEP!qn%y#a zM3ce`2>&GXfojLIwXwFcbhS1zG3laVUXuhyFpPuqk0QDBCFpiZXgxA72gxH{TY`cX zr#glW2R4~TiI0g*6-U;#^pJ2!=8M}d4E6?V=I#JR>F2@~g%WcL2XJt=5^%>g?>WL~ zU(v<PSS-cax#<mQ`2cH#EK}Peo1BK5Ee`g=10ex|1{oUas(@s9m=b15TMHh>%*1J! z8es`$904sL14C|8BMD(uX6SS6E~6n$m!@0Y4l~hOrdw#E$Bmk!E!b@wH}<djF@Qmk z<%y9Q2IKMJ<Kf{X5{Ym`un<PdoNA9q{15K&qC`Omgg}B2jKTx~<KhGXAS}czl*PbZ zi-LPCSx<S|)p~)Cnp;qTk4)_=I@yG8<37C*Y$kjj`&1M5tH7)m=<JBsEO(E$RBRa4 zCn&0`+9aZZwQMY`w>I^cF{e%J78D-L=@t*NSAl~_3IU((N6Egx)np2>q}~ja@|ZcC z=OPdtBTUc9udKDP!dGZ@6ZJtm``%FyA4<c}Z6Kjlc<l;(<&fX3xKueyH~Y+_WT~q- z7i-5;T&a%}$GZg9wO+1&9J4eWxMo{GrS2nmU2t5zdDZCzLYts(qE?~ZW>H>tXpT;J zVcmK_KcY$Fuy7gPO-=Qv(YP(!R5WszqGLR<FP*L&Wa^G~c()&a(zt2cH=~M59Cf_R zYZHm5HB>CfZ@RjJu6f+%RjvgbwrvfZ!<P+wIBkS`=N$vwtDSWYm(XI1B!;GCKIb&t zY-%Ynetd*mH-<4;;@yNNxKpmU>BpS(DIX);T(Y={3<>zw-}pR0X+6~hy=ELN;*4Ub z|FYVKvbaV|PboWY-+CGRynkI)PAF&Dsjn9{u2<p}ueTL4#Rm%!`Wo^kj(7MYrL?%R zq%ocS+p(qcF+IO?Nx^G%qgjLW_hFDf6QjhmOfr*PdS3Q~L2bt}%&S4Oy6*TqAVvvX zLw4(nN`C1@<Eeie9q`Bi<^Zz8by$-ZM6_6`hu6#hQ%Oc*8ST$~>G{lNEqrmED?g}> zr?S?SJ^{gUP})>g5T0>NW4o~xB$O42WB$Dq;iV8fu}H>Mv+a(oVJ*8AGL1T7r71T6 z0hWhEyphBuTt=*u=pV}Ec0SZ)IS`7{YXmBfTegg3fcy@fYlS<bmb7wI4G~5Nyi(r+ z(o-E|>;uxa#S~SHXa5pX6oBhe%Q#e&h$p^lbXCV&HUUDsI`N_vt@819FW}I}<A^|7 zX3XzGWRF<AaKGUL_`IPP3ZYX!{Ri=}qkfox)LfxdIB1*Y-fYq?w!j7kmOo5NU!(O| z2rb)Sf%B$wLmqJX5}GL=dcA0~ui8jRoK$u(Fg*aQ0RE=oxCV=pH`O-4RnDKnpeUfY zEP%9LwnYQZrO&DY17-pR^qgwRWy5gQ@OKeHQ}%Ehc{khFe0)nIBX!my+F->}_0lq^ zV%jD-gi(M#wtnq}un97_=+4Y(J|MCZyJPs7-;jJWk8BUN{kEdn;<b?bdFz@6ylSqZ zijD3#e;dNk<oe}sS7Y`^<se^U_e86;OONxf)x<niG)1E7assz+k=`h)xX+Bs7u8g_ zot(K_gd6;MHP%sigld*Rny}N9yBdB4Nkv{0GvY`XDjP!)J6nbmc)8e#K`sFA`^QC; z0<fAJtK1=M#~MQD>r2_jGqmDvo0o+$7n2YXqf^L>n%qU6I<;%)!TXtmevZrPJ!lae z=SK-#oD4mHw)`M-qI58bf0y(s7Z(a2cY<_E-UwY&4o<^Kmw_U-%d+F+8k9crp#Zz3 z6zYG+-v!MCXQ%!-9Fe{aQHz8B!ifHc%;{LQENw2ftx!c4>a{S(Ad%5&o0n-C0Q)DP z{dcYSUlQYmVw+ROf5!1N|Hl7WE1DRa{!gt4NQ1U?{vR4+X7>NuLCDCE(eytx#%zo% z|5-@lPpZ@dMAm%~(DsW@dSWDSIKei%WX4D(AfPJax$`YNm*AI!l&k1P7M6!;R-Il& z7E)l9p7Fy2K>^(YVk1j%78X%TeHbL-?F_$W4ZZK~H=9lshGzYBrz27;l~zFnVHHM= z9oDdz{o6?*dF)ReCUF$saBv(h5O~)Dfl@d4mOvDl%@hP8gDD1sK#JRsYP$A@5eYKS z9|J*A?T+H>74&?KZU^*;r=`qg68u+$Q9Ry7As!+KMCGo?ghT>alF(6r3_)3;KWQ+z z$wj6*YO?io<3QooE4Inq&TMVucK7`spq@xdo#{K)*7q5;hGTe-Azxcaspy`?d{2x{ z(r2@|e$X>}KgZo?ebr{NbRNYXu+LU&*7%Kn+oLvb1M%}7>J@vUc_QOJt<|pF?+!-` z>|k$mY!Ah{j2&d7-pU%Y?yJewx1=DH0}wZ#NxS4V^c!kJ&tIJ+3dr_j?~mZJ%B^ea zn|DSe1n5w2fe8XK6Nuo_#=N`F72L}Ro;t2k4+{v0#0gDC$ODx$e(4<+gwWZCxc3FJ z@Ahfb4l}r8j|nm|<b31T=FX7lcqF0kXNLv~6B5vRA1gArC!>x6hL*|`#-d*ah^6Nf z*F;&D)BvM<y0hyJUg+L;I}<vE0p)=JUmmR3;0`4_MBpxR&z3%BpR2T=^tk?p^Dy#O z9{k|IwpMlp`y2HN_3@MU89qXN#)bd6UFhH7P#<&B5gt*q&NiHiaW_D&cj|u1J{)4@ zb*~$+ivxE;?7WQokCy9!UVR~w6WaD)*S!!&U&DI!6|(mn;=<iz$vsz~6lVbzmXIe- zL@AZ_u$~=WWeXen#v8EMxP_4AV~PEoS!j3+Go5<6wf9^)hn2|W!Af@F%>)c2hOe1m zh-!T;0mRn^TJmbr_c3}aaaw=rlru-rCi~<mT*{=q{L*tTy+p=ZMN)4wNDJHjbpl~2 z<KDfB{f*mwEj4-zJ>NFKjNQ>WZD3bl?Yo_IVAC$;2evG?SeDm<*v=<X`pZ>GaW(;x zi9lYGjK;v^a<2aGK2oJ(`-T*_gyc<-h;va;u3SilF^Hob7t;=>7$zmChk8%jOq$rE zrd}R&T3209ae$)6<PjM7fj}b5_HVh;EC7CPmI$duJc(3&ah{GEE5{1QA>j2<HB{;N z#R?}2l`{<)!>g$(r8T4wMU?(!zY0@oIVdn0l@`27BpFiWUrEXwCrL`9=!MR5Dgkf; zpZ-p=*_3G3W;#hxDzydSA>hd-hJ=Mgx`@#PK#jy2$QJ$mEB~@GC)ff5%^RCTl78yL zn7$!Wj*H}^##(SOnsZMg#L<<Yvav$>yTsf`zB_TmzX{NZRp5(%g$>L-t6_MPzz4Ot z&}xlNGikkIJ!^<6jj5#^StH26X}<Xd=y0QY&0C`f+O7T8eK%cQ*ZB;c!gE}%+_rB& z-RQKOYgx5QZDdAm{M<IxsA^K?z!x+rt#XnSB~PnWB(V=&bTEc6$g^Xk2r~ifjN=L1 z5n#=kY^PwX)yM8;`$MPTNVs;}hqI0%TPwqvj#v5Jm3&E-t^OIWW8Goa=M$TIR*DzW zK%6t{3$P2T9I>)!ksXIoH4;rI@gn02$Q4IcQ(8gnN2~5&8>OsjQdZQs%xX}UC@*qa z#W;#$m_)Y`4rU(5pj(#H!w4Wsdf1dGjbhYY4zlP)H>`H}L5F^{2~!^TylPt6)U+r{ zo@f7?`L3IfK}KDbo`zWp7w@#|*U@$B2;8#Z)s!SI``=W|>ljU44`wi?9jlsZRz<4Q zXvI<Jf+w8Aui4VykV}#z7YXQ%NO=@+5~ICz#=lrr-i70jJaSLM|KMDt2@Lru7oc!| zE7*b`TD9NQbOsw|?dg|9v&Dq+MW~L#y%x3&O_LJmMb7i&#z`<6yN#Wzr5Ci89t*Ff zmr{4RO)a7SID$g4jKE|8VEI8JU+Yb$YD1`m3m_#Z1Q9x07b%TUTY6tNB+H`z_d`Lt z3?su*L)^Bss!E<FGl|lc9qv$$Q5<I{|FyJ<mM3qAVA#9_8wt|Xi&@{XD));rovLqT zA6a@iv6rVH93CR!rO4go4b<WZH3S4@@#SA_xQdw)Hb-Eg00NB$5>3Vrqfl!OEte2O zI?5mdEDtiI*$$I8WKSy3l^E>=sLUiW4?oI$5;l=URbe5OK#P3s${Dx|aVywqwK=<v ze&)EmuD0u=@1oK-W>`E=M;B3&2f6K8(4@Ai`Hw`(R*|$Yimvwu{Qs^ItC$RArwj>m z%O}Qa#|K_^)?N-`7)29@B=VzH5bXYYr^&OFCeh2cAGe-If%5DFljHPbBFEK;8A0N~ zgG){MxM*xNhZ)mGzK<bef7@ehl@X5@X<*AW7&0Zgt@|vt|FV~6<s0*v89`bfvg`RH z`FNdeEjwiE*l_g_;^&wKS##lX`(sZVF~qWBwh8dOGRCd<nek4*Lfppu?^WRSFXQI3 z<#)`iVzQ-^<W-C(myO-N^txlOV-9JSg%epxg|MrNofI{UqKkvG_WWb9>rz^0|9uBs zB&mrd0AqFmNLXqO;t9YYJ8Pkhq>rmLZ@b4l?~Fu{Vv4A^?!@Z=WzdfH8t|fhq%U8D z!_K?CrUf>?2K{z5bB}5a@Ro=<v~^HK<dj<<l8F)jCPf#8(X87?0+Ak5Rr0WbUFvg@ zIfU!j%n95P@Zos{$)sIB?{HzYdGPR*J?re-(=NDwRTnw<4(@9T2805|hze&AQAlM1 zMxy1f&ch?@U;~G8mJ5yXo?!?QGZ7I<Bo+fRqw)JQu%IQCJK$MA03!GI2MHFK(=bH| z7wR)0fP@4vgbxx#3SfX3as(HN%O=mR!vfe{CANB@T!y`2RKz~m#9WBkWLugmLETh0 z_n%~J^^ox==Z3T-;ox8eu8@*b2aJGIsi!%joqnCwP?&-d&pMOV2`eSU#PlHA_h{HZ z;OJlm$7i{ugTw@yB=_Ai7J%)bMb?(ip^sA6w8=C?oqvWdR6g4%c$;|kGPdT+e2uSn zz!L|R$M&1#!HxXZKb*RR9RT`pg&(u{xe1VhfKfc+4y7{(4AU}DgMeQk*2Ri7Giu?= zH5=(-%9$JJvf`N=wKC<J4>fb;&I9SqjVCFnqC1ipP8Jrlg@_d@md?niUhAcv#};J6 zA^}J|T*8+=rXV(!=I~u~n*ocZZqceEP}(@8W)iUr*{w48!4eV2_gU>-B`5fivP3n7 zLLE#jvU_-*5ZrdSM9rk2^?;6eZGKbY_X5R`&H6Tj@rmC!*+tXPAg)#0rhc4Qsbjf& zZt|YX{yCQY<0ALRr6|ua2<{6V6Zl{wC8H`i<4~m4T{QX74x189tkcrq5isZZe9dpk z0ZTgo%%vfKa-X1xm28@pt<cRsr-m4h&skcvUK~W&GU50GNe@fdw&}Ubd;)HbA4?GW z-iTo=9kO)46#D#vyJO+%$Xi^|+-mH|TwLv{4rBuieZ@;qzZY6r)8QQYDp7{8UG@6H zLOCBCAg8g}9V`Xir0hpMUk8Rl7Z+)dFJKRZHhBQa2@L55ha&!i_F#1oIM5iVjwUwU zjbdIZ8^8dQm7wGzfC2&Xk&r_|ltPH+&?y-x2BL^`#1f%xI3YqyM;oSaG9}jVpN*Au z@NriaWJz3KE8#2eF~?o_AM!2CdR}?ZviM)87-W-%sDk6>Pjl@me}HrWbqh!|<VOnq zJ%YT|v`OQMNkhap03q$loH-zb@LFhp>Heext{?dvaDY(BibJEEvn<+fN+;09)?=<9 ztt@6Lx7B#I;5TPsrSfrXTSvI9m<0xBo}w=u*%*wA7H|lrh_>V~yYw{>j7&~kQY&C8 zbEEfiE2s!Y9Z6K|losXRHXWgP$mDi%k~_HwnZG+*gmP2u)ax%+nE)05JQ<9p&wQn3 z`L9}*Hw5P4XtoZW<p)21W0wR_z}gh0Lcboh9}CR*tZ<%K<WhooOgjz$`pnihXNh2U zC~ZO_2ToyXB3lJQSotQbQquF0D=!O^)9wAsNlaqa6zdF~67v4h>=Ei(YX;IO&eW!9 zohsEmjF>b<Ft~*JyA_D@^8#0w>~Q6UZ^Q;_#86a;Aew=YTiU^U@o^<7fQvl?3-3hi zv<t^NKzFaB@2Y9=ij>65@R;+e%LkRl8z;AT@C9}N5^WmaC4g;)Mb#+hNYUq|S2PL` z^I}mfT~`}ur@fZ9YNkhkq!l%t)uQynna(0GnU*#C45L~+{%O}0;qs`D5hyEphs=jT zJ27t)3E%SHo#OdnFt=J9G&HT+2n%b}5xfnyeT9t8a)$b~fEv)^2YFMq=4Pdu7V`t! z&}n+F4f45bm61T2G)+}j((ncpF$c66Pv%R<3hc^tC?un06u~DDMJ1FdHVw=4hCv4v zjQtg#Q}HB(sHRN(g<CzFTRL=^Vf%II(B%_XnG6sBX&a#sB#%-2A1<ZD!vFwIq?+fq zhi(E1cp=RZ!Kji{fOS6NuiyYCr1BLZV}}pO!?^KjgeYcaSmAa|^N^q|;V@%R0g<`K z(@AB+hPXc5m4{o7@gu03frjxZiSa#|q%qt0bS5jU9)Q>?YJs5EYW53Hvhg7hAO#QE z-kiYb0p%UuDgzx|zsG0E%kaGkHG#;71Rj&M)R`Fx*1pIYm#Q7=gO((f0y^1!O3N+& zIsU=A!;>)33KG1LS&UW=5xgLbQm#Nqo`_Nk7I@((&wyP+Z+Fmj^vetEhN)&+OYXri zWcl|COxy{ztJ3f;0Yf#I?#ZIa5%x!-E0|#cT=*}j4}}4<22OyMn8xC~?ZO9GIO#@m zY|74J+18u8)+E()T1=9PtK=!~fRgQ&eP><!MZa-IV<OfNt`m+DWEROlG?s^k>~USR zxeOu+h}$JSdaPM_=ht&C`WAY4aVx3_F@=8>+`dliklTVm(F5-$*A;BZYR%QQf&e$4 zv2#C|3KYycBsgf_yt`~5c{?`TR>&(ipV{mdW=yi$7LPk;TF+ctWVBqI)=z4j_a}Xr zOCR2PExmtLyZRnut!WG5tG0+SM{@f<ekv`@!W&opn<YZ5lLyHO#tC?4OwUs6*+WO? zwEgv&A>A01CR1-7T9sJ=h;UGQb<U1}WB4uY1<9>#0*?Xitr0xJ(LW}JkbjH0IeacI zjJWM|U;LDco}%DLuoF?js|<O7Izr(!rq_g?NX&2e3Ur7M&Y315qQBLtpBi(M(j5`e zk_dy;?!ywf%<v<JQ@juq>#hV6I;EriSsGVvB>6KUUd;Oe|2pYODWcBk3`*f7TRTqq za@&jtO+Cih`O=!GA`CQWTn}PLhsL2hRjUYO+gOtyQz(0DQ^luHg9tGj%s;mt*&IYz z^`ymC^bp%yP2Sfs{Q%AGHv72*jjJxew|G24kXupjWcp>(eOHe(LbLgBGA+)`v^ze% zI?u^DaGgCofg{)AeDivkrEfD#z+Jv+3yXC6GYm=eeMcs&`%oEW8c0dzq)@hEfd~Pd zepe>ScD3xp2|SDC1&{hH)~y!k9U)f)J9R$oiEjy4v6P!8ay1)O<yuakGnN@-hH~PL zIvb%#fm|@j;;i#ys&Cee6GotDhy!h`TiF13j`Z)Cj5ky=Rg=&zI3En*7EI%?i>B`j zOFI`|5aRgN;kxxG-pC$vzKb;I3fh((aej*iaOLb0BrShQ5sc2512ua=_3zci|J!c6 zLKvka`2JRu(ZH_vgG;s7gG{sAr2RenM%}w+m)u1_Es(dHdvhXw3Uk?7h-WO&@vGQ5 zM_$y9^3!b6A&F_V7Zqt`_j+=G{9LFHw{kqVtfhe|4@R3uq7>pNa1{)Aen0nVr1e&{ z%qErjrH>b>T4u<DkS1>M5ZH#|7r=Chofm*0Cc*ZdFWD14fTaACfyGg9zEMZ4Yzcs% zFlw#rIza7+t6{MqiKyQ|5N|FSXe~oht^Mj963A*|42(?4dE;g)p$vW96NOMR(B{Pq zmm{ziiGM({l*G1x@@#Etka5MtnLOdk8eBciy*VEtz|8A(z1$GG871e!LpKY4J3$2l zd3f*lG`~dsb^wws{^<K2K{JBJiS$M!xf&Bo7Y6;Y-kk~OIEd3ISQ6zKMnS!Y&Vie2 z*}y_=6g6aDZ4V6>sx%BFIFN=SLy0gg9bJCRGDg}qq>l%DFE^L7rrQk8!^m5UkH?op zdar76lxO$HgeqGqD0BUWGzecKB_saIXwM=($~d4LNWW<5vZ%Z=iy&^moeI)N_6~BB zsB6xd5oZZd(eJNmx?Qy&upA0*;ihmc8Au%l&Bi=Ot?%a`l1rE-(6%}NXy)=dY6tH0 zeRW#?&B$fWF9e<tq-vql)Ey%^Pb}0&C~})+eceXU*)8Sf;NP`1wcr#s%LIst>C{ks zE)HKNSiT3T62YO-PjKIoTHplzvJLhl*8z?=xgBqgNlo^bU$o`VHL#pndJz#FQ*A@; zCE4jg#9gCvh~wC`w2>mNdi@zt#io^!FATFGNEZ7uQK;>F0d}Ob&@Yel1sqqFp~W+b z;q<|>0im2h1vEFakwrERFe6d6hy-a{QUmBnhT6b2r(;0lakFzPk83*-&x55+1vnqT zStBSPIS$D-cq<2c*pH*D@*aCickLk6RzPnLlhpCj3q#{DkG#ISjSM<x71A<?wG_YM zVk%v;Qa&F_&dAk>h&eq;91iL45YZ2Q3un)RFa^X^&l@Ga$Jj&vBRyq0>%`pd0x-_C z*}A}z`OL!U$_ehUf_9SHuA!7*!EAE~MMw~d<3GKj8tK)1NX=m-zdw~ZBU>Q(SjL^l zp`+2=F-UrMV!USda6)tjlBO#*q$lCkG#tj<$WCK5*-&Gki}ga64|S`Lwb{LkA&O$K z)(4A!)s?ck4(FssNQ&PkzRign`&8njbKoFttjZeexSnK_ovIa$6!%J0RC%?YMH6CO zoBm>iEDn}}O+^I#a@<4RCxElN;lR7|6zj}kr`-?#ts4$rJ1(d_I>pw+l54jq3Sjsk z<A?Fn=-R?ucrpF_p_wK~DZXwSoKOML0;fxA^KY-$u^qW-d~_@QI<kQa-?G@uO*vOo z=Rf4nqzBRMXVbva4ch$-$s=R^t)7oGPhzkuB}({zblrJWQhEF^el|fv!L%d;1=LB) z5)*S@&`hmq)S5OYQ><Lt(7@am5EaTyO)bqOv}LHV#!)9XG&CzjL^LZcG&C17MH6$+ ze$4Yd&+k0vcb?xL_aDx=T<+m;->=Vy_X5+gf3&m2X;mKW`oU_~e$#z#cU%uV2HM$s z*0t|%e9B*~-+sAE-q-%9c2lk!<gX@-e~>&UBVO=l)r6cmPl>o0;-Nu~v%GU);h@e4 zkDC1}`#LTC%j;GDoc_<C!=up)>s{j??%<^G(twXyCANFPR!80x1ZMqehSl`<@!srx zyYLq?_=gi)4}Ol?dnmxKyzK9f?2J6!JklxNsqO)T0*W;nUGSnzwLk!DO#gxjy^^<m z;yK>L6w;WHJLSSm{o$IoUD9L3;<*FY4^F>u{<D9>!^Cq{6FZkO<rOD^*%L=E=r-Cb zbC-<%_g?e=<Rwl1DqFNyO=<t{_`miCKN}kR|LKp1b4UjQj(`waBGHqf%}~{eTT}zy zLFz!L`e0MtcP+)>&!0_;&%SG^UIGK?WKw-#i01#k>i_I;Z#i0Syis)`^8fFuXD(j& zKd$=ubJ8MZ+WC+T6bjXVszLwXLGJ%^5AP3e@YL!A0RB7vulwcH|Kq*>+Y;yeSO5aF zPC&~dZ)~vGsjV%E0cTIAIDGY6bMD*oIptU~1IbeA2O4hkm~{&HT(&!Iaig1A@u>~~ z&~4W*7N!=|!1GC0zdv|;`$*6=rB2E1!$I*%oylMSBs9cz%s=S8?HzPpsZ)IW9nX5@ zru^6Qfg6%E<{wxSl3GTW4^RABLb%j&e_7|wZ80x@`DW2=%b>=@j=2X`grhAU%e|w& z-X!d6@fiO_aQhX{Wc-08!MSC0>G0=YuM>8)++R98@++HQ&~kR^@bIr`1g#d6C7r_C zExggO2Ns0OapyXJWe|WZ^NTk>{hCBL7k72$LG$fuUfS3LbHbPA{6(FiUylVop3^C~ ztq8m`cXRO9D}?=VR}~M;2ySub6u&gwF5_)lyqR}f78sw<ap}RQg2dyKr_OaB;F*8% zKNs`MV8L8vTG#_=fuizk*e}uo%JJ$`kD`D1P!M|j=BY>G1OJ$}KJ^HD@V;QYa<uVg zNx>h-PoFw><(IbwBbAV_2Ym%Ym1&K=Uk*@?S)F<m^-FKThsyTQ2Ybx3o|-h?yi?$Q z9CT`X*MYm{aZmdibS4fIo1c4{7W#l_9`f{T=r5uIi{sS+k3<Iw%nv=aZn!ymAlE$C zUxWH!xA|57?V<zO=Jrqf>UBOJ$TZ*nG{0VF<N(WD|LKu>o#6vabB(8v&<6zbIR8hT z2Lg_Ls6H$^KsW#KWVG(^rvrZ+8##F{;=xYyVE-?|1M%i#Ptxjc78E?L^ay#-RPeC! zY~A6(1BZ`QoZK!v;CJlK$^DcErsgl7jGq0azF_DWb+vT{nV2G3WjedcelW_gQ|qKf zSX?rF0@mPKuLL`~@_)2WBQK`-t%5&bMeC#m*p+1MRd7F6vfTO=IljjK4x=VZR>1GD zmFuMMu*=DS3D_goSS7d*Te8mYLLN-<TLJfCYnOq=*vjQrInpDAGY%_v1^jTkgH2rL zD`BOsvbEN6q;(2?92S<GvkWG>^4D6&kULZS#$g4noVC_bq)CeQGT6$MzSdfZWiPuG zVx89c-(Xp;<h9lj<ffFIC2%_yv(BG|rMu$RT4hK`3Sb--lq?&Aol549!A>S?FM;{k zwIBQ$Sdyz`4%~vB`hn|2R;|f|$ob@)MKBM0V%e<#>$luGh<v!lSHP~g=FEW|P(i`l zuMM3&ckWX86M5U#9XsN+$6$WRmW$v9tkbfa6j`zcP{1NwBR{szAQF932i;~63sdwM zSUhG*L5hLJMbpDz4W`rIoWI1tVvZb-kcGgaF*1cL8urYQI|!UcgvQ{Sm=3nwvplyu zsv@YiL4JqU$mq_9$hgDP`=8aD4%mMYYi;mtqa7~Gi}JnZyuW)t&o-Rrb{oC3EdNe^ z6SF_>fSRrE9~Tohor%}c-*1~&Y8qEIuMvM(zW=<Z25gxp5aXhuPYZ6{DAEl5+r)n3 zZxuHWgh4Nck{p>IKIV;v&c^fx<m)fJ`>n|7(T1|XAlz;Js9aj_peBE5v*9)i8!=g1 z`8)MZrzW{a#GygU4nOvL)O4?=xSA6Kr4eQmYaT{JFaK5l^zW7@M0Pc}-#{|Z`V}!g z#lHfhMoU&e9}TJ$<SVepj_ew+oul_)Yq0^Rg%l0@lThFMq7!iK&L{o)F4?qN!e}5} zh0LnIcf%;r<VI$%L5_mch3KCmcOg7uaE;(Sj_QLzIihz;)qA<jQ7#2eAZ%hd4Pa|W zyBem*pl|><j<AR!H!!Unxl-U5Vp|NZfhjP^832wVUQLlih_)%&W!MeJa4GOJLNA6> z&ullqDP)nb3`a95a2NrN!PSE;9ha)X1V?!_*ut^Dn#nf+C}a_^WJmc&#w~-{X>tV2 zKbjr_J08ucWAY4S(|jS~@f2N%P()j*zFJ4_M@GJZWEv+#aHhx<7}YVhnptmPHvp6( zhN5NB%und0A<iN+fx=G!JKOd*GZb2LQb4yBH6n5X8cm@mFh8REhd2w+THC^A#+25i z6t@7avgOd2@6n<L##gOTDd#)1()L6%V^V8G3J_}@j+h;Xa&7IBGN;mxZP6}(QYhqj zW-mHth|{IjFC}+rc}C#k!Fz1gn}KqzUa3q8Ewh#LfD>9a5u7-%wXGeEDMA-E0LQf~ zBFJ$}D_brPIHt8N0vE>=pmQ34qgt<|B#~B|RQ3(38dJgpe%8{9;KVZ9(KywZb!djI z84ozD1&zSPf-P;As9=JvoC>zE?WZ#NXuyzc2AXUuZ(`g+&kmAjp#Bv481y)W6T{@8 zWrKX7)?+DMsHLD-jzObsxlN3Gv}6z`)Z$3V3Mkb!mddP0+cf~CT0<0Bbnndm7Sr!# zwG$>odGjT;2{#q{<9t*<48{H!pK6+pVt<|KK-rN5o$38GrX6KRChqs=k$o#@y<hj! ze5lU*#rvO_{!>;Pe{*vGWuH{q;mQ3GKJLz6=1pu(i^`6~>wMXN$>%h!_fDRtua5Kn zuKnevjI#Xk``vj?zLQQ@mG`^ytbN^Sy+wIezE(7y@%^_={mYJw-xubY`QCBbKX+e{ zCn$l$^%mx}muwop|2hxjd*12lxBD;iHu)ZK()f1&MV_Yb4yUWL_gnKIz93rf?L1yd z-<XMo=_UK^vnKq!b)PxMM>8h7Ouv=Z#vHztS5*=<X40HD=hNocA>4n|r-Ir$xc_&b zYR5+klkKKGrJKe~%uKsVO=5cU@<x29R2}L52-AixSq!v=C@*a-)1MWSx;3N2IF3vw zqADpssxK{Kl<LdGvS{ctBDWMctr;4Ib7VRY*`>fKO}R*R1xgE*Ie_hn;ibT@n#aO8 z4oo{DoyhFd=M@1bHGRTlq0om!vr^y}%?~1am*zVWISN`q^dmAQ`gAd_OVcGxX3rGs zM~cZb=p7=7$RrX4C5$3{vRFoi-XfY2nL>R(F_{XzNo1D*i}XoivPiRCgcE5RhRN)h zuk<a&<jc@&MDG&dh$bS8Y{zWV*A|l_p{Yawkx3xVS}`q%GArgTqIwBXrnw#}vt_pE zhZg}qX)c83gg{S(MutG+iGpI_kY=TbBh=)IWEAM{p=4WTqrP_$a8R>C1Q2SDhvICR zrbKozBTt{-CA$Qr5SEe{Gw}GJstGk7L843@M9Eul-SAl+Zc!~JkUXJQPpD5~OvBFy zkycX&P=XeY7=AhkunKY@#3r?tpz2#lV)!3H{FT(VC~gZ*443h6-_;@l=_{#kQ1x+) zFYp6FsuE@!0$G{5hp;7yA&2+zaPw*$g10iY8x`BaQCimi^S5re*)xF;=f6<n=W$m5 z8C|JXOpqi3@1RQJ+{WP|9zdyfn^2YLR)jjzLZ4I1BjhByjlu;yz?|BdK-{=maNz6` z=rzi^h5k(~li-)=HUifUid+J{Ky7NF&#EyA+KIqIRAL<CHYzF(cpG&w&P@gf1kEmj zo~x3JNuBVez^cX6odo_|syRWD;5G!G4J41L9StOnsl^Zq=Ri%UzGjjTE)UcmQ}YY7 zTm&_soZ{T1@Dd(Cp%y`iJlQ(4A@R7RDb?9rc8XE9dFm-CMlJ3bJxr~^yuJqHXwE;? zI=$iIala<ehs~m=q-eD($F!S3{hKBJtzS2cSMsl@QIAO)LGLzKJ|$gIyL=1~ruN7@ zwg%L<x#TIoYs10gevP2s&9(l(;?0%*t?~^X$2p;D<>r7>Zg(~(KIPNYO3h^ft>YW4 zkJCfd!j9!MfQaV&fYz}MJCFN?suh@X0$N8mm>kz`09l#S16m6=v;Ex)H#<G$UslU9 zCkM2SY}j->rykV48S|7Msg`bz3uu*XfE)*ess$aBg{Yl6#t%_Dc}%+=#NWL3gdd@n zWG<-&wQQbxg6rH+RVfp0m_L?N2jXo$;qO+k+0VaqaKl4s`uINmhkT0K74w{GP~&C~ ze_;M*(GwCy&G(pg9mv$2ezNuB2C2_%0_c<Od>Of0Bi5HQ0ckRoIJ=eU%Iw_~8j2FW zSR>L`RbBH@x37%80I4y}ads=!Z7%~XK%#tR<5TTTBb^x~y0vAhl+nLT{hZxObousf zlNv)MfbWn$O(SWbH@f*{^m)i7AO1Mxf{$f9s7IG;?<UtE`R0s6?wZazxlL%;`Bue& zx^y}Atwp+L%lIM<OW(*iP^WIbz1x_EnXhFWs6*GIj4sl^`C5)cPWxz&L$XaHo!mZa zZ1Js%1-;ZYDFb|iuuLtT+=eyOeJx`_t-5sk*4w(V_HMUzBkfyn=|ai?vyc?iSx2`| z8nq?#8AyVufC}1aT2BQv>yDNJW+2CW{Kg<hd?I5~x0_Zux(#aFFQF?ShkO8I5SnR@ zV{4vndnvy|L*_FZ4XWF?c7q=SX*TXBf`&HA^;;F{QC>M=kXX+g$5cn-Dk4a_QF?<P z4f)rYW6(OKe%8w`4DydL-2hm+k#~bERuAyPg+ZPelMPxY)sJ{-he0Aea~x7_W6G~w zDV4YB?AQQc1Fk^+G>#;K-fTRm@AgIA+e;D(x#(FH3b|*jZNRv*G3^E*3Ubbc?wH!W zG4=+B1}QP_$1uj#CD&ylbt^A&D5TK19>W+@7hdO3A^FCI7{;i&krycxl4HDu2-<C| zPE38h(e4K4GUU4P2@GRIUB`=Vm-=GkmK&T%NSg5$3`3^Qzb@-k=UwM?s@Gp9cdCQD z0BqUJ*fx3?B>BAOz(IZt=yA>O+*mh>Eohgnz=LlK9NajC7gzx;pBnRZ%pHNPtu3#T zBR2-<GltaXJn6O|b7QU*Xoqn<x;1}ee-?)VIqXTYO*J#7TctK^6lBRd)JHsJXCaZs zv1rCeShA~Zl`({zS_aI(<`c<_;6|)K33S2=e(+0?{mUu^Q(Vcbj1NdjGI;@9jpZsC z{YZW?T?`9!wVVJy#pWm(?~ql=<nQ3eShf;qhxPu!FGg~bW%FQ)3wedni_A&pbiw)) z$z3o{SKJD44_5sLKo09olqtbwSoxZ2VPWISSq55T?UW1=vT#{Nd<$3dGQ$eXT?354 zwz=Y#83JU^GGG+;Dv>0DwI#~Ffp1{L*8rbkdaj%$MmrLhESm*qV9nM5!!W2TZV70K zU77<Du<|*e1-5^V!AAm;Wi#MptbCPz3ptxao&o#2(8s{XT{w#j9#WRX7s4JV(uFXE zi{%(N8p~a!=OZOaI3bLaNLGNU*w{HnJ<@I&AcYON$euAiX)UR&15U8vHvyf|{eyG` zbnYUcTSc45FmSXDy@~NrtDnM&2G^ns2kBGL$&0vXa21+U!+5VH8lZoLj$Y(k0av0= z4ALi|BNqW;=waL0P%sy5H%KqmDx`3t!1vMNH9#Bmi5f<a7Mnuqg1XxR8X4B;B`JLZ z>R`)nV03APQvh91@kJaB9BM;vV03Dopm0Rc9k!$fMu(O+1xE#+wE;9RcB8dx7?$W* zDg85at1Z8t@lwl-g1Zc6qwS>hVdw^1Qaz(pOPvA`L0c~JJE4si>7CGL7jcnbI(q3N z{S$P>h7$r#ME8Fr-O?ft@C&r$mv9l_Sael2V+Wd3&1lj(I6xnSPTJs9)emTPHP8%Q z_>nG!mR-bAz&<vBI!2vV{VCZZs0Af&=9j6052;%%n!rh5IHCA7h7>MspqIjBfwBeA zGZeQOFs&9!z$GvoQ0!*Fl$tz1_8mmCki`S-QQ^&iuWH8#oOp&EicVwn!FdgUNi`pW zY#j6uW!4P%qV^$x-lg^~fIJVXK>5)a5;#2&*QMq{ki{{?@W?>25_AVeqA`dl0gqk; zCkM*rK(|n4G=>oF7f7B1-9)i@fFd|4kStPb55S4k3<<JW#w)mGAo&~U8p@jo7*RtI z$gzwzxOO0U7L<wt&=>^NER|t_l2IAEQ0hE@Ol{pl7Q<+Phc^H|sV!LKjDb#AM2>;t zQGzDGkXmH`N2taPkSRdFTaaTIjd1S<z@S=106?fVZh?zon4;KC^gKBKlx!MA`MKKi zX*Hp2@%x(MYjW9ZWkbruw3Fgf%k+Df>F$=P_sjjPB!{0Xw4v`~iC=5hC;v(=VJRQ| z96$7PevtR&w#%2gIGg9=UP1G36Xne0J3kY<XA;Gq61%*VVJ^xpr}3bcvD?eSKi4{L zuL(?*Ij-X`6AM!kUq>Xq3QBzGo7nD}_=1qwhD~gRDT9VOW8y5HkAbnXKZj;k13Q&J zhQi`@ri^`enfW#(JRY|rW%2hR#n(XLo1}c!9F4H(>!K)il0I+#2uU`TIWmScrixT? zVE!OE2Gpo4AOf9q1*QB_js7Bl0zxq*J2F0KNPNiAplV$%k<qWg_o0g+fu@#Wpr^Vy zM8-RfDj)I{&|_UT5oo9DUCJ-k;P}X*K$LA{2S%?(jt{2`(tnWL1@Sb+IRN+Qs+R)f zkluqb8mLTHUILha*qCzcf!4ZqM21MCum~^?u`nguGpuyEC8`j#%@k+P5NPBS0Y)LO z4w6KWwu7?Epc}g3C4kQmJyVVyqg?~%BZ~xO=$e%Ph9OW>oE^|ocgYG!(3M*OEp+>> z7<>(Yk1PU|tSc|3-_n@<jT`~;-$oAs9pA>WW$-j)zww2T#|P;`h+>;%2q;>YTTIW_ zko<-dLO2J>6cAN6){0TDVOIo@LWZ`<7U-W~OR5JHFu{<Ypm!Suv<J^Skr#oDNP!aI zgcKz4OJV(S00o$WC9l#yz$7l@1z<IjtEBhC_%3uYI8ZgI0QeM{qolusRk@JA10N&V z%KrBnwU$qI>-}*;h0-eMra`kE4(>aQECFKhVeITUkc+fSq8GyoT{!c=`^a!5zy^6j zN$-KNT}WMEcPwCqZjD?@q)&hyu>56u7cATb&;=Gd;grBo!!xaW&H%>27FhB!-3rM~ z1dM^VVR6fJ0W2pDFbaNk(I%r}M<rbA;Lg9e@^I0Gmsc$+%PT5WAIBQBPmOmlt(5fZ zKSooKL%ADo7UsHp9VR;Tj(BGor|WA_O<wLXK6>Nqe!H8Wy^D-r-pF^*Db2O>av<v5 z$+dpXzOgCH<ZbRTFRH<QvG<d+p67`=U%Vd}7v1O!xo>M+Zg?X<%w#Z^f8E;g=9D+Z z^D(hk<{jzjVDKo?<U?-n_4bhaow+VvH!)u>n|L}IYuq?%*LyoxalJO={)b$$*CeJx z>|Jj>aAVZIciOwsc<hFU{o$fq9b>O+R=ow;r(Gw1cz1hMVux>xF6Jt{s<DH~XD9AC zVGEYI3-<<d*IlZAuw(8wXPddYD-V73qI%x6(i!wlGJcr_vFnw3M;ag1zls!k|KSqf z)rHpR^k!fO_4m&hf5@#)tev=LkIh|{_stvkWgl_1Qud5{WoKcpF0*HiJ6>dKyB=5z zU%2-&dy6Y|%}nIQ#QsRK9yb<b8@cXS6I?NA$aZ%H{g5k-yR#i!N7lTRBCjHB&$3|V zUT5}B*T-vSVy{QW&iYq{-Z93%>31mZ@v|Ef=f{jKvBAmKD?N9zKO}mr9Qxu#aXGB) zDbDtA{o{vvw23M9t^Swkd!Mpr6GuB2b7fvh*tlhOr`Jh<>)|zTigBMUHh5Xy;T3}& zPTEwLJLMT^b4_{ZR@PzL-a&56y}|6tM2`?-8+1c5B*fSm{Vh2v<eoG7QL^=7Pkxq< zZJRRpqbJqnT6Irp_MA)aNBN?$Df%tNI_zG5)<xU+LAKZ{0o_U&4ZSDL3LUm-926|x z+kt+Pe56j*M>ZNgOgS5N?_KtgO~s&hl<^*P!GM5vPn;#W7!`U?lqI^D){r~qc^iF> zV%@lL!t<OBXwWR`UT@Yx+ZCxd^<GDok!^)kooc)reTmW+dT%%S$pBmAS%4lJU`JJa zyee_p6q{R;IUe8<X4G}n#&o2Ft2BC_sR)>lKlF89B`U6gJ7Z*t(g=+5jy*KIFGDx( zPJ_C#qVwv9i>kY9%$nPF8XSM9EOY8$ZD>W0G;=Z_KfY(m<F4+5BJZdQ$%o8~gvMs| z7-QbmzJpQY6%CoAHdK=jyC$iJ2KPnBpbj-~h5L?Kq&9gA_r;@5HK=#)^SAiW#753k z9CSi`39L=XEz4ZDm}`=E?#oC07HAT;F+Z~+z@zR^Vdk900iO4_ikF#2g!4SXw~E)9 zZ2@VqJ%yP#f)Q=wXOBP8cuIbK?ucgwdN*a0ZEo?^gQhzMxtH&~&eF5pQDP?c$VLzR zmj60j=ox4;S*#voG<bFV;HX1xNtV<m{-Zj@*i^Tf5*5=Ev2n)JcbofQIK|jZ_t8pV zUqVk=R>eh+y4)epWVC?~B&?$E>d-|K+uSjakZq57?D+~C)ISa3UHk6o9`YHj&n?LG zARMM0lJ6_f?b+RC71vXg*}LV+0K3!kq|JsB^=CrQBNjWF1@lI>sM0`_rbD-`l1$r5 z1R{?jRC(ZhsPT66k4q4{p0T}j<d0o0ql-D8_hwx~YWeip=H6m$z*;5BXUlo4CBxI0 zl_c(5xq#(_{V*pfW=ZpX^2hLPtanb<D}J|GF4&ui;d2$;nNHa1MEP8~m}Q6kP{N)m zAG|tZ*f^(M%=+MDvYIo#w-8w#=iTi=_YpeXU-c`ys*deVUCg=7I*1h}dVj|cvD%$B zjhB1%lpn9VD%;jr?0vbSopljgktAOzf6Mv<J2K}d-+N|Tqt(V+?JRGsLz4Oxqr-cU zT`or)a}7s4Si1T?^ESD+9gr_wAgkJ?S2tkWlzw+uhp{*3BqdiDwpApszn2q{xp8LV zz4^%2%SrNIzqp^%J71$+%p7;}7~|N%{xLj~kTdNTji{hWzPev9Oid7U?7fV1j`LQO zH<%%Nc14AjH!$Zh?H`+R^3q2U*POk-bKbJ<@7&awb0^&cwKXYRxHks=&7wA}eCQKP zmC{(#sQuOb3B1E!aHZS<-eF<gq&@BaH~gr@kx+bRx&_Lr#&6s`4_@x?O~Z@R9Z;ZC za%D7Lnm#pZksnt6Aw7CfZ!S@wD0kQe*Rn9F*Dg$#g(L4cdq?Bj(wFqCP6@i)^Wni3 zwGBDb?y-8kHGV_x(QaJi(IVZnFjZ!w6tUvW6}tzKQSsCqg<Blr!znWb?h{i6X)rVi zE8h-xv*%v<`!G9G8{_NKn;!MT?hixAIEMfWvFFa<Y+*kPYR7OEuwM*Kwxp$h<A^Pi zk0a)&+QV+?FnuFKYxUTi5l}R;&Cx6Z*aBa2mR|xkQej;NkTH&#FCx`ZFpV>X%eAUc z^2?YHF;Owv1<c2C1CK?(ZqQj+nmxS;=H$Zv2FyZ|6Zs?HP1u|zKsyZM!k-1EBXNm* z85n{Ej01xVWn;iohWs($Nki==03WvI%#R>_0_*}W8CEUQcOv<7baSL6fiwi3H6)J# zj~bFf_=DhwPJ9LM3NmL7&<OL01LVU*&LjoU*HGJ*-vP8lMlJ*DVLWI4rTd?96TK!4 z&UJh9jKAogTLhd|HF-Yq4_ZLwbTTYqRz$x$3>lPa<tHWm3vSjj3Bff1lE585@>YGz zP7MvEB>r4B5M1Ikf;SoRBRKZ&0PI>&pXTT)?0y)h&!z?pM0l`6aa{}>*a(J8!*w%U zU_y*~6i347(>!7?!H$y7La$-umvKVIR@lu%E6M%OqyXroRnBcjizXx#*UEsxHek4s zxECq2nrU`^BcyBKU5NHrJ4t>MqgvA=1ZNHo*5?XcFRcfgJo)kGckJ(y-~0Mf4gl+- zmW{lZd^tra7C-Ipbu9aam8A6geY0MJeZ$)RtZ!+1SJeFDHG(n~JaYH5>sk5aL*C25 zg~^KQ4E&e>FyN#L;!$BHj>f|`q8x8dd;e?PbK`&E;ELxp1C3}C2jk`&)?p^^b4RcD zIp}=#{>%8$f5xygesE(x)TA%h$BRn5`5!TIZ}f$l*cd<2KNn@vliPQFlY@@j`<&+r zrh|50tP;ux%zm1QwQ;HbcA5#%IQT}C{mn7&5YNY$tJM1)xh7uMFjqz1ImTJ~`$gWt zp7EHD%lBX9Zt?m9v;Xq__FQc*2TVug{kB|nudSH<ktUYLN%|d~-lsfAta@+dg1kVO zFA*lsb5}fjt#m$lCm4t5tN3u-vlqQR!i1MwdHqO;$qwVeEb9=HrrgQ@VaFlQR4Wxa zsH(8Ponm5Y+?q9SbMvFubL?_*-)c`;cC2f!vPa?7h#gy2@AhiI4lWDCUiJTxX7$jt zS1q<H*<|%lsR})*KU9JEZ*14H;JdLs_TS|8m7Ynj$Jm$4>MB%Vo0rwU-+PlSO+5Rb zAMRuSU1oQAU2xg@!+YL%4>mm+vU2E7wu|fB8dqs7&bD#wT~qJ!3Ud*z2}E9n*i*@8 zmk$+X?{KYH3!gLYP;tgcxpCC%jEj!)P+_*A3On3y#;>yVRNgTAX55~QaJ5?F&KkF6 zLtS;&)J0xFE^~8-ZfDmg){d!218`kgRgtG9yEd_Xv1iE3-=%G?ryzUYMYyUyV{DE+ zy37`;u=3loKyj}z`+lOw;-UO(PNMZ<uGGud<=U#C!z&WooHY7OHQS~I{hd-9W;~QN zf3dbHSK%3F(^hjx;TdC7U9(Z)S%)5=9BJA(?OB8Fpd9%REM(h?nx3zoG#l!mx7hOu z`X5Sdqw1@;Y?E4ZXwoyn#(hv8Wo(Nsq8w@5_{H;*&FPw+J6WE#Dj#-vma7^>=7-+v z&T_Jyl(K2}y0WZo-D`S^vaD>aYBr9m5aUld5_(UVWoCQlKf4IB1Q#I<J%w5A7dM5f zqBh3%yp(<U-pi~_wg;r@m+!sE(zM+nWk=p?&4Sp1YI<&G@h<j-7+at(4VXn5^Rw1% z=00*GjCY~GU8=29d96xC7USluIh(eR0-@(on~Lh5LC@c9sy}imsu0_AX;X-?8M^C| zNnKA~)`$(YdZW}c0^M+GJ|TBzUo&dDfxT$tjG7L-pP=&2Y(RcOPif{<Kw3i2^uA}P zw}BoBJyZLtQEwZ}7L58c<tjk+lw<}FZqojjqn-rbk3UqBDf^E{#hHEthh{<7zK5uS zK#%xC#hLv9wc`~%|62(h)Pn}O(x^MrfiS`gR~mI?N&-}N5@m`4(&Bo?_T54iG;rsP zgqhn2H+g1rMph`dK<ja%-Kg{i`8T82nOg~`dEwuTUS{eL+<Ed@qZgSQ2u8f{S)<lW ze!%>g5dn3jf!(?9q{RlF`fSDX%r%S2ChyLDiKyvQ`C~>qRSff<so-VKT2xaH6=ZS) zR0PV;oU(ZQAJU>w`x?}R`)H_+Q}d%0^_e9BkeHs2`zX3Ud`2A)&3Ghi8!1)Mp-K0P zPkxw@lkS*LRG5*Y?yygkWA3!azq&m>){ePT9#^(qD^-s+a?ow|u?{nOe|7X=pTowl z9)IaR^4Sz-WT*SUXFk-Z@2Za}m6-d*<AH9jPhY5!jqa18@F=4m6(u)0Y?OPP+qP07 zpjC*k+L?YR@unGB>y{Ro(Ts??!9G#;|6{QdHnpPTs)^~f61K=AM>ng;TjUYEExtr> zx#HE;EvA2z{709o+NKUAg2;-ttLmm(OS~hEEOnEL1f3qIwvAZz+`0-f1(nDnjGkXz z+16{d@sme_Zb*@Or^oSay~Sn`M!c()2akjp?a&?k%{s)W>FVURn^qeKJq~S4wd#?2 zP<5S)%qT{tx~;#d#Pf0QbL6s1->RgH6^rdvN)&q=kz;Y{-Fq95gK+}!-g@Mq%h^@G z>AkfoE19hNm9kD_Q<K=@y?-OS;soFE_Q-!-+E*l#dmkfT#;J?<K0-Ffsednj!;(6k zUGe*}_r5Cgl-;%Wg5lOA?|J+lWV#DvMdd0N>|7#Oi5IhMu)T@uU3<d}g^2=@N_nST z&Mx~Ev36i9R4&AKu#B)qO6}3TXAE_eeuXSUEH#n)4gZRzhdrEV_6^_8La3<8omGJn ziq%O}7wru)oSRd*vfin7tenpRU{?|ZGvzI;TBr6!$<SWa)b2S+0c+k+n4ms`H%A_g zW2?x8{4Gv}q(;_#CyzzHd=|&adND`3*Vpjce}IcbHam|#lgzlcz`v_xh963w-&xy~ zqi~PYYpd~7xX0*K*Jvx;>)-<xNB-kkjml|9!pi&8$*77Nl>=#d)KlJKmE!)fsBO%d zbib^ZTH`nA9--%cN*;x`g%?>IY1IDWeo60ijpR<cCram(cb9uPoMDk4THc-RgqjRs z)5^Qjtx@hZlA?4gmHD*C-EYJFRR$~<rkkPe1b9=+1?hsFkOoO%di%~zq2;gBF{twa z?91gZ(>I|G1gKvwf03?<+7ZBxEN@MRpg=W}+v&WWeIa-Y_$7a{NIXA%U2pCrHv+#4 z{%u!no!_nWs-00G_~!IEy|$AAq5Dz2ifYNA`|o<yC%F{-c6iUOO(A$Qc-JnII!Rvo zh#s|CTk0MGZ!nlo$eD3#hE3bE7je$8X~X*oer3#AOn!o-lsSb-OOQ;vJ%hb9^hl6Q zxmCm7+M6xl`k8Xfe7vND8GyV=^ZV*n343CAKi;o|DZ}K)ONyC(NL4@WF1LrU0z;2@ zzhY)Trgogu!}LHNruoU;IIsuyawV>t>3|$@3RmK~m=a9XI7h@3VbbCxV{W%#1@_!I zoRGN<dDF>k4rc{(GqfJZ?S`e>%fI1XGq)m7JB5G4y=3Yj-JRsKxEIU~NF%54SzIfV zkC`9C5nxyB*`01D5gVM;XF1QAYlull?@qTw*t9|Z7;Y!*l)d*1hsT^nR8##5m|PW7 zCHc%L#A8Qqp<5JepS`-!jRxy5m>*T;Oq5_CF_MpN6!_99`iyF;vMLP7gG*}YgKBaM zTsLTTCoTpUqel({*2C*h(WgP@QKTmNfSSO9BL<yD0h#~~@YqxQ6192@k{I*{ir+|o ztH!m!i9xcRxGTU2J$fVkjat1w{R`*-iquHAfs<?Kd*EA6(dD4Nowz6<2ku=%?^cVo z;Lw02@csb$I7qTnCIVTZ$f3YOczpnU3?$sip#t;ag#q+YkP(U$3e16TsR8VUtJlz9 ztJzs_E(5Q_PXy3MKsqRTJ^h8+77I=!Fb%#XfGz{^cgi|Jyq%m*Q2kDFCkTWB)YG4< zE$!k&0RPbA*U_8R&iVsxsRj7chd^_B^g4h!oLddp0k1#F&sXc;#i0NX>yhf{W^j5n zy+KW|OV$Az(UYA8M#5uH(mw)|k+KB(5O~TSFaw;&kQY@h7tjDsFo83_6x?qQPyi`N zasvGWSYk+C093=cG<rXnZ%7vd1Cf>!fTyq=8vPx(%8>jW@EFFX0qkJj&irC9$51v8 zpdiTc^j>g|A*T!2k0EyfJ(0M0z#f>oGe8dP#mJO^GML;6Fafkda^e8iFgqGu1TM5! ztu8E(<T$z&jOzp#18zg&;^+c!jy+%$_zFW30oyRLZ-5)Ha3{cLpdOMFOK%6`3}v%` z449b{U>FER;$i`ouq7&h0FzSz7O;LQoeu^W%4Pt`Fu5cB7I@ZxJOl7Y(8mDB5u6x0 z4=gj_3xSU@bRkfIup9$K!?=$0e6Yj-Cj@dZWCef<i>1=*!FKilDR2lOvyse@TEO2C zwPCm+#=K^&V~&Cp2W=zzsZy+<)kJLtsSZ4VIO3>1O{xKRAdZA_`Wa+c1yS;qM1xWd zyv3v^;C~Rc4mp#g%c@iYze!RA)ZIWHg|h`0A&xj`e<59ho+e7}Fg#&82Hstyaxept zAIj-wIKd_{Y#OJFVGVO9N{Sd(s)dmDIO#UnA8{m<BV?Gt?qIyB905b139*+HGTJpa zg>qgqFtGC&_GQjX#wOSSjQVBH3x+0a2ZkNVX=OlQAfn_pgQwXSg0lc$(l?95@fqvT zIW#u{w+sADtJc=<7NbftDg@Wen1i;V1wztMXoZzzkn}sW8qKBPwu5`LHih8Kz+GA< zwvs%?h-y)$EhR;O8-6HPvu9kJe@-p47O~Dhr`GSO)@CWQYk8~Pr72Tu%+>B`*JnTb z*7vS<Pq|kA>{~Wn!1kxe*OV*WB`E<vbCtedT`PZ9uHRenElH8B<*jrVr}+J}|M9xZ z_2JL_^}Q>;#VP%3HRE@CQapYhQu@kWIX~|&Pbjh7DGon}*TR(8t`x}{ZTzk%MYP6T z?jCc!^)r9@&pE6xW!ul(HPbn))lbqoaU8q*XWH__H|*<_tv`d;!oFc&rs({1Tbr20 zzDU{d6TcQVi)~HeuPMi{gr8B%tWMXH>l$mY*}KnE*4DqQdUd)c{+#-eH-_E$Gho?k z<}NQ~cKx3@-+~nG8f~#VKV@qD(W;lwHR`9wGEC@7`ziRL9KBngQnChK?EdIVSzS|n zZt|URNmv*j^y+qLT9qsE!mv)OqY7FW)^Sy)pfzPryZpN<Q4pK5r(CWqTpff(V;xp` z3St=cebVUEn?~eUm%moI3f(ZQ-RgaXG8EgF<g<9WCi{!agVh|xn^3IHYUM!K{8LWF zhGv(FRqlXk6jqYdJEd&Mo^UB$ja1Y$WRJUqFWA@kj=O{|v`Qza*v=&3)b9<*F_*km zZ-s6HvM33+s9%#^nAA3<Tzc<vBVqbYJ@T_l=Bk+@uO2z#!dl&;_}%WEOWLZY!nht; znA9@$IpnUzYUDszrwd~hpitIje{xA$4If}d+-**(o??dFHD8ra=hY#HT#hX~szw$h zDHe)G&eZu1x*T38PdIniY?U++M!_~Dl}+i^W#=Wy7UDj>p58``>p0+Jbu;_o=(B;q zCc$~#IDtFDD(6M=PVmxe7sc-En99$X&r7dtp6<?i<C*Zv>c;NtL5a@>EG&wr{&|UL zdPz>{I{kN3;6K+Ie0E=}d*^VW()Z$sPF`%g5n?ynb!r$vi+!<GY?(p0IQ-X>r*|eF zJ-D%T^3PJroi7`Hk8RVrVVQRO*h(vc64|R6Se2@KT?R(i^L=GN<D}Z2eY(!I5;wRV zpY&6j{xCh{wE4jc*VRxTw!kf{3A(|i+MAXoD|Y%IccDSeqWvZly4zbV%ten#?l4Zr zZC6`1cAL?O`p7GI_gn*qSZ#aN$me5e+cD>@&r8hQjm<$DG5g-7rtPx?rM*TPhT=4F zMU6>r2E7|H%>p39Mo<)9Rgs>#RIN_Plvk@;WcF9H`TGDCBM}>uGvz1KZta`hbvk0B zzaArG<8i(6IyP^gY*(Am=<&`}p^-vwcgV)*Ozz3F{C$#LMnWUb&eN2Q)XdmwcKtp( ze~8p*NN+fr{b}!#Va1|$0-`lR-I>+zoTk9fVL;t@D)RD#b~J*K!2Y<m->_mqyOvex zoHm7@#277TSFt!W_WQje`?Rn4QB1{m?Ml`O=d?-u2nHm^A4Yx~*XFY9oYRW;78+K} zYu{&u)6{KPCur=Ry=+7GF1$Mu7|*t5Ejgu4;2n^y|G78Z5Y&YiV^oF>MKI#noqJCh zR*3LBkZy78j=kQ7MswO{5x_XrXiaSz+maRQl=d0F71<ige!17o(CC{sn`P&eHjLkZ zbc<!T?o~GgiSR9$woZH_Cbbj)3}ZB_O=m4RrhUS%Aj-$I6IuO^9=G<A?b{3X$_<QW zv}0LSRQ3)Qhsti+d(b{@5I>2)k7-A-*i>~hR-t2>6kmohQfT`ifHCa4z4clnG1@Im zxj}oG+bqJp8y5{LcVs&;`9!wVO{$+(>Lx>sL~B1|at$EUxKJ40k?p`_8$hOTa?Oz| z+BE2}gStI4+yL?wcMMkUz_w%3iR?Z%o<3v}=K~uK)qcn{Gk|=-eb7wp!oAZx9i?5t z^dqt*ZghlE7tRGXY|j?EMIuhqwC^xUL^hErz@!zqkr5+Q?ORMUB3tO@hd52uzR6@` zAVqE@#Ay+(UDHT}GlUJ>v0u4aB2Hh{zQ**%Kt^x~Sg;+t%}pC|I#N582_Uiw%vme8 z1yg3l-o;eMKxDXe=&&uj#VuSP@=28_nj4~h0(v<_J6@H!2^qpws%ngJT+I=R_V3VO zTXv(Hw?1SLSD^_K;>MwPTec~ajZVvR<7<sv(x#*={b0^u<9}97AbBYgC2P=CzK-w4 z&aUAXkumG!31odr{SW3e_WV!MDr>-1uwE|4p8g3~g*l|e{&-R1TEFfl#{Th>zruR! z%3a5cv9dM%cVxsmeTDVLwSJlT1$*EpX@zBzLRPZ&q-^=Ylw<qW@bgGcino&0?HapY zu0)oo0t|B;D_I*Bse%Q099fuBzs4NH3fIc#kohTvYs^us(NEGiGACt=61F=<UCDaw zYPVkg4S7A~#2Rx1tMik-#CqYnWxaeBnU=C;jVZ(O*M>W>ytVR9Z2ekrCl>S*u*7=q zy7Z%b2KmQ2f05PfdUhFn%Qaw`IfR{Cr!T_HQ@C@m9VzvzFY;acf0Qebhu2AqEVC5) z9IL@q@ME|GJF+etMMkE?t};IwCNIjGSVPWJ1K=6M`KjO-WMhJ$2IiC?7<^Ic+&=(T z7*ZC=O{@>j5=C${vO0lVqxu!|6%4Uq;G$(1@@Ya&4eOnAl_K~G@^J#Y24<JwJ@}&7 znWGqvLQ)pUjjUeh97TDTVgFQcm!an(t`W8;L46P`H|(7nrXkBztscM=hBk|w2AFk% zT@6d*TsQz8H?&wJH?XV{xKi+#;kHFw154nXGXNend^P1JGHja~zKpz)5H1COHq=|> z)U(>1af;zcWJZFS6g+GQUBuPHEEAThVT1&EHOwNRznaB&1}KIjkjV-1kIY-nv(v#5 zNdE<T2=e#>r;f#QmQA+`4IfW2gocU*%MfIA0{0^`-&rz^7aDS=f+<L9LTojw-q~&d zEHxZjkVUgTIW7&AFCr2Y`~;YDOn);|VK65Jb{kM<A}0{h3VH(TqhtS2`2wOgrm&eg zWiTnlFCeO7I5gIKM^OXwtHG$W{5zsD=0r1d(qKdi6dN3#nH@)PW9*ul#g2tT<@1R9 zG2t|rP0R@ztH+T&<kn^2J_CqnS;s8#m=gvLGyFJKmt*)4u**O!#VZk^3VIx?)A7Vm zxyWG03@MJ);pjbtpF^Bg0ODA?W3*{3%a~Xm^RvO$8GbD5rK8yp{u_cFW5;6-8*G>% z#j;u*)rWv0gBEFPr$M8X(P{8Zil0T$W0snjpA1$MoH0aVOn=kfTaM(07X^;;LHrCN zHl~Wo+7ZK{vYH$ZHZTVbCKb3bL{to$3Nwo-Y+_0c%A|M&!bbs!VbwX-cMZoNT4?gp z7iIRdVz+Kg^aRI|<wWBXSyFpx5wp}@CLWGPJfm?-!PA(~37jL#LDd2WJcW^qhOZ!K z<1z=BJuSQx{1tO-g5$ta;h)Irv*#6oCow(~vQWfBnpr9M3+97}(S>;@3XW3!|Kmqw zN$lxjd>6)LLT1kr+efMjsS$T*BqEDQ6O=HE?8)L`D&iK+jK~t&`-y|8h?_Ka3Ao6f zBn}o~+C_K~#&AMr$9iRNDGt7jxJL6X0gqr16J$G9o4vL;I1-Uc0}xpR+N>4Jf+n+K z?V_odfMuBVahWZv#Xh_U{0Xx#o)dyNF&-I$h^GmP!9$ozQMnMq6%A7mzmJn`S&jDI zMc_e9g$O9bjF01NS*A30F*DDe-!*&*L5WyOV$PuBKUGa=@ggM3j6qv@3%(mY%fl~f z#SD=rwCW@3lbF-!^Pfno83VS0mU1!r^e4b7)FC1^=|zcceT$nI{l_Q%O2%7TZVO(F zmhtf4wIYV-D;aNW>*JVT&<8$|Rx)fN$jXd85nGa&a&#XLKd;4!@K$DY+s3w(E44}@ z`V*PsXbEpvgtq!b9@i?2s83{$p@qEiIj#JN!bIjM+UOH$Tq`GHixRp!LS32h+Sab6 z{F~PGh!cs-5wy-H`clRV+bu2Svs!5pTN0TvG@m!ziRSUjJJI#L;7&B?6JROhx$RPO z`Ha>dL;S^zX4|uI;9Ir<am*p~+z@>cY97IzgYJl^Pk529syirGXdNCREoPWS(C0E5 zYz5839q5rE*{D`zM69X?VQcbxSyRT)o+*Fu%+~qJ;25pO3xXP`(*?n)7o~gp{lSW@ zl=tMOj1PMxPlKbisxNSBGWz%MpEAT-1K(SQX+6D=Q<L#-Pu0`lD_V~)uxp@p7rals zDBi<)Ivk}%c}H%{=-rd^w7hF;e`RpjR?qjiM(Cak>Zic+t-Y1QG_A4=@&NF}R-5;n z2B`G~yP6Eqo<e`{_*RSe<c18Z3)}$k*w$_DaSa)QJvsj1(XFp4-9%g4Du*v?-MA1Q z0RFsH?>(nJqkRwV>2Rc0#s#wg@bFgXdt5!#^1@OzlyE^_4Yjz?U!B3<19&<dp_P0= zev)}>&+L=n2rd72^boD%?>KcCygjlft-`I3D;dJAig%VFTG1D{Cz<(sBv0_dt(?kW ziWc=kY;{Kc9y@=qbgOD~aCF8e;!;`pqGp1ap8$0Z>vv`<^yf-|-TKt-$O+A8F+CyU zBeB1%d_l7|tk9V`r9WAMU(l=y<IpnR6GisSull1U<=-_c!%jFeC-p~4fMWf_-LvDG z+%P+5W-+m_tbAVcepomSY7=&XmeE6Gm$`N6yLSWPGpxgwoR|~(4&D5?j4on$8L&%V zT!L3>hKlKN8J)xvW#uCM9o?k3j1HoA8GcUltQZiNu{%tgmSGtd>%{!5zqOkmoAHup zR)+tk$qut~Vh-zX=qANxv=Y_JfFk{tlGaZB#u7%S{<9MNtR_8d$&vX<e?`n0(@YHO zciel6NVb1bpej4S&uGSmRZ%l`gmI`DO~ixt%t8H0F>XvVDvV8qnuQfQs_GERO7IFz zA2A>%qmEdgH5{Yaaz<|OqHOo<4Y%$s(XTm<8BS;T#0=?fsXnuGx9rAnwC1xjTm$g* zmeAKY#|(!vYy<Gr7WwtzE1I-dG6$&rnQ#N}*Dc3ha~v}4&d`Y&eY<)3;K?mMuVtZ{ z56_qxfWK_{aGlY$<=yq*D9ws9e#8vPZu$*;*A|!8GW!hi?#LU#G|f9_NW=`{83Be_ zw3~cmn5uc}j2SUQxZCeWFje#B88$}Mah7x=ShS`6I$pHJ@U_e?<JE4<8^M<~ubuJ6 zfJe3<UX$%I+IDN-2#(ZDJp&+S5YEh6Wmue%S!L`xqmBW~wyeLB*=Drt4%Y{N+OqH} zCq(natH=<|_%i}DcxX%I^>X1B?)71c=I^h_wi%7Pz4gI^TPm&tg<Hm7;cPQZ&#=+V zyxsh);Y*s7prs$FGpP9IRTFStkVKh2NRTJvyHT@=_(gb33wZ)wA5{M%bsBa4IcYV0 zfFMXN7o$!;2dt_&1jYVnD<RY;yNOYMJm;^Zza?;!@nV!L5&s<?(L!HIe?zGECmn*c z!c&sK>u1L&g}>iDzEi%vSv%yfemE_N`|<I2_+h>0+KYL({9Q}Qt<&(xpjaYLcJ?nJ z{9%yU56~Aq#l?c{uxGi4qT!EEMqb+6s3zIfUOwnv+P_OQ;4c5Hx3ezts%KtTNxEZ; z+3}j7fdSC%R`WMDl|i`_H(@YFcKm73OG+?2|A@oMs?`nA@Th9NhvB)!w8r$7zlYj; zn$PdkYIf)C@cFibwynopWKQ&B2ZbxuY=TZG(|ZW)WVbGq`*Xlbx-~H?c~}WALpi(* zknyUP)w&3rqU}DP{h~6jUtuMrlIxEQ{*pSBzf>T5d;4$b3$DeVJCp?<KOT=f*}S}c z-gJ*|`MSx<oA9Y)SIV}etehTy^yZ0Q-GQV7-yglb3r#VyPP}&IuVe27e?Oi2E&0LA z&Leq2mzFoo{?&5V>Tb34n)q1p$wMx0m-<c*hurylw(NrkbNS8q@%W%`zvT`&A6+RJ zJQjE4uJ#kDUwY92&C!CqH+OYX4wpzD-xSVn@4m~kTc2O*n>f}K{jBlK(S&_<1=GiU zBkQ&fp3fThrTY%_Qr?D|_dXhVwj#auM!CKJPqTf0KXe*+9Q9c6<e2{>Rd34)hqnPE zN7#W6M?MNg$HK^C4=YY@UU@4$;@{%5FZ3_zquss*!%35el#kk<#HZ|_729tPDERdE zXxZEkjn1LtSA4(ue2M<&&c7ASH*c=iZ7%zJ?UjeSjnyC9rRO8f_j<hC?(o;Xx`V{> zW3h)q9!~|NHysT+I)gGdqt+d|?X}<V!O1=54*LK8+HfR<J6d#aM3P%^@&N6T?XM3k z)X+<fo6i4LAUj$WHF_}^9Dja8L44n>a))epYv&ybt|`sSzE>;#&jZE$?Z;c~AJ0DS zy9Z^R-<Lkwe4rpNGKPD+-=Su2Pc>r9A;0V%<gdWLskDMGNB_JWFs6B>@lnzEW8dz- zghv>Gdpvaxh>wPfG3hZ!hK`0mes{#*^VC()82pN9cJVzu><`6_>c8$d38#0wCX@_N z-x{J?|KcU$Yv4h~(`v*ZJ}+`vtrH&7;<w#vvn{gh@b5iURq*_v!nM><-zTmwmo>z` zk6d%tkF30z^i@q0Jl))OZbkPlns;|0xwm5RcWmk1sj|`N#+p2XfT8Zv(W&-O)A#R7 z4<3p+kX4g6>GPN4KZ^NKQ`-lQaf-f&SN^nae(u<yrPvhuCo$Xckb}+nj<0W|=V+!r zmcK<sw>2?~KY~vdHt80XT1AWucKnT)`Rxg@A&#bt!@Mm9g}EPnk!BiUm8)q~NIXed zd2`RW<VzjpVL!nmnIS^qo?DK?Pq%1~!?S}TOZJOUTc7in(q9tHlJVc*?6a>Dhb4=t z!|81V?d0HDcq;LGGEj6dA+$}aM#JAfH1gr=o`AjL4npE`>TN>QGU#>{{A7#vk{X|| zmee|9N(_>(rrx@1_?*9ZWc2sDLH(=lw+Q6rwgQ4Y2|ojmb^T}EWCDJyC4SYtfZ(^> zHhBDa<%h&z;qSGs=LR0<Pe$%&C-f(kE6kh!UV8R;Ej9niAJ0jPo4)#H1hMDT%z_G6 zQ>Cb~M7#p-(*jsbuOrl7N^ea6^rugXjx=@V*gvkjC<P7aL%XI1Kr_ewJt?V4AKWFU z;Jfu^FXCh1G0(^okHouVl;LRjvy<FG(6nA?9j+<e;Us$yG^HoMID7?8dnRjCvp*R= z2>Pmbtd7%|Zg-Milis(BHvpQ{^Qn`C!XKVA8&o~sK3rsU>Akxc90jj9=~t63*+r+| zyYyV@WDV)!U6GVv8vM>lQcXJXq(GWlw2Mp`rowNXG^<G$?((AqQ{gvHvZbJ+T_j4d zNU!}OUZiJOC;NYBdK14S(C>SEa<oZw94&B}%xM`HL~*O!tu%E;%_USK+!dJ0+;GFK zveZc{D_6v=%zc+k5w*n7WN|6iL|hVZK~&t+e1DnG>-YNy0KMm)`#kr#=iJt$9&Ozq z-%zPk<X<e&gMmzoPiqoITQ<lyL@E*a6ER5#xz>~fMb0!OX(NxZ#Af6V-_5inb!z`J zAvP_){q8wR>c+belvE7z)1(+%z9#S$O}-*<Hb@Hg&Zi}*P5ZA2u_^i2fk$Za+;^v2 zlGKs^P9|n(f4Dn)S1QQ+M*?TxZ51BdIrqbE08aK^OvvIv%)B`X5j=>g_iRGMzKD66 zy@wJm>}T=e5uK?&0NW4~?~a5EJjhu6eB8))&@#N*yD|a9gBW?2CTwvb!}X5u!#1)O z;icX$5=OX?i{7<+w>Kd}^}}%>li?|cNATkJ-lcm>8<2r|llOB6w>Kcn`oXvev>LTu zKV|6zN?4OB6q&#kODFAsxDJgDZSWj;ws+QEl@LO!S9|~RKvM|OH+YdCu{V-i|1l24 zt?sQ?{^eZ#@8w||AQ8cPYqMRWN_R6>uDNyE9V~7`K6XML!&Ce_^$)7nAQUx5wV>|k z`{V6m*b@tI@2g+TY#zmMIAB^i-z<Vx$*OOQqjJDgMIX&ZxYgR;5(yH!u7&l7ox=U9 zRfx9JH4D`}&@?>O8?^_Z!{MEuV>N}EtC05knm9KOMALifn#7Llq7xowj-zc=8hc+O zH4!ug<@ECCqNanYpd}&rb2-B-i3^^te`A)kJG=@bh|Ii`NQQ3Nkhc2QaT1(rQvG~q zj4(5=e$MiJm=MH*2gBSFI2YaUmC*9nLf5>?DhCuwv%OMS_Bj?1UOinKSN?LcYNv9d zcH1m#H&YE?8{P7mI3j?d3V$bN3EXiBO)S6wEFH<Qptg<_)bbr9&=6J;&0z#p^`YFR zrEQ89S^c$0v*q>F?QQT#Z9tJ-JfPn_;$7;G$Dxq%$~S@5n5wp-4-OYN<(HwC;wP3O zs*Pc%EL2V1=3Jv-O!MZptY!DGcemqHM^Ym+^^moWAY%~6)xRt+9}or^shtay;FS;7 zUT=vZf|i^ToeB=$psUWq;vJh?M;2Y5z%IO;pfR0FE<LtLYRg)5O~2IW2;!DsKxPG5 zx4E7CS`PS8_;n+5#pyomOb``aeF6E@qInl6fTcQhSXg5qL$$+!pzlDwdoi+fV(ANQ zLmdKB3L4qV;JW8ux~pO_^8Lh|(_`e_pi&YjueSf-?XD}&9goE0Q-d{ikiL$ksH)D& zgP)3SvzEdj^jcb=8wtd5&wzza*a_9CwP#z-5<&Cs{_l<x-EwPHTEbXWp^%<h`IhS} z%}~h4TA3DoR#gauQhPMr1nbJZ9$J_hMg|J5M`nMXvI_yT%UOjn8Gar#IxO7w@3JfY zHk+005GNGw_HT5Ub9NOmlMf5Ak4FF)*Awl3g<7||&R$QpKLt%{b)CCTjLA-&^3>b` zx3`t^3-g4-o>}EJS`iqCE;4?CMtApoH`g?hT`Sfi##&mfpw}L}Tbi_^yT>6{?q;DN zT1d|cyWr~f+O>C0O>SAW6@d{gBbl}QcU6;iH1}|%^#p+Cjz#v}-3q8~t<4XVXc?Jw z4}$;j;>5bGy2aMbb=VEKk>QJ8Sv*ZMIL9jjQ`uY1*BaT4&E#Bv2A}nch|OAYYlaVv zx-q>}37jeu`$g>wZNnL~l1*i5>~LX8OuEo~DH7aL%6|6Mvx>pFo@<XcCIa(HuNvnp zdC&})*Ng3wprx&u^Db4kwJOvY*Xm^#?6Vk8fIwrs#4o)Ho5^<}+779>#b&O!glC5} zxw2ex?fbMZv;Z?pUu#)Mx#pM5E4#&HF1iFgGcgBjRT$cqsz_kK;Qx*qn92*)_KnaH zS_^Q-tr6bU2EBl(94mdR1=?)^=9CVHBbKfaO8?5yj35p#Uazp%_Oix+$4k$H7iChK zu)wTpuN2FONBS#wAMz?RZ6$;%Sw^Bi3YdQOycw;}xgO3W(Y7>=;muyun2{xyC$<;7 zN@Lvezm!m(oWZ)zyM@%*bu2O6D*m<oRfeOfzE$<*)eX^UC3D6l$!d{A3)ko$7^$|a zKig5obPKGzi5*#RdkwE7X>Mu`zOuBgx5JL`oP+9wW=la8L)9iJVjZa)Rm|%1^_JKX ze6@bPJ{H7v%Yh@7d?!p(SF7~lZeG?nAX|B=q-NOb!sFOs|KYQh^($^K;nrT(T+L6_ zJszsuDqY)KkHa#j-O}O4Dyp0clMf~Qy4&rk>s8chV7*;CV7;olTDo4dJ$0?Bt6IEX z3_Frj-R?2M(S*Y9k~G&eKU5#q3CUHfn%eMn&*qe}jk3?xO@WqoNdUSVJo#+9-D*{P z^%`2({x{Rjy{gJ6S*dEZOfM4^)YP6z3mBQ2=lhIg;^XiR8y=`Aw<)*lb*~APJ5?I+ zD?`^W7{dM>E|_%-%ZHoe|6Z?Z)l`Rfd2U5!O}f5^?KzH^juci#H~-1r<b-IN!&V$i zP2CDB*Br7;M+z$W4oRjXE3WTgBaYUlBYf8;*a%)TQggg=!C}i}B)`(5{H1~0vTF^j z*0D6^1lu(<p{?WZRW;~8L0{V+Z<Lu0RL&ecniMy2TXGHFe7pC?vSX2P%_ZUcsnnpd zX~I&ONk?Dmifd3<aMS*+oibCi$TIzcs&LKEm0umG=&B2_r;e&7S$UO~<zf;)pF=kM zm4&Vvs5C2I%1^~sF)PoPTN;euEA`9u4M1Gi92mmU4P8a6)F{u(w+pNKRQc)PPmJcL z${q(*bd@g5+>vAC_D#f$+K#10B9i?VcFGZD<TmU22==R^su3u+veSWss)E8o@we%& z4`4?ew+yqUUE^W5M4VRDQQ72>gsOtT7O!O)g4nL^WmWp1tV%(`S0QZ16;{?ns0z{q z!-lSbP?{Pr<~3Erk&Mc@vM_xR%QYBAGT++IT!nQwt?b=e6|vW*S@V9T0ER21Y`6+w zQH4z#u<Y`XqP8EBYv9SE!vx`e<!a^E@_&l*;_bF8O)hbMyxBRyhBZ2MSy=Nb$I7{8 zobQ?WMaPS7|5#iDj}}Sa(>!>*R^C<aUUWTPv)?K4(u2K4y3-S<E}Z68rQxN<y<1FJ z#idHz(k9r@32)){9k>X)Tj;kjoL6pBbo>XH0lS@WJx+5IJXrqOY-{h!H>YQpE*#Et zzyswb_io0eZd5Xhw2D5*0hlmMAuW!^faN-QTA=n^@#UIDuj6hD!F}at@9A%x;KBk5 z6AvndqT<|RrphhXcS^!7t%G~ZMJu1<Zm)x><;ROG<CfOI-9_h%G!JfVfGOoii;l+u z7%=Zbq0lv_yw$9kQ~9C%r=st%wAISa^0#KxonbZ%QAiOEXO(Xj(qaLtV2G0&E|mt0 za=M122`byl-<VnNxMr3Yn_bw+WWk&YQ)6j;un?yXbI!Zr)$5)1ThL|<m|eQ5)lAM5 zT*qZoh^~U`QQ1vIQB7&FpMbiNfh*UE_I*${Oyzi~PtI%N@bYzRc9^GK|MglC+cmdk zE?p1JP9(Z6T@TK-^jr!D8`|TcZf(HD>vyyLh{JiMHaW*V?U>hJ**}10p(_VU%|v9J zimhapp3ku)4&zJpbM%Qo?)4md1k?>(Nh{UJ$@8!atNc{@NlSt>oLl-)3xuxhEmh9B z?U5Q<Ni7BD*m(d#E4xdjb2L3tLn^yU#dE}n!#SnxS|cbh)c!6)GX(sh^e|h9tO^Ee z+plXkx434PHfTklz#XN+YzmS_yY80#x@maoIxM>hSrsJW?;&jv3anwz)K+a7&M2J| zaX*lCJ=mUfYAZH#)uqFB#W;0G!`ya7r8L&Huw+d+D|Wb`gs+?wJG|oZ&UQq_I(C@v z(qubgthp_!B@4=1F~j*K9_cT!uFEbpqGD4TBdQ%U%A(6Bp~N}e#GJ--DYMN|v5s*i zl#DAkb1Q~QuBKndx-PgB+m;$HZD<UXn555{-QLh(mJBLKa4Q%k4CN%;Fvlg^Hp{q5 zs6i`HOMhvmDb&!ny`pk~tD$F`WW2Pl(OdF+x}VwYbq#9C@pMbGr8SN25<vP{v)gML zT_qosw>TO)wh_jubQk0^fEi$|;(f`%vn5kIx=Wnx%Bd`lhL)|TvE6D#d&%0frZCs6 zk_zRBsNu{K{<A7mJDN+lt+g?L=7P2DJGC`m(OQzPED<$4=@Ml1LxyAOy6PO8Ja@`& zz?p2dD3gWJFtg&wL|`;bt!8B+Ofy%Uo2`aqE|_NWog<P{PXQt|OsqO&E?_jqUd>C7 zm;jfZtF0<!Ko|`pt5TUQw8ro&$CNOG%thx?s~0jOXpM_jwMw_cHHKadOM^^+3(mKa zzbn(Q75%S_QobtNVKvTM6)0(nUI?swWg?6-InJo$D&^F$ioREWrlcyTGMt}VJyo(} zIQu5YDAPhKdR{4}+*Gy;Rb#$7o?>~RycD9*{R$9#TRAnPqU)7-ikQK0&Z~Cm5tIhh z>Mp5oy{z|@Sc+Jt^3rOVev{SSuOo&Ts%Y-D98yVRht(}SSZ2|o)N1|LW<%HPR}GAL z)8VXFo5{43fMB(u3swmHEn!H7rj<*m=mP|0S1Q1UC?#4@MH}I@v?@xYjlkbdPSpoy zzTzgoJh{~89BO5(1Q4iEUa^C&7u?!XYazV3Oq$x<`?BiPd1>qLt@?&KyJ(X&kNcu= z(lGUj;p4-%`xyc!TmoeSCUA;MXxca-xaOA7W?q7W@todW8aceW|Ap_=bZKM{ysbW7 zv|#4$v%a`hZhVO(x-6HE7Tvga^T*#?<wlpf_P|@^hL>I)hJVi>6gk|}{PB3R{Nn%7 z<bOxz!#CXW!J^Ce>^8peoC+^R9DWovnw;`3z1mx3IN>kh_aryU=|x)iG&f)zr_4*& z4*%SP%{v7oBp%#iIQb<2HvT{U{J8sN&MEbh>fxi^FSAa`mq3SCcfZX1|Lp9Qup~T@ zQ}pftxO-y8>E5NiAHzEt*+shvU-!XuryB`v!VI<(?$Yd!08Y8)C6~QLK{@!+#1Ge< zjLf2igjC^)%pz{W%l*YZr_f8rdy<0kmLgW<_o=?9a(2Ns|06l0uplz(^Avc%F*=eq zwb<_%8A+L1j8va2xE=L+>Q<!sM8OgtNIt=L43CVNy4CMkXWBPW8KFK};2w2-3f%7) z5@|QJ$aJhQEfCD3)xQ+jiG*jBOvk{;o5U{*j;~ECC&1zAg9V@Yo1_e$V}WU?;4LYG z>-bNk2@%G1L`PCsi(%^Y0vg|yBpR>IF#THqB#C-0r=xx+X5<!7_?xX6-yENs>IvSq ziY#{?o1PJbw`R;bCYj0!&bMaFh$PA1n=*0=+V~$)<=Una0`b-_(~b$ITPtr+>YWAK zk+h~0*#&j{H!U!>W3(w^qLSw58re2^i{=<9I<@?XQg17G%@;?NlM3b|W13(rN5{y- z$;G?sErf(%VMpaonYrOQ`wg#biVz-5!=5N4gax-@GYSYH!EGIV+htz~{{-iC{JmXf zVn}6+$DSx4cm?NSGnTJ~1>Np=yj3<ra1Bnyp2#O$501f}Sh`kjNFw!ZmVGAt6?_|e zf<PE$osY>_xOO`T&>^{5cHS_aJukxPwS2?7>^Ha*d4zseCN2X{&<$>D?-Q2k8@jQt z;=asZdueFRzRFemMCf7t$t}}0G-uC8!@ga6VyMl26AhcY_OIb7c0e?2_Sz%EU)g^~ zpU5S2vgSEuP{UBtE&8<whDX?&Q5n<M;tg++9<P>l5SmzzIAsvS#i`7w6YOj5K~-TV zvIv5pys(U^YY~QjlO7AoGz_nhZVA*#ghCcDDkFn16BI-4yIVGmkHah#+!}CbH(12q zic*`x3mK0vWh}ga5r9!+;e#+u1(lI%U-4+n%Yw>&hem_0{;Qa>F+7(sZ<3LZzlrfH zxD}x`f}dlEW6Fl{Y{ny#FN+RAX#Il9aJA3)uZ)lAvI_=J`~Nh_$irJ=#0tPnhav-9 z|FH21o&&+)R{tY(nSlYSKLD-9z(X-j`IQWZECZMR^TrwT4lfK|;3dP#^b8*3!3>9V z1LOW-qZ8j8(hR`;nMNn(98wIF`dy7q%sM<Ykn9&nm+2Vb_QY@IW~bFd-`45r)QDMI zhqev=oBD*-{$a4Ez2Q;nzm(iI#z$0{wrEqPxY3tshXjKy<{Ok+Cw?1E(?5}ouVcJ1 zgs~l>4G{QBnu9C4jc|+R5NR;N{1c_thJVcvN0pKA^XM3T7|X#Cok&=`tJVTJ@aozD zbil{45^Tr<i6Q`n*FWb0qU)Z=CYiz;uo5f?%d2Y}&<ro`pzuKf_0Bv%Bm91cSOKKn z%eNooQGc2TsD=A<P?kWh^|CxbNZs?8Bt!V+4$2~k<<YeXD21Qyup~elNR&Lt6R#_q zfZKJlF%pB2HzW!VWL7_jljw&8dR^H7{8Jag1>oVjSP2Hi!>daOK-D?pBxn!^uPZ{p z3;1cQ#3#rTQerOT5sC5*q+CB3Eztwf^18APcnrVXPMHNs*E>f`P$1G?SJnVNb!!}e zSKS~7;8EAW0X%^J)J~ZO9n=j*Nod1o$P_lH$>RteROfMJ6@Y_(ASY%)TuEYC5SFJe zP2^+b93=sU7n3bBA(u%M7HGb1Z5|K`4+)cKg|vHi-37ddtrb|V0=FF=L;+&T8cZdo z!1H*qLh!ufY(J3ia3l)Q0&6I+Tmk-5u4^hW0UpL%@_|0(mob2PSgwJ@82A(3av6A| zyun0b1pE;%mJfd8ILib&mxq{07{YW5EEj=)l@Fo;fn^P7KnYB?ATba8$ni)x;8xk1 zvBUs4-0=YxK!6SAi{*jy@s?a5!{G=PkOOPTx5R^89Up`NGGNd1E$4xs9FBwm{L4<G z0lsBxMiRZ?^NtTf0ckMje9JkYY<Y;0L^l}d_#g!E5GI>1mJ5!<C+2{|@nSjPK)mG) z@Tc-MLy7m`&1+Zaz}>P2!z3-(2mK@nY>;5d2CkL)pa5=Vmr;NySgyVV89aRL3JthW zCW`_%i<m)z1UAE4vVi#xM}h#su#i~F>WR3b!Tm?(PBZa|h3b5ZD?5_7!uvc)l2hx3 zxT({Jc*@F&z#`{;@vrI(i!0lb5rwk*;^XRrILh(~u36WXWLV+gckxm68jCAilA(n^ z@gysqqBq2c)$=X7m?s>HoWF~IR)32VBdFKlC<`a978P?PUpf60Z<(haXVJAGS>QAi zN8z40Uv!@<i79-(CvM>MA&$a1p;hF}mCSM~j-$+<__IiMPh8*WejFuN{a>7AuDY2; z*Sh3WC!aXV+zDXO^Ih?e>dF>fYm!M$r{gFyC&Y_>;!1iK&Tu3>3qNoqk%h9m;vdux z%)3@4<DCYD;+^Vz^E31l4Q8`6k=@ht9r1Sc8#v1>b!Qx9>O`4Ymq0SY>A6t6P5mlP zEK{9fF7khJDwN$3S9iJ}OPM^u%3dRjPpfW1eFu(5=M-Zk$@YVumW8S_2us0nfmT=l z@sOMlviPLxF!VvBB+>r4r)7caN9d7A$?)v8wnxVHA)bl(s&AnWA|$Krojon{RX-ps zmyWZwjzmb7+lLUvzo=$HAB0QZ&HjLvypyflCO)KEfv{XSemUnkTC&J~&BKy++$g7k zB+gVVMo{po0njeSaowB{k~m#86Jg0Yu92fl67N&J3VpyhemX}NE$Npni<V5c5Am@4 zc3d&1p;er!`X}^AsAP)0u7~C9@nbo<t>Rs(_YssF)o6rej%o;klC3HZJrW{$&wdSQ zIeq-C)`MWlSo=>+;#&5tP2wG@^V&y(CEc@~QIf9NAuW%<_6<nOspD_79t26cWdDSc z#M(bcQZiIOYIpS=pAoI27jHRtpwhK-tiyI3E6zT*U`!Fng{ME~9gBYUJob^PZ39-E zb&jRdwSBDFw%DA)mkUUD<{fLay>Bj7aIRg&w_na9{WR}bt*wtaWl7F8U6yw&<k|C> zM~1eS%_)m=Eak4vW2LsI%`FM%8jLA<=bors**tdpnQV;s;JG)(6rSfl-bfGRKmJ}f ztgU|*wo~5roQ+m_wQ(%pcHAtHD>tY-%aGGg_u(GPwQV&^WUF_!rl!{Q&^``Al|d0R zez-^awiRY#967c0)3`@}K~P>kN@t%Ni_OdRC|_a7QIu!bk3F;f$4u;-oP2t5^rMgG zPOHqW9ZR;=FcX`VJDPqvT3j~=_uxMh)8m?-Q`b1hyq*nmj(I$5;2e8k`;!@ETI8cO z7$vT4J7Y>=%QYz<q07}NUs*kdv;AP2n03z8SS;%t>y$4|;hp0X+<zZ)R`dA7%Q$iJ zx#3e+XmShBWI4y2&oWM35gc<$cE&wwJ!fPrvfg4po4NR?|IC5Rnc!pj(N=#cC$7rI zq%>fTwOh3+Cl;RhOXfl7F|yU*Da*n$GfI{MS%Gv{zidcKh^hGGnS)=uoEWmoWa%!N z>~`{V)UjNviqa$`<rHO7mL+J<+&^BJBjk6!;i<B7ws$)>O~o~>o+GO^Uh?gU%{mjE z!2QoF_OI`F`E7h&wy)M{>>OVD{yG1mP9?7I*V~Ks!Cw0D;0yisRXRzX0bJFtoU<3a zzs5b@C^a3(`P}~YKVxEj@7J+^r%u{+>P(DugmYE<vSPenYi+#b*yrf@YW}Eu%PZ~6 zIqiLR<1^1bROgn`#__DqoTJ{yH&huS``=KJ5AaK#$PoBeT_#%95Ykt7o_u`8t{E~> z7fwFTwZlUS5Ryzgw7bYRI9&At<Z<2kw$Hz_vRvUeJr~1OjcXuN2ym3D8H9uQJF0X_ zWJhfIpG$F!dlT{a>Th8i2gTdJklQaU{P65sS?N+yC6hHFh`p{;Mv)26+eA*nSP1Cw z@|dpP%O~uI7k&%!U1rpE9-iLBs+y=fxxd^$vS1f|Kk%<>PH@Zo?$lNzHN7v=WpC6T zO`Q28^QvFgFXbmwaTBZPQ<VHOtuj~oWpAc@FnKg`rb6aSzwC_knTTV-$@fhjeL2&r zl(;BsmvY(U(M2mCgGU#v6i+2C$PP=N2|rdW`&oJxd#uoEL0OC^doiWhSp2+|k-;Oz znOr3?t}Hag$M})HRfVz`M^-K6wDF@)XPzsG&CB*kUt!2nq-R5qJ+t~pS?rsvd`hv= zqmO4!%glxxOSaNb7MqnlnsVAmT-Rz>UtGs(L0=qdHLm~Y!<nCCW`mC<SS_4P%s#XB zt8efzMDl&qF?e#Z;iL95Grx*}R3-g@CM!tRMIDQ@syLaLd1mm}Gc?&^Y2Tn@VOG)l zk6O=&#>H8(?Btp4&#U&GI$ND@|HId2``i7O0(+bnW#hWQKFX_U<G8@SMFcX}{o^b4 zM4i4)S6=COj*s{2|G<Xz3X2Eho;~0xl6qUQ(x$y1%zIY!0@Gb$rN8<!RIIiYBc92{ zN{{;w8uu*gah1Eb6vLhk#Ym6(*Qi)+DTY2f!c(m5jmAn3`{%24GxZ$OU1Fp^`@c0l zO7O2S?pe^gn*NHb_^S6ObDKQ>IF;@V#e&`$vmUPA`Sb@|Ma;7oIBA344`w|aJ*{*X zu3}biv02Z&-k<4mIBEUf`({14{{I@=<ocVbbgwHu?e#J1nbQNNzlfIp=&!8Oy{4Gd zd)lmLMo&Eb2v^bj*(^uV^H~>15&29mTKa?k!Kv<5#rWRAFwxWw|I|6UUW4*Cn%?HK z7g5sf{x^(mvizNmd#3ctl)D9r5xvjDq}%+j8XwK{XPmMUC^|ipi;`CFy>HqxsmDrQ zH<h0D-IVbk(2Y)cg;6AT51z6q^qo<%5$Fn}yZd!RQbJ9oCw+%y9!4q>yPu!3De(O$ z^K+zPc=Ec*6XWiXQ_1<hZ)F}vC{}knpR&pK{h(yCq|286IYP0#JH$Zxi*KgP!*IpB z$z5p0JIQ(`(nG!#N;V6+ms4J#6^pvplx=vrMk$TP(oElCr5?O*fJ`?-S2rcpSeove zsbs^^)kx7ZmhSVtD)W$`dpboAt>~95hgMAQ4pFxGrmL9JXe3Sb{Zr=WP{ovPU1ghD z-D4?wM$%or_mz5be4~|Ya(qLSda`}RWquA(yw|;U(q>xst@OiS#n|po`qEn6t@_d( zzVpBS9IWV`?1EBsO%64D0`6`&X)~q!M*3lpqD%4-lp?nK`N^IP-;cj`_vy|guV0jI z@jf`D>?m}+AK#E-doS!!1v=sPUhov66JG46nZ9q>kYahW4k_CT&F_nUQ29Cm_gr`i zjqmUOkSOqOKlJO@@wj(}r%?Oe=LdC3$Mv2ZPa!1X#rHJB_m_WA7j;+%lud=w_oshY z6TBPtsCnK`4lOqoZYRinmm2hbvq$CWnB5!Nlj`>lJha?U_$MKhtAKy6yCKE!_Bf;n z6;KH-dr~xSheJ!D!i)E(H>5s!KiNyl^?tNR{idUQZ)jJl$6M>ra$Vu^`^yK^Ssm$n zF1u0`Z|OtJH3gr9b&i5p!VpKnBcYL_@ZkMV2h?eugM^_SDed<&`&710(}5UWr|!UV zRRQ<@!+uhhx9grnmN)B%A5Dj!;IbnHeqX$Ao#}mfkIK@SPgtK<2u+VKxcp^~B6d<! zWZXMq-E;P`%OGME^FAa-a6kU(-yI=B(VSHK56TL0dLZu7@{hm)OncGdUf_<w)POK9 znMY&|2&A_!sLj<dnlX$8k5Rx$q<R`%YMIfGX)T&cK<^_~FmH>P32OU@f&srv4}U!A zM>oDDOavuYlP3z9n}O~;EvQZtacqDaSGM>apFiMm3H$Tj#1bZ}sPxPRVi}WOlr+KL zG8i4uzm#_1AT)5(E4sC(wMNt~3SAc}<qk++GG(!9q71x04}6Ww-o?*g5Hl9A1L;j7 z*wvz1h|d~Wv-q;lr(<qdNd0hNVqO<LO?byOxLA~x5XmvHpbP*mArGDmtr(C8*cMnJ zBA<o97LCMnTb20eM#4yAhjey7nnYL$D&sYcG7}8RM8s_#rm!eJ;a$rF!Kf%S=v|xA zGP;V;H4&KlhhjHr#4zOYy2q!9K&(N3KT{WZ&G2DU+Lt!Zg{6saXyV{SLgSt(aUz>3 zZ)i`%=XuTqskKd1aL@r4rP`Gbu9h~XO)^<E4ME9hg}V9%!rv3t1ryBkQ7`fcm?(o* zBf`YW*+`;B=sj|4lN8e+5*zkU<PnoR)Cn1e&n#4W`HjbREPizssuuO4RjSuogM1nI zv(iLsTDzyS`%S@|{#vL45<2;m#oyMSqOW<V#isP5+nXc9)UO!QiAsfZ5A%&Dzh}F! zEqjc+RX5Wa3azfs@4f<QXudQ<{&3hL8WnZzX>Ab=i(Vx}e#a9;;ez~lvPryH)VLSO z#FP|0PAKCN2L~=+vfr5a@81-W(6cwe#pD&e+T$}Y_#*tC^ahbWpmhndf#6^=i>@W8 z?IGqd0TvGr0vQ-T3#pBXZ<uFA7ZQ4QC+0AzMXCwOyA!jR<RVal&F;huCb8(jo+y}J z7Ry4VoPl?7^1Dhin0rNg@uNHV?15d2$$hQ=LQNLpMV5L+v+-ySQM1Tpk1rsCizedT zckr153l?cYrOW}YNJ_@<!-N(Y?@0@YEd#8J`%{c4BAdA#*h9t_G9wMYOlb|Eqc75@ z`2Fa}i``TFNP|h{ZNrkOz(|7$=2D<MS%i`Bi?LII{pdPE-$YG>!6?(+5H_XNj}EzL zKgDOFD+mQaT(rR#rk&xrsTw9a@FJ2pv49r6<xOaX8w@f(2X2$_Jahp;1S1lji~i@L zDG|X%qc3)|_+bWgCN0pNq{KmI5dIF5Cn@2XrwvaM@wrS&;C3ti8~Q0hFX&w>eh&SZ za3(0S6+eqkBFF_@Y{k!@eJ<`d;d7X6fjuaqHbEljXzRo@I)Sitw+v;_$=tq3Z&J!; z)&-WeAlT?=0%D?uhIYM3o(!a+BMBpS)ldd)%-4ZOQA84R{$gwsf`xXx_;8Yc*PzAo zKtPF7=pc_1rP!Ve6I1~-Jn98TAv*E}In9*ZK$K#6vILY!g=TW`6qOGRh;qRwG?GQ? z=K{}mfnPt=BkBxBp_c43MO}irM#*6mLLy(Zr5TbhPf-`4EIuV%p_F`j%9`NWFhR}p zd?K(6SGXN1*CsXS`DTL3gPKJRk)--P0|k~?g?}PL(F%C7E>Vi%=^>zmDWD=<NK!OU z2Z3dn!VB_gqSPnPClg7zo{uJ|-=NA-L#<Lho>~ISP=&|j%PguWU7}oCr6``#0?QBu zpU8ETf>-1aO2H$t5vA~e{1b~h4Lyh)YLU_=&rDL;&?deZ9a_h?3|7FAKTIZNdAd$W zWO=ey{Af^qq)Uqwm|Q$*o#}abg35x<N3I7bgpxy=L>lk*70OD}G(Apdxg&4@)t<9R z3fvJTpU@+j*TkX=JkUIS7ClIZ*io~s|CNr`$>^x*M>XbjdD-xq#^_uRZVW!3epAQ4 zBXCQ9gg)nSl-D#&XL}^aOe~^;pavZ^oBE&WUp;!bO&4;Wda1?W^XQg3KX+&`QAIg8 zuTh*54@Jni<(15BGRQ%Bp}G1DI#h?)Uc*3T<+ylV#Np>rFLGXxq=ij-Igd$N3{-lK zvDav{(l=CE4%jO@T4@fIlB4A19<4NsdYB{Wb(GtrlY=AG&`}=H$uPtW>VD3T9~XM4 zb98z|#iwg<m!YA&zHyI>hDx_8Pc~g_%UC%wRRgn8_(iz2v-zuX?<X6xlt&&1fR%(k z?&mc}wXyHH`Mvz_&_5vOrLV?0*lQLQYG8x?-go+khNUr~Xxr`Z=4Y5Q0rLT+s%Uhs zquHf;k<p=;wbeURM9ze#TGT{F-zN_>l>WuLP%N3zhYHPMdfFIH<e6tICVqa=v0?f> zMu`>BnRBJlK<U=v;>q(F1-An79bCfJW%U@jmbw`c6Z#VhP`Fxm(=XJZCXI}~YZs&T z>GsvTiUI%d{Tnd)B7e`l+-3h@ljZ05_yb|?l7Dr2qXl{=SGmi*yZ6Ie9AEZ@)S`by zx|~H1x6ufx^5we3YGb>J`HgLrFR@R82PXZ))Aj^H3pG?wqhUH}Dzs^Q)!#{eIbJJB z4{loiHY`lxp{MkP{w2Tq=7OT){~U|e{-WI~O~v?Xu>Ww+%^e+|c&zvKh_zK~z&~os z`O-w;hUkaxIc}dcM63qnWjyfOzBut_Dxe`_1RogLbkii`1^!96zG22I$BQNiK>$uI zjc9^c4yYq%SUaMP@ZSRb)a>)0tT%P`Q5@b$gy>WH+8nqXeeDci(v#Ju4tkSAHb-A8 z$CKo++SE>8gA&6KS@a5z$f$|TUdumV8@UVqCF!*|{&u5z`j&;we(s7tR;4>$dQ;Cd zeF~?w)A+U5;SbYz>3;vFbf!h*jv~Hy&8%l(cU-h|Gu_u>d+)~Ydg)Jb(n39J?esG? zDvlO5dp9`#C>3HHzlrYuvQ4BiF5@+trI%XBqoefLRM$UZg}Ir%+}CC{3OfXh-q%s; z?LT6XjJNtv)QhIQyyl9zelYp!lM+%;z4Ou@-X5OT)<=3WCp_Jw@R{@lXj+(3CY=k- zAoKr2h%rf8(9}X_sqGgqqMF!!+YUXZ_`<$O(W8jgKtQw_y@1~z5UJK(z>n0Q?7OXA zQV<xaKhd}3AaAO~4+vL_EePxnsLSZX*F@-#_PMLW3bgtILe%UF_{@Nci~>h4TK`L* zo%*?g8fHMC8q#25A>egJC0;9Bf3WYf!?rP=7f_H9>iEtW&lLsK)BwR1wZyyg`C<C> zKAMBOu@WaBBjayJd1EDf-)Z%e2KZc2oVNdq+tUm^$9G2fxq!zRXB;Dq@UsC)8FG#n zjqo!8K5F~=_#9Cndr(c<84`|1jV7i85;C@~m7(-I`?l5S`byb-bq-~Q2+?~(1_ED0 z3vg8<69Q=gkr^Y`L>bi9_uAnos)^J$uNJG1U<Ej;JtXk&>bLYJ{IL;Vvm*-i`hP*0 z>J9&czM)vy8}<izBe$S8<PUN@V_OvQfBqoEJ8U<aq*E=9?u+hRUVjiaM0pdYawq=D zR^v#o>mO+wiut|Q|A^gCT=FkZC*c^Ijh}n}`s2=qBB6KG?BaJ3tZu7FiD1-tK0V%o zyLW@<pPzo$qHIqwueaYUdoLH?tNRBzjv;K+Pj?eRW_;fNWxBP64Oj0|Z;zQ8w^29U z+=9D%<D37JbZv{W-5YcM|E8a^K=0m|^?#K9tA*OGVs3Az8JE)tO%KHd()}N#AF<fp z$({C(PrrqGvf9|u+hmr^X@sONnrH7Qvi;pvs)eEpqCkZp%$@R&NdFu6M9`>_egzjO z&?EI0n#u3vX7tXe#Kto2HcnIH6qY>$2mIR877>9_dQ()PRx+lMMHOhFF?uX&kOI-O zCQ|P!6|IorS<~;=nAQcg!8DFhxmw(|+<fXy1%J=L2)z;NoYqlH<1m%2mE1PI=och! z;8_!{_nG=ts|Ve9A?+zttt~f?YN_zErxw$%C=CZ4B`Na!2x+&V$>>IdG!zt#)?-ki z3Pg_@hF?~i3-lr>ci!(s+6#nqSfgIrV}usNFFnl|I@+rE%`Ytt49#v;obyXbQ-Zp; zD$e>nOp}BjMK|iC;Se=+KM(oIrW-SU_tSo8b6ajq``wiPh71gD)JpS3@M(TAX&mk3 zmfS3Am6i>vkxVVqLbu$QQf%#PZ4(E|O(b#bLcf)EP*43|R+hXz?sr|j1gS;ybCxF{ z9fBIw)2Q0q0KHZ!TOMo5-_f1!j`?j8tGMbLBQt64u+w0cGHa~0(_or1VJyAVFxegW zTebO<?S_f&fZwXIH~78{DMLy>C!Y`{`xR?z&7sa#Y2c5|=3;aHR)cX0Nkxq(-<Z;@ z(i0;!hJ4FT+-ex<b`5?Jb0fcdMmalXoPf+{&oQ-~yPe;gvClHJj|N|<h?dgv4C-pl zjgso<K>kCL@XkUq{_d(KlSSjw6|A#R{-&;B3SPxMMsd;iu8co+Jg?j4w-e?LOy5^2 z4^*<b4Fla~zb%_R*=S&Ppa0DYJC5(x|AlUlEAVM~*r9?(HyA!Fkdepex{h^mKPWjw z=)y+3ZvJxe)Dx!9ondLU7)7pcP6|TBo!da`*7%JeonfXW)YVV9qH>X|tCx~w%nxli z|1e2~YjlI_`}E-%l^&y9j&E8DSS34Jan7e$By;4i>2`MmemkeE6{bt;KKk1UWzhxR zM`r&xm(u`E2~}bQH@xqDrz{_>IO7v_Z|ktsG#99=8BT8R#NFe_Y@<;@4Vuvpjg|O) zJ}!sfOXGij{2e~;6Or=c6gTR|wC_!sZ>E8(4O%I_#(bJ@ObX{za#U_sca^dYr-9sE zc1#5wbz{o+x=e|w7R}dLhG6O-Xi!g~p5o5ywsx~+uw?40c3kjKY?^t?Oh-~7lHYIH zk|fX;vcv|o!-7M3@{vIgV$&v(<LuYl4f1bWayydv+Dojn+wu)9#n`klB!iT+tUb?q zy(M4Ma-$<@NqdeZ)~|g%c%3U>(PGq*L_p@UUvJ94Y54~$b+P3JHf;#`FWZu-Z5ezd zChdI7ZhI0>+a$OPm&Qc?!xm#|_p{D!$fJWE;L_;G8|>E%ZOz~-xU@dxU+l9&`GBA! zxHP>MS!|kaOLTkEH|^hpyQ0&mNDcPcb@_i=jM|fCwE@9bqSLyN$Jk;F?M@bjuHD8; zq-(!pom~^z-|Ui;rnSEZz2eBnwTzRkvyna|Y8G-~>g=j~bW1~6nr2IJSQ;7WKoa3= zDd-GGKD_1MFe#0eTyhdiyO1UFL2wK@5|!41Z2fiHG<Vgf<Kc?ZlPF#DhbuB=rZ)<^ z)}*sd#|ygn(#fXdD?aZYj>y=UidI53JseTeiqswNT9Dp08PD(X_$9;O#<EY%!&;d# zlN<S6Gt${6<Ag5fUrbLim_B6>vt(>cZV<Z0rQe|&hPtl)0yDU=AVO2A5+AEO&}H(= zH|0RAF0*S;IuhN$=we7G8;^5*vL9wC)rdgWrS?n4e`wQxctz$ST37F3k`g~ux3}x} zU;LE=Lv^WL$A7U>=7;EZcL9DmryLlf+tu|!dK;yy^Dsi`3Ec;IR7zPoq~U$n!O`WD z4s@TmhbzBkqja?%dMY^tH?()H9VP1D$m*()jx-$4?BXA-KIuU734ds<Bu(?dKJ5E- zJD{PpD_{Dk;rOIa(7hjroPGGJckJQZ5BmXc^1a2wtpB<)oWls7j_JMG!-)Ny74PPI zLx&gkbNJp7hp9iLwslPIbsS#c>5RRfKN$J0u<TuZuksMY(=obNdbq{a8Gi5hzp#y* zMeovkFAhhzIv4NN?%mnc8G1i_0Q#=5;C<`x`vHBUzW=?^|GpmBZ|I!ASFi`!&@s5@ zdl<2o!|_HPRvn}X>-*mS`M=bIG=}%{dr$Z58Q#8!F$eVZ`kwcS|GRl$zh3|Gz3l%? z4(Mz3l=sK}_xpkUnvU+h_&qw^+w*WvIF|ih?0;eh%d0x?MVpR43l*llWACB%r0Cx8 z!_WJWRh{<tH3x1S9nE`FKQ3@|$nTd9UkVkbyj>0xg<~x5uzTiv5P^>Ry{;cy+c}e3 z37A>aG&X{dmsr)>WcWqNw?#>6{QmNX=owL=3sEEU{qlFS3H3UWy@_N-zzd9gH2Q^U znklitK#GN6^;06{n~B8*RK8XK#swqaNW5PlQGjUg_v_d4z?{L<Q)Tv-<zcO2X`_gS zXSp9$(T(39U*NwTMV1&*J*uOrp2YEwTCNy5jC=_Cg-Mzr@p1umQH#Z(gv*x_PZwAd z5Dj>09^y&AWw`upw4905AmR<4%F{CYgJ8|mGQu?8I{#=!9J0Gm^gyQJ{zLgTsi&8R z2G~h@@*Y2@jtceV-nqRy%fE`BPz0QRb5_jD_olxMKH$$YR9&b=(`8rbFZmLAh<v;? zSBt?A!^-Cn8}hC32-p6^F!>DP^L*=htxpWGFnNFU8MM4FdfiB>7jeEnF;qT{=$vmo zrzMLCHInK^0Q(a|<R21c^CfZ-armU1?i;&DRL09y)9V3+1<JrS-6lQ#|65w5@WW>a zCGUZ5M9DuO{*+Ih);d5B8A@prX9%Ji-^383Yt=DCR&hAu2SQR7!WA!(g<vuLXj*)< zi=h;lSWK|aL|n#GSz7bx^#J)$Vu-#}E25oASrK{Yob`GiyC)bSj}>-A?|deX3B1~3 zITKO?UZmKm33|U*YwVN&MTW1446T_0RWiJw!*3^XDy`qEK9)H_kI*rWZ5B}5#+JOQ zVyP2S{a%%^9TQR!^<O$zd{mogs{KuD^F$ic>(2J?Q}*FH7h;nH5^eCj4vQTjs~W4* z-*Iv0GfRr;h1sUD=nSviSWf|p1jl!1?!0E*3DfE8IJ<L}s4(y4zkQqt&+Smz31d}< z>hyHT?_6g=LUlfN$n5B|szY=r9Y=SLv!oba-rK?^cuq$vzZq5kq2s5W@00Z4`p%BG zd}_-W+Y7NxX&TGw*xaT~N(JjcV%?N}9#a@gUwo_s{n`2$qsLhhbjGvczKwjgpO(G- zowUm?4;s$ZYs3zjw<rMBL!!30B)Z#<%&8lQ(GMNu-S5Lsnk21>j}csb>3|ZSR|=rd zqJGZG1rF4xDt2Nj$=!9+s<%2w2Q0GM1fg^^Vwo$dEn^uSbK7A}3M{YSSkly1Y|g4@ zN7M=_Z3k)|wZblqg%^_7SXr@S1!O)eDRykd^Ig;k+d6iP@7WYJLV|2V$H@z<t(dWV zvd8L6EPUCsCaRWQ8UxQK&#<y$#t3BRRg(@n)3Yopi)|ePCy>Wk&D^>n^3~PrSonfx zaa1X3c>_8?Hd&o(zq0{lk_TB4+&TuC!Aio7aXho5vPjiJD2=SP`m!A&gz86KVPD`v z^`eqU%j?iy^6#sD?RVCpRPymv%l73pXg3+Kdba(}8nlc2fwjef>O@75(&(N@0ia!K zt?oVfK(Iu%r+dalt(bGS40u-+Mx9+;;MwE`Rs;vyK^6)qWID}Djq-ncx$LgE0?%|w zHt98r`nnRnM^k0P-AHLP�(tB)Xukjr@jX9R<%M7qc!z<*+=R1gT_tpJzx^#}sEf zbsE0g`FaNw>(#XnFyDWIqeAB);odKJplGib`>Ce&4I2O!oYhI$1~u0g$5Z)`0B;u_ zsImTjyhH)Kz0<EB;^BRU2db_2iKi|>T)pLZpb)PY-%}0iFUM0CA*^=FCaARjbi6eI z-hiX#!Jl+mZh~%m$$bY5!r$Pi|7p60_5l6xz)s5z&_7<GToArqcLU(i)%pH1)Kgj2 zSWmqxT2CZ=f@pcWa6wu1#c|Ym$e-SFdjS3V`*GA<_`f*oT)0^$WgYai-Y1Sa2LXD& z*aduqD|b@XKuPtd<ES$baqlBskhj+?2juD1#Q`C`<aPlc;0GO)RZx8Wpb*dr=Xac? zLmJxWXpl{>7dwD<_zj$O7Tg&}or09Li{_0Y>Yob%ZSbo&iA*@7!%_fp@{-#DsMp_* zrA|Uv?(1a0wA*H#-+*Q`{1vak6GW~a^t3K?n?YC$GzB%3e$5btSiXD;lXC0VliZLL z^8;Vml--YK-9yQMNw?v;#7Gda`njidf!oJAu}Dz3`+8fdadn7iQoh^Uy2J=jb+xmn zb-vpNg!PgpyGASmR9+oI1blJJtV;|B-F5FmgYLNNwE>3QDiGESnwQ}((4eB~H4kf^ zrV+f61Yo)qBdB<{fI138Q<oPb>Q5UZjB`p<(Q0{2(yo!jNqFdgDv3;lHAhneu15m& zxm~SGWN4m->!Cq@?s8~QdUc40^*2pLcw;Mo>h@=ySSTo^TGzvRR`VEKuNBbcb{|2_ zaf?P+=eUI+sM&7fbz&i)d(~@5>uJrmHHpEX*y>MB0IllQCP0VVe63h8$lcuq1#)!{ zZAk@JHz2L2G~d)D27z4MkDx%<>gPymhTF$lN}uM8`}#XT3n_soEU1pEGsmv?m-3)* zf2y>2xGyXC(lU_Q9WM(~I<+JBv+<~XV(br4Pw*eQ`#&8rn@w{B9yl(=050%|5;fu! z1RgEeiGDXzg%uAXwy)m}Q#VfX;k`B(TlT<m!wT&CJ!-Ly{mmw#k@HY)q5*u#BbaMh zupACG#NzwiOcWM9?sEMM#_~uuyyFG-OpjOC2mM*-x&e|IZz(?wTgN1w=UEzz;Ys>D zeFFuqM-CRz?}o0Uku-RD`SxLTpGcn=62@b>q>l^`x~`X`%)6bR7FtIo0eN=$QlWL- zBx#;zep*Of7fGBaW-yjRYG;g~pit~xJR}7Afpo|f5~_ot+Sqkwvmrd2)WC>9K|4r7 zE`>m+dAM<3>yJ%&z_?9>>L4f>JH!N`pc+^vQ`K-RgEYqt(^p`51Y=1Bb34G!@&$8h zA#APCasV9Z^eQ&hto#Eul?7uNCl$bE3g@<g@5(F8C04*_r!%psCguMY^j!;@?CrA% z-8Td4Pu|&YUD<f|8_VWs*z+W_fV0(}#Tu72`QU|`zlr}kj9V9z&KuxT4f67jrT$y@ z&#N|Eb%?fZV|hq`6}Pqz_T*Na$MPD`cU0d)>iD`=H}PEnbExWdR#fU3jA1-HZ$_O4 ze|J2B*0QT{f`Z%HIlJytH#>sO3sreq6T~p0htsU#XdzK-KzK53r$(!MFbwd4Se#GU z0){yb#Q;WOHHDU2z);5{JYZ#cG!`%n%P*ub!49^ssxiRWINSAD(bQXeR$(Ggf(sTp zUWo!g($f7-pR+xKOVubTHj`k3tBP~u&h?JSORQ)p{%s4_K4mcE8kvwN3|e|UXmv(n zED{(RJoQ+ZUDyU8mo!-N#2eNgub%W>EwsJ-?DUts*UYnlEoe8VF0LGWuATaQlwg}X zVHYnIE{9cFpRXOY{nXKH!UNuM)QbTO!76Zf!sXi1#^WDx!In-(Vp7kS?}nG2)7;DE zpH+iQe~*Lw${)?M4iL#)fXIUK88a#ueBS8+7l?6ufdd$ne=rlNLbaS+xWFutbb~to z;Otp*ZNu{C9?Rj{!_C*7<Vf-a*mGlv`J0V*k`Pjin|DU9V^j5lL4}qKFeQI(9r&#L zA2W$>V0oukyQA|6FUJ?rfR8X`o4TwPdG<~B{+FI<w3~?0ugJ7uZS8X1;i|Av0iq4V zF;43T0|qE&)f-y<#ES!8!$jXBTR6hi`b@MNurrfdujwXA4}4Zm6V|r1@Yyj!`7D@p z-AF8;t2x2x2p8z>ILp!QX;Gez@el!|yIIC*hy(N(wN#d3-pDE+*LUZF#Rl?BC1wx^ z(J9eg06y&buAH_5OekM4rLO{S_5+mf41jkX8>3RS%0GmqLdpkCt=Zr;M_&%m&G8Bc z7*(Dd1|Y+R3oL2i1xGm!(AiNh3P6IH8C$c!`T1f3U~qZJN$RRbob8Zls(H!GsiZ;| z{xi!cAkO9i21qJtH2|2Fd^km2(FnA4F$H{eVLY>p1V-4%nF7XL29>DG8r&z82w<4a zkO^SarRJGs1TfU*2nJYL5^Vq&cFBK6VQM(ox|jeyyS!DBAh^^hQ5Q6>+P*>qUzPlH z$~w;_?imFOED&ius9cTnwhz!ijLi#UfPqMSLH$o&;erNcl@u#e=QaMcl`{tDm)uvT z=DPf=WS#3`_KXq=d|Kk8Or6sJ+P*LXd~{KMMhO8Xm7G?l&S;3+9zg@WZDvtGPn#|j z5NRW41o+@`@RSk^j4v6~2XwmdpPr>_G(4H3X>8iOFa)%_+)%R4a&cCoPHB`qp#%XV zN}lTj+FY(GNo2Y(o>~S0oowU`0qQ09Pf{l}SnTid^qpFE%XT|;KfACca{6;TWB?h> zro}J!BO}?A_~mWw$(GyGujB7*YfrQ+nOO$OwFOfxEW_k*?8NxAeq?<x6ZbKz#bf&A zhWj$I2F<?tG|qmj)=1PPfJDf(26rGn3Xm0P4e`sH+J@=(1LHovDb2TKAU-Z4%Yw5y zt-reyTE^R(xwS(rSEsLUxG$WWV~b7MZH)5HYO1%Gfv1<^(y;R0US8hT)>bKs7cUG2 z79bw!BunAZ?{sZH-1UUM-bqZ-rq}8tvyho-;~U3B$A0IKByEkbpN!s>jwEGMIZ0*3 zpSq?;=aDaitvju`+Mil_+Eux=y20k1B3Al_d=jkPS-LA)cK&bhsZP|c`z-QN@UNY! zyYjg$o$VY>Ei^b3cZZIAAX>t)wUa%Kj1RtrOIxk&XlZIs;?zQd7dx_c<k?7fc9keM zS_JGoVfGX<BKU7ynxIxg)DgKO&?dDMwu5%EGg@ZYF|qW!wbRXUV@sZQ25z?BSw!54 z(w=G-)+AwSS<QkP6h@oX95mMCSskhUwHZD3(zCi>l=EG6)|lF{W^N6qEjz#Y=9r)7 zoe1rb=D8XPOzm(pyC$h^bn#};sJ>@)xc2AfuQgP3?S(r}>r~sa^O`Nk#5^I)n?-kU zbt5Es-c7=tTXjk3T7x^NIuu%)(F`4H@~CFq%(~-JcY%~WfAhti7YM1aTD?1u5fH}B z^gG6NBdzk^Zl>J<*JZWJ&)rP9qg3bCDnEPk;T_3333RQ_9UP*Xe$!+0Ym@uT&HHzL z)N)$fr*GaIokQLUuGPBZi&&=JjJd<9O=`)`YOboWM%9v=%W6<9qLOfZ^feMfyXicd zhqMo>Rlh^6<pgNAHnT^=OqX}Grin2FU$OG5h?xGb=Jq=^W|&!H$WDzZX2Mu%r)H8E zI8bGtwp}wp3>c`wy7LhYm?7iktr}wtNmOTQMu|5DZkpR~)fi#Aj3HY!hL~4{5i!{W zqQiiudD>>pMNFgd9VVg#^SH2-TQf+!JYa_%<sk|&5rtITC>N23d1buJK;SWWW2ucA zI#Fvt6YI`FWMZxrs{TKo&O0gz#Si<gD|pL&Z{;4~D9wS2plA+q<f`tyrlpoS&{R}# z=19#HQ<j!nb5&MqspUjdBPXC{IdOs`w^Gi=%kMq!c{%(E=WzG~p6~bjJkKZEneFP$ zNJ0eATyHb}#E!CD2`Gc&k5Quwt`AWv#SfxJ=Ur1!e-~ej8l7`ZLM6i223$QDs~GOc z+)(&;)Tuewd#J;rFOk{V^#_beV~qh<7e)&vd&V^yHDBbjSal9{1-`afbrv;I^j~E5 zqxu!bL(Hj1^-GLQ<F!85P!t?~Vza8Tp4ojQHxOAhQ@fY((Kx%fHlpW~oW`I_RCmAJ z8p9=`yGw2@LUXG2PEVO!K!oN*?P|u~#;4X@!n*Iu1u$G{y!xbGg=>!1I`>?a(_pxS zbYGHNqq|gk6%iJFHAiYM^(f1|qPqlkU)LL5aVhh9A*B(fIaK>8<2yWi*`>%Ul<*Fo zz2xH8ZKUVC<l@`iCA)^v9H{Nj_z!++(Iv~vmhd<HR9>xOkF;KPZf#e_cUU&d1@EOx zcn8Z~a7pu0B80=T=UpCni4zQ9*>f(px{n~)IkhbrAAPFMd;LN912#JA67TgL|I|mb zy>_p=A95<YwkG4Lq4SJOl$Wd2tA3Y%yIW)e`duQtzTj1SG+S!RGXC(XYOZB>--S3c zT^zfUWY&T-8=Zc{ckN5IJsIZ+%{Z+bQa9DYE|8ZcqfE)iX~s|9aD<pn%=oT7$#+jm zep1)fyf0i?maKh}@Kd13i4uRCq2_i$X<4%R$*rH%RkeRFh%ZZqn39ju44;_&q<&Rn z#&&&^eELN3C$hll%^@}4DI?zEo8%o+@gt!jr;0=BvKr#T@c-&u0^=<>l76P4OOkm{ zbT~pZC%5>nT}dC)D~Ce;PWJH@yOQK5iX5R{r;NkoT&LtiDoaiF!tjAmx07bP#g1g! z6SE)Gd9{-lt{ez;Ih~BR*p|Fyy0a+hVLH4h>1JBHD4F<#=LdCG?T6{`zR>w6bH~&f zwYpfo0kxV~i!I6MCmqMhkDdNK6nN~!jJw^>^~7J<7drc-<QVzL$?TBIRAZa&uq8vE zgzO46IZ@)ef+XJ;Y)>P%RQCdtA|>xaYg>h;9oQ2B#SU!r0z-8@kS|iQvEa=#azoY6 zP`6cR!r}7-a$WV7p*c$ObwO^u(3nH-1aeK)#jv(T=!*k&LZHy00=+<2y=)lTB4k*g zGmZSJYGXL;D;Wr_^_46y5SvcUcSuI_g-QBDcbbI;9m3E_|4R=IF$MA+3MaTpAX*@| zRU@b14HKE?@Gm+EBbik|Vj|h9y@6bGp%+xiSJD%@(<Id6ppQ-pl}s<V%tS7ziW!DB z34L(*3!M}qdA~r6DUj<BJCU5@5H=x@;}AH3oKxj7+-Veg@9-_iVnFo(THBbWS<q3J zrcp37g`82{hI;x){s%Spk&G<JtrKc>_#9->uet&i_mR8|)om1Nc0f!ZnW}|>d}ztw zf{<3~mP)Mla7>y>&Rkn^vE90d#lA$eR^qZmb50Xh$T+8?jk=){sC^|yXwr`6VX-F> zt|cBLG;TN4OkGo1a_jmofzcYiD>Q0X<zew%B2<faS>i=b6jtc7U7<%8UBzDe%3YyP zc5j;n3hb(ysVgc-?b0QQ(j1;PWWHT2*F`E(lru-6E~)5iCoV~#w8+sydN~~w>Y|FK z_LU`x$2lbwDq97tEgmfd$w{D4bM0`=$Xq*wN7s%7KIayNx}frxHaSX&YA5T_wJq@= zN0CCEQxVYSU6Qz|HNPm~uGP6HaYIWyN~pu`hkMtSL|o1gMyTCx-CcP=<&E1yKQ})> zjufKUxiljm+g)y^POCg~>)MnE&mm!iTI`U`0*~xy?%Y6ula_d-kXlYcD|JeRsk&n< zG;90K@%A7%O05(n(V8_>ge<n5%R_F0H|@I^;1IP?W1%VA&yGnE67^Z6B4m**)sZhk zB20D1C=H$!Qj}b1`_?fjT;f&M<sxLEZATt*6+C0l7cTKUD@0Fd#P*S6QkX=LYNxM6 zfU2&M(6DV~9&!b2rbhOac#^eUh+GE4)N0{EblZ|VYM!mPV;2pqqZSGm8nAtohg<}! ztLegp`fQPoNi?vcny#<JZB=n!31U`AA(90?qgD$O`e+Mw<O`KZ&C)4E&Vzw!x-g+m z+k`x7j%`#PGRHO~kD6^O;K&yuaW8AT067bOYo8P>5tG#m5z@?Rf(W(QvK{z>C7e~S z_(=S#8fut!HtS6RavEG=pM;jUqRQ(dfz2WnP_t~Q4qbiVIn^DgP^0w^)2@B-HX>K{ z%vi5PQ#V0j3gl(+D0%WR&Y1Xy17un=O}qBQ-w{jVsOunag)7VAwZw!tfg)>)>1_tc zO+jf{yqb6`j=Bo^S3!JPJVc&+gfk?X#ZkY4n24@#;!lZ+amWJeH__C5>kLziZ{m04 z#gBkP))mpzWe`GP_>c=)3N*Fgi2KQhE{W$6bvRt|k(+7PuDFl<l|x{^wY{mut~i;f z$N~0RXGACGS|>+SSs+=3;R9f|wWg`Xj(8f;ES5SCI;n8w0N7=H($r#G{FeOAqPU0r z@S?bzeC?umB9SMSI?Ih&4DSQa6X#;6GoU&I-vFovVX-A1P3(wCer)}3w7_F)rpfJo z(7ODUec)MQNeuFlwOKTk31V}{HSthl$S$zSnqtxwB>p~q+a0;3yr-EIDSr1<Z7Xp4 zBHLA<_##_tfuX#v$rmZ!nEu8cxuNWLTDKKAaq+V&a$Wh>X>*kL>-5}u;Mm1pSLB+q z%jwz{;FpV3SAoKd6<P~)<;$l-TY!e?I_}7?$~LEmeZ>P$)%uE;r;E8K=U+_L;tLb^ zKef{g9K0B&m4p>9-~xgK@-G&;BA1kDntWLCob)$t$h?dHY9(RBv(iaF{;al3|Ko(@ z**8NS-Io>rh7U=KpG~qqBc1D#olpi$QdT^z>nncyl(?@rF+Ic$$x=RZy0!`U@gi7@ zFH}4=UFW1tvse5*-ZMwGPv!pPyR`y18=2v~dinC@;i25TQ<D$hNcvb_yr|LT_~;My zKc!{PorB0&CPGVAbQBCNOy9phAC>6x_MpjUC$wI2ZMr9Dv(H7=U2<0Sefq~!GvJ7Z zY=%R~*4*#|mCHz~wKIzQCWS_G1w-yr$MqnV`D1T#oh4XlXf>H*xKHg7)^c1IE{<a| z%Z3aNgUXg8bRC&*crPO3Owq}vXm^2E2TgwvE^e|SmX}%23{{(nQ^iD?!rYgS;+PfV z20Lwi#UJl{v=8<9p8WUUe;j-Z1u=VqhI$;fYd^;^I}j-?#HpM(CS{#9>Z4$&Ws1JB z7kYx(BOId8_&$#NQ9{?IdK?B!(Xrf>#|A5#`x?#TCijURhhT+9N*r^0FSNdnc;j&# zvvQpk(MX*7OTn-;j=8!QnzftDG)0FIKW?y2ao?qW+(h4CsWy&pnWCLynG1WN*gB#N z_Z7jWXtYCo@WNEZzG_VV_6*V~Yr0@%20ZAn%>?hOMxt*ot2P-nx20`3+zGab$(ktW zovC0r+z#f8ku>t;dx+~^N%;zmZtE+2!@Wyb3m7IfiT4#W%^(>L*MqxS$ZCeJ)3{ly zBWT&8>Py2kj`&9+ct(KXa5Z>$Nwv}t-j-HSkULZHO|?SClVV#?@TR#hA76>~{;pbX zDBhNqKebIe6`3_OaSl~p7=(fL6(lg6qq6ktg&C?*1<OH2jiECQ(K76yrh4%iHb=Es zA$phGG;tLbgUw=0FlgC^1$hM_3_)X=q6rUF0XB<1QA2xXcw@;yKR9Vg6@|`>mee!s zr1UL1Xa={o+2$4eJCnStnq^3)aDUZ$nF712UTEbdRZsM8lw?nV{!H?YYP#VSitU1f zSTH6^@<YL2Gs)Yk_YK7<Lb(O8&1pFWVa-B01%b`BbJjeD7!>HWOk$hRoVC`4q(gCi zh2bB)OAf!#3;X0wJawjGOZ7UsD>AFCz`I!}yTGkEZN_02&6O+~hA%LZ=M0-$`yLgH zPgkI!!v!|YTn$sk6zF$YLMumRsTroVrezg$OtUvs(RznPX<PPpQFSO)ir%nZ)^y$q z13YNIO#?@&M*7}HsW#~~7o~03-$7XzXHDewGAbDMw^4k?l16&bMSX>NO$;Q%{yM75 zi0dS)WPlm=b2M<cYOrsTQPxOaQ(oFv`%5Sbqb#VNr(TwUo>WoViv4FAI83!f&sHyM zFfWQxfmJQkn<(T0O^0Y~nmq({+gCNWF{t_cHnd~vmoEk`Igs}#&vwyX9R-0)_T?cN z$uxUK6vS8cwy%V*DzR~5#yLi2BPaxI*S0|qs%$Kp>0ab|Q&)Yburkv@x6voCQ>-9D zqrnW+N0p%GUns<~KZPoTWl{4K8SD_%6uq;BLi6^5|ATqy=p8~Nwe`M2B(?M=AXy!G zJPdZQYP{apg0$?sZMt``s;h63kLoqwGQ%uN-W;9UZ~u~(+;6|>+zQD$t5;iKJ8fS< zOGc|+@#XVT#p;m@`m*w<bZVddobN7FvhfSwwW&JkoG&d^**@<^zp$&8jxuL+4%#dB zRbo84jwMXAC*smJ?RF^wgLaskm}Qj+=MTFQ%p7Jrbx-BpE1?=_ll1(Z2d|`RRGXz) zcbq*ej-`vnZrx0et7Zh$ovv-`-MAQ{eS29sQmZSP-1KG1t>T;Vv)7){=LZ5}UhlL$ zTU3tG`V=ERoBpGHDMI|y7msTNZg}iF#uvo3<5&mIJNg*x=2~nuEg<!EUVHXI{z5?d z>*03i<NS?)#@F)<LBoQqF_)Vfal)YujEPS)L8BXA1D|Q<#igy=tx~{yDsQw)IhkWQ zwCJ=oJ2s`_yGoU|Yh2o@-2z2`VR!ZB?vhHSjB9M)S34$!`c37jwo;sJLC%}#zWkhw zcH}peJ02285<@u^(S6Hyh?}1dB^Y>05H$#t(&!)Ew-gkoJsc~Pf783qZUCFVX~&>| zIV!#$Nr#yOH`nF(PlrmS+G)m2P%3D4=WbdYX7=USw1an5ygm31Gj+AaIhi`z(S=ql zJ6WfxBCAIubA`{A^oK{RoV-k`zw5)FHy1-u(iz(Ru|h1nQ#Z>FGO0O=?d)xp6z#LI zLi2WlH<b@0bhHn5CA77_?n-EBPwZxP<nXk!w^ZV_zs96x=WMrmZ>hL?BrU33v+|Oy z-jRy@uh?@@Dr#_L_oVjVL0!hQ&u3S`E!}m^@<^%nfrGe|Wj)g;<Rz(+(Bjgg44px- z*zP&VUPcOha<ME=ul(KFpEBpg`*o#>os|bCdwn{z?lT}BXP=l`?Mh`mUo1{p-r?FL z-<YJZbp43BCoK2RV0$X#(q`;-J(Bh%G_+srN}SVfj_G@pGu~FQsdAO8$urfp6L&M4 zb9&p@eRc~T3v87T?dX`asY~(K7FsiB9<RF!ZC(1-OMu=m&foCQdVZzYIr@6uh^Ets z@kalp2&Vze<cxpv^S_IIqbBG5aUafTe!G#j>F?s4iBgGj&TP#z)~KzQU_NHnP$N{{ zX_UJ6tzYuKb`_;ktC8p~RP>lq<IT9_c1;<jQmx_X-nV+`-)j;ml@RC5mP|tpbN9Zl zmzY)5FqNn859Cs0m^y`QVas5Zit3uzSsMQJ5>O4-`pn_SI1yI=rO#F1FqIMw+xpDG z$5AyESd~JJ3AePROG8y`+9im!rF&Z5<6AXsj7qk~+4{`>$CqooF)EoFX>LM!kIibl zX_u%~VA>`5YYR=8-H&x@yhBwUYS_97EnE`0cDpIF>#<mkcZf=o25)_)w#H6frk2Kh zUFLZWAvdA9OTU~K8Z+A;`?(6uUfOfc^igqf#`vh*)#$q+G;^t{s-pi=WfdE(@{jZF z#>^J~9}fH>(upJE5MeED5yf7FG}GVFF<oZkdzkb;&dNU01dY-gwvQg0x%Ro``ma|b z(JD^P5{(jS8i@rdkNiJ7d_b!NYlIs2ZP~^;eTvC6QJXhOE6!RkM(%^71&^ie#KyQu zoTSR$UNzEysr%l}LOJdo5j1BS_s?D;LZ~>4UCd_Kt``dIgB#UqO>8%8{hS~%5))aU z;kN6xx121N!LQZwuo7cgy>Qz#TNkIgyAofrsBodetcqeb-S)B*=B|XHny!iMS6dsW zPfOrH$GRnOxth31T7Fh?vA{R5zvFJS#9&rfaWV&7pf-#U%Fil<+b-GC3I#ae9JN}6 zZC=*D#mT$iEHyI1mTlWxD6k9ma#UUddphn$N%Umt7bow4)77pZY!__BoG?)mAF}=` zPTmIJR})7F<z~gg({i%H;6gcBfpFV7TOOy~NQw7Z--?g}wg--Nk(rumotR7wwP9o1 z8QX2g+l%1;94!{Xk!pDu?m+dq2-$DD;wZ5QzU&BzlxWUEz-^hfg@po};9#{-nZ7NX zSnE&5nI@olxwK;PIsq98j<!ldftx|idJ@K<PPx7fn?UQU#uAfc8UYyr4!4ppmKY}w zN%gJSIM!w6D60Qia_;u)_e))?Z&I9KCeTbcC{!-3ko=aA91ea3x*}&=NbZobUA39X z5C{i92ZicMjF2A@lEc73R$ac}04s=*#4x#1%67%Z%sSH-`~<WkYrAX%v#x_n(8(oI zeR*VW0+nW?V~v4J43Hm5*)H0sTSMRyePkpdnP#JC4e<rvwvzA#6G5S}wk(@7)^#w6 zk7O`GAQYSm(v`KHw*gv1U=p3=1gX9pa+H*94mm`sFPkht5C{R^1MSGz&f2`qNDc<a zfchX3nxJNgL>rln7YGJBTV3@5|7(RY%sdOKm9d?+smMr1gRfZe`GBz?vP@qVnTn_O z+00q(LM0lBKjNwTpf-gGj`$35g-dj`4!e-K42m+%JSH0})N;g`L}om75A;r<^k?t7 zwfBXq%b;3?#Gk+-A|>9NVeNK7c^Oo#;Q6z6)%xEH63d_v)665Xp@R9(-mlioSn4;> zQ_<Xxo45EC##jE(g9$mLpEz;S{7OPUs6xS?BMwz?<&cMoxOgPp+TsG=5m{g1@CR<$ z+UP>pA(>9}ix;3<Gh)FUkgsXdA$fr45??{HK6k<5klaVKi3jh3yiNHI$+`;S9I}o= z^bZ`%TKYoQ0r?|QJs!LR!YROi;O4FWykK!a?j!=^1!&govD5+Ume}M0>xNkHHYh>i z@EA91ePmj;2#QshIJU_q-a71kOk9ZrZ-Jr|YIn)!6iRo=twj4n+_d$osq!LsY)#u0 zS69e8#xbpnW5JssM^nCiawD-Rh#d)Pm-#x~Ta4dEBL}S_49i-{2${}$G8507z!l-= z(Ci3MgUpL*;D(j2p>iwPNG5F>xNfx)$PNc#p$jeIa2fw;;F^`QVOa|qCX-lC{*0$g z09UOX4HtYt&t%R{1HW2L1}4+3MgzUWKxHyl>d8a+1T>g#Woo$4OlIIi(B4>3zKnT2 zSx=^qiOa*^LbEZTY?-t5<bM2Rv^NHnDU-$o=HboI-ZU#}Aed$)Z@ADz?#An&y+c6{ zWo((i1uGH5+fC#yycpU$1e7GhTTj-O*{LII$;{W0&&vogfpb>BpbL%UcD&yNaMo%M zn&|^_fnt0>cV+sffHPKAfffB$m4R$D=pX3qMsf?@W&${ERTe1FZ?yzfZX~P8q)p+n z@Et+yAP~Co=gd;fjjf;<G^@>FFsQZh>rD1C6w$ai6R`|6Zk(Tqh$+|zde=BS1C8O@ z)Wgx~ZNhs{qsF!w=rVL{ip?Co%UzqkYJ4$sY8eXSwrlP!K|fD924k_@9+#($<eAYW zD73M<Ip`a7c<M9r)LogCAb<2Rh0bYUOu>SuC=MK`eq&Mdc@9*s(Q_sux?nNL2mOkI z-)-od0tcs4@U$ROBfi;z7UYSJq0o04x~I+r-=H|`G*G9+f{iKk?S`%?V6Y6uVH>K` z7}rc62y#cWFjLu6e8K#bjV<W=sU!3VMrJlBrqQQacp&H+dZhLI7L+no#dKbTo@<<* zhW=mWi_XGumG2eweasXy2-9fNe0~$E*4R0{7f~=3_`d#-F={+jJQ0N&(VN-~Y^h(& z6CMm~uAk1MGXk6Hry0J+H#P#_*3%iP#y5&4ZlmrO;TeIi>*;y)a45X~9i!W5YBlg> z{l`3EM&OJ3wmjkRhLH&-&G&y|u%iB59-bZ;;44$)5C%1<f56~s#QX_U6sNEn3uR0| zQ6q)I^gxvFKp~wLm|O48@P*&V`~M@_gfa@GC&Lc(@|D!Pkvk!e!WLGCLc1qUq5dg6 z9}1;Th@kWet3#k&6M`toLSb6qO<xY=M$SYNt-+_EV}b{DTtE+QXrFjX>o%O433T=S z0GWC`@y&OjKsXqxQU6~azCSRs-XV|9jbTny(5wt^Jenw>K@AI-flj{Z1@ykakoq?I z(%$4&K>O?O?G4KYGh^Q<4afPL0nr{GIM+7=B0cIk(wkg0Ji(R4(i;Kwulw4am*0(Z zRd_jPaxDPsfsJ!u1XRDSZErZrUkwQLxX-!18W8MZ8MpDxz>q7eosXoy1_XI{aVGP} ztZquiInV=2UnjO_FTEQaLvYnM{(mxD|E48pGH(oWQ;#FP6p-`UwcUB?UH_Q+&4O5m z-FLlXy%fR2$=oq2<<!!<o-x^*0kQa<cOS?8x@i|Hyz}nE*vXq3vH0zGonrzw`8kt0 zV-(8hqJh@ypy>141|4I+JUB7cTL$O3BSFLd_3W`Xl!!%xwy|B04>9!qfd4$ocPFO< z{_&`fsopd=`+B(T)S`j<YkHgN{$$qJf(Le2ni&xMy4jt*uiSq6Yg=z|`nCsh@M45^ zSqvF*x)V!grZbyyMd@=M>^<d%(=Xb98y9`Gm1D?8r_<Vi>latt*x!}0S_^l@;ivuE zfNK|>waf03VW$(Z<j?7pX5i{YN9~0r<!7hQwgJChoODa3UmSJw{-#`Z`U;jjl%C)L zre8GGUWg_$(nCDFIm-E`&9P*?(|r_NUivK$_O5dF>9bgJfBIz)?_K50(`gi7Ub>lw zH|-+T4NSWzue}gO?oQY7@ZM2=c-oc%T(~HreLITWl`iJty{(*dniosfKD~n>Yn`6Q zkk6kMq5$VE{?b~AB)6ygH3Mfa?rCK%D!XW57M1Uw?rR0kT&!}d=)YL$#@<x^N9%SZ zH=k(J%-yb)xe4@NT+&jGB&%@~gt)Bq4tMs3GWylenx)q3TX$ldS?&&lcUoV4t;t3i zAYLujM4$|eU(MG<wB~QzdG~6#2HKjxeka^H-Ca1s!01(54HRWC#+?~QTcp?Syn6Mb z<`l{R_UdWPp0B~@FOJu+_4!}#Jbgv38TB=QzN&T&3Nsk~^113%i}cDJf9GR2`v1l} z*tID)2dshqt0LF)SOdLRo;4B8`HOdaoL{-&G4J}mfUl*y;c0hBukfx8v^$>8F>dtG zcimskT)W}s5c-b#MeLff8$IM**B9V588?Rz1D#iKuJnOB?#`^b$!zX)%kQ=kZ1Dce zk@HBM^z5COS3a)719z@DkK8yPY(V)^RpsnsaPHM~HPpwT^~<VrRvj0<aK-t4-6Zo4 z=9P)-d9;DrtIq1ZhWsgHyxM{>c_wW=54eT=X73%T+#=bhCytQpE9xyu8_xr7BGGEM zjmeW~Lyq1N%5{=2^vL6B9gfKiq=y;=rCcM)tp^)Td*kRGuKZFmO-~#qX<O7=kXGWz z4pT;}-ZmnCN+UT|(2?Wz-gKmunzE6&fuv9oa0U6vo{d#5mi$@>Tt-6G%HZPql5jmT zEiE?>xP;VFyA3BpBwrK)7m=!J%5ZXT8YvIRMt0jHX~-^ncBnEz(!UVMLY`79gORCe zijM3M<rK-Yg}`~FpqesFTu1T{BCaj@6(X)BIRPPer13bigO%eYzZT%K)3zPFgOy!X zlYEq~sg`lqNNIBp)PCek`{aJ)rYgioIYRP90q#-SkOR0MSz_;vR>nw1L&!~Ousk4> zd$U>CBh98Bm{#m7#mILZi<yW{#NjqAcM$@EmKX)hvQmV6(lKc&b=<UKPw6ceiHTdc zTt$HQl-`Jza!6yTv}oL#B^y!kU8zdcH4e9GxquL0SYB1wT~ewPg~#CvQgcl!zA06R z`g6pfqOKg$a4OCeNw>66;5#Deiyp?}mMx7Gx(-S7R6kPzx+Mbv<|z5fCmoUoQe8|d zXqM*`EDlM1sWztIT_taMzC)6(s5pnDBN`oxV_8ZobRCdBrmCBQca(6V@L1fu<(~=` z2c*taps4`OvK>Jkuxvpj4_G!Jz}rd*qK7eDnB$Rr*`iXc=tPW7cIvI@-p8pcCg3fl zDAC$o(mB!6T~ceReKc;`a#db=Q7KF`ZC6ZPG%p6nv@Aw|H<cXa`SwYTsZHnEkxK0+ zzPk4oKiJkn4q8N<E^8$rPIT6jm=Bn)xS|JhTI>j=h7&K`fg2XSr<GetMkmtTf$J74 zn(S~T?5TwoG5868ci@_Z^Xak{66{1`J?ZlUiYsu{!twNiuhO#<XWfBcEhaUS=@z4! z-eF2*C$7|!h8`qnf$0{erx%(@j0YiF-dLsl6Xx|Ky%T+IxV#6qwAdJ>Y_6G`)c@eJ zmN!Nz^F*2(Fz<nxmN(6UstKl9$e&(lB6UB|(ee&edU(Rt4Y*(-a{6`?sq2B5mUoC! z(h1&rlJ<$6I+E6j`8v}16GCplIg4MX78*(I5Byw#vle@&GJTX>PGNkM?w;u5#!)P) zG%NZoDmB??rGHM{ZX~rluyF-WTa;-E^jj>QQf?%vok+WZ%X-jpo*krw1{|8;w#@H} z)-5Yi0K-_)^!*ii@Syp&EO=irQsnkB7kbxhg4-~^BWe*tnz-L9U%@cHEy@=oW(0^f z;j+$}<dF>X>!MwENnh?)%7Yo^bF$#?ioqgDcS$4no8Y*w=9fe*?vkJYPb|p*AZ3DE zG5;(J{-#(0u*H%F??=g3a1;vx6A0Xr`H(D|W)2a(y`-26Xhz@`&HH65b`>81@(?(- zxw5Fmk|GHpg%#5U2w_P*_hIrCJBk?qR|IasTtYM{iuB?B8TpEB#bkgK0yk&gA<G^x zr^r?em^aCK518|b@<ox}-#?J6*iyVM(iKT+yYCGLX5V*%<7UivMUo=LGypF!V&?$O z#=Vd3kIPkTDqa<_h$N{45;3Ia`@M4PKJx{U1-4=cAleu=Wfl)uFec3;u1f*8%)XuU zj#O;n@6!`Q@b}5}7A1~L0XNOifZN8T$;2TM?+C>@{ug?r@x%_1WQLgs0D@Ai;V0{n zMibwNc!w*#<WJKRgYnzS^%f+Sh_J&H(So;)NS_i(A{BJA@sr+kGb@0yk(dF$kQ{Ku z?9)j$R<W21qysLSK>=lOF@1ix9*LHiD+OFK(*oRvlOX&rWPyujssLp;sW*`%1!S9b zpG4Bkx=yk~6$$+QvOt#EDL@&FL`_r_VTUND@Sl|h&YKAWlwo2z{D%-RZT_ziF)jWH z2&p5HM}!@$7|;Jz2A7?<E$khv=qi}xqj*iQ%#cJ$oD-(@o4q`l+;6ri2=P&j;C~^5 zdz3gN4DL58Iq8j7#PCN$NKJ__DIn8qMsOj5=RII9hNlhiW|=2S)NGJ<T|QxxmksAZ z07x-Bks=)o-Z}Y>4c>8ivkl%M`HpqoUU{=3ew+!<IDZM2=QV%}`gRjFWAMI}=UL;e zl22IWrNX(SZ_-_!U=g10JdUCrblzNfv#-1)d9wokTUed|kz3z*kfLUE-eh?$>$?J; zFv!1+NMP{KArk2PLkKSHJ7kFmBHBUYy(QnV$m=3+md9U-;OXbjMey|T;}AR$08ccJ zmxvjSHyNJL!ykf3=;ptLNchNq8Nua!n;{ZD@arHFy7(0l37!062rlq@dy$7C%VlBD zVR&wcC~ouch;oVFKSWBlc;3qL%<`_wnPu~LV7SO{vn`%o5uPocDp|9~{3RHkNC21l zy)II+$x|WAGtG;H^QZ%KBDv7-Z8pz>NJk%Uhg`=LZ_`iKzC!!&m7hIai1jba!3z<; zOOJ_&-yIwx^B(gswdmg5FV>zy!|%$UfQ<{jzm<-OM!#`C0qYl5ez3kPV1LaYiNb&T z{RFICaQ<C-M1=iL;1ECGqZ|TOFF5|5Us8DXTm2{C>xIc5_vsf#e|UXUDEobxLmax7 z@C!u0VETLhkjS_f^2>{(kpJ6^L)81-`y(~)-mPD(U4`u5>KtPKz01G6b`>&z<9-10 z?wS4aqFtc=0MRbU|DHb}cHh(a<+Y>m@VCtmz`_NQ-<}7=u6ts?ytWmRe)DjM+P}AV ziCVwsc8TYI1AhSKF8um6zfWwx=XVI0y|DL-yr|&vD|C?yW9>Z#%v`AYQQm){@&{{E z;h$fg`^1)eHiv-e3uQm}`!6j0Qrah~{l*=qX5H)f$=XmrA0JGoZkgWQuSF?Pj)&@r z({U@Cph45^bx@>2<en!=q3O73I(5VJ&c1moaU!mFvz%did!M&e)aW>Bx~DL%Y15Kn zdVRmMh4>||audWbom&TmD+KQ)wh%|+nkG`enqJyBZy`dDZ`BhGj?YY|u9$va=WYf{ zj&16RgK<%t<yeKn<8fx{lIhSoi)ISh_w-fBJ#J#AE}HhQmtzzj9p^Gr*`~_-=DrG~ z<1_W5y2rqJVow}wvpiHG<Jg6nx?n1?pV&nF5O-#?JVYV+_zW|3&a`8lHDF3vFCQ>% zTK5{@?j3lWi0|VLHp+t)uJ3g=65HauCji-TZWF09rn`HIjiMUIm35-$j+>@>9>tAs zl%o}{?wL0d)sGYEh|O`m8>~Llg}r%ig^=T@snjWx_^tUE;!MnXGhoZ)8`EoFzGc4` zD~j0fZR;tD8E*z`nxMBlV~CS6Lo;4`@^$-_SmJn0$IN|(iN}`ivV6@x2}>M}c{Ahn zUH;`h4l4@Vw`uDsh$)$2eUnFTdfp{|iXqLE(@n;iUUU<yEv37n2HY}2z>3KyCW|9q zyuU&LESo^LN~1;f_hDEfEhe`auw<gO<rz(c>{n6%izcdDO3}pL7*aEUZPLxOq?vRv zSv&HCeLo6-WpZk(G>S-#QJi6I%ctzCQvmZOg8$PMI{OD0QSJQ|jHuTBIEH(J=b2$` zahbI%t*O~D+tXfK@~)eSi}KetOCyPtn7Qc>{U$G&_xnvYH+2`~Blas>Qy;|)O@sPP zN|;`o@|gW746!K&)(l{p%xum>iL=oMjPgkNn59l*Q4`L1QR*gQm&QMcz$}NN<Rg|6 zjfqpy<BakM`M2B{ed;=5l?IBCf5R!!BaTJW@>16jY+8A^d=<x~D0LOFK;vg1t}gHR z%2#q=MX3eRxs38K?!Ts=o+y;#qDLH##xX4E2#aN2BceX%pfGhAVYJ){C(@(+82oeu zg9gIN`z|HIi38CtjB*;{+_E{G*cWZX0Ab|4mw4esU5=O@*ZL4un94#(FL%O-AEVV7 zpip@n2UeImkN9)h97gPn1~T|*h<4hC0YnS!{s5wZ1`3f+;2adB&LWPMN`2&GIpYP^ z+0nQ1dLBow&_TiSQJgmr;yF$UgxDHwpO-p~SY1-`kq_hGAfoD=+=5glqL>Ck%R4Ud z8WJ0$o7!0Wa_w6yaXrP6+wGQv#u2ln#{|Sy2Zz9nWJaeJMb5Re_T(D2D&qhf#=f&k z#{{D-TpVECc!k3HE{C0&KN5j&`NaX&jGbpoj|i}>1P<YIBqbWKYV0^Wza;l;OFa(o z)p(L}pKd%#@%koLwso1q?RHFP2hoj9XXg(IjL497FOFRPmKlejx78b)niqMiowX~M zy`|0}^haK9_u7@q+``2I@*>UJy=cZ%3W#PbKRbUw=#JEB_u7$r$X!BnWr4`7=K-OM z%i8wZmP^{=;SjX9ws#3yTXVaF^IO1Jz?|`~nfZM}d!%19VAgnVhP)`}G84KecXz8d z1~6k>MJew$uB5Ow<^GxR+$Xd|+C&4Ujms$f{l-f(O8W%0EnG}$R%AyTYeNpbcHo}6 zWpsC}79~en8>%Nvhp*It2936>K#_8hqn;?arnM&b)D5FMW9F@diSXW<a)#0EG2T`Y zqqQjap2F~^8cT-J^|8(t!k6&M8W6*1t_l<`7d)ESLKq2ea!vhebZN}Ig#cZ<RZlQj zJL8_ZV)VHR6ed@)W>ZfX43DZQ$I2D1jk~2T84XpjXhx7RPhYv*wI;XJMWg<za*W)g zwOqGUwvqCfxvv~)?M%Ih?i#S3&=U@;DG!y)SaWepT`-auOKc*12tQL(9wL{#cE&Ar z&Zwh`HDE-kDjzUvs`45z;v3^_BD@bjs4fqdyFS|4NN5Z9b_HaIySb*$810TGHi~Gh zRo01|TWh+}^C*10x*RQcb=16(puUz+M`#Z3t!DKZEsV~4%Z02(-AJ8+#}CfO5N5FJ zaKIM)TcOv!Y|C;lRs^x!YtmDM9ft!p;pjon7{VlWsMu>ywr;r+OBly?6yImSJqC4` zWowp6Si&gwO|jQ^*_X>WtO#t`#-yhJTT;yWCW~fx-X(m(l8Vde@bN+~I^1ed>8^;u zGSCFD0{>LV;>Z>+uOI-+aOhxZw21yP3`?M4bK!s`xYnR&Gy$?)i2y9ZRR@)#3B6bn z9KeQm7jl{PU4^V2S;Dd(0>FZw8Z3<>P`NUYwJn>ntd8Ka)ddHYqC|9-4=^Iy%PSZW zt>tkHp##fP%-WKTUtTdz&BksQd2PwMG7=YMuQ5s^2^8#H(T9Hc%fkEp@J)v9BA1(7 zX`K29J5&VfhnEz3ZOUSnqcDUfEDR1{!e<!sP{M5J0iirnHm1ANSj2=iE|<Cq+s)t~ zgkgF@QL+);iN=Jf&~ZX}gzQ^Zu3YLmY&8QEA^V0^qDL4DrAei(!Ppt);j&dM7rE3` z*g^(B19r7%$5*zJ1(QoH2+bvwhsjp3{PaYiEEhe(a43#oNrzeV@EQ^HSqHMI%P^y! zPB?)c>PO(G!x$MLtgLT$BAhS~>Ov@|!Or!V!wG$%HUtnx*1MY*PS9nE=@E2TQL?El zm~>AkjPNm3oxnY<;8-x()OpySJ?1b%C$~zIp9X8s_%Hx#$+$lNYsdhF$R@B3WKw5g zN8P19vazgj8SCuOTT(rbLs#&iVA&|v8wlYXs{}%54Yij_orbM;EBVNVv2YL(byluS zDic<m0Yb|<cJmq%8bh1nSo<>VvnxNli-Wi0Ee8!F-j^QZ5wjf}JTsVim{Jrx7th+0 zX_&42DY#+i`(Ei7Z#0YhDY$O963hB7gKeKb5{A$E{S;g?bbeoYgon*0aPXglDTjiq zhK}#&mt>yJs{a)HYB(8tpKdrB>-9~hZ1yq-KNOr05272IzMntDGlE0ny*M)Yvt}H; z-fZuWl)T_u@vL2$>{)dVzCZYKyw|Qw<}B`qU|z6Uycf-o8VjNs%D<mKz;_4h#Cz?? zJe;-pA-G^D^4{}+o81tL_u7_8n&si(wP&|?@mjNUyZH07z#oEhhQHe9_wnt)eusjy zhI{SgMH!d&&_ym|y7yRc#;_{3yx*`gmbEGKPrK(nz9rb^P;lC?ESA6DaH(BsAFnox zJ5I?8?ucV;$e^bVrc<_{cN=R_GL)&Idi-?I3K}#B-3|mr%0xDJqGXz;nx<1Wpm!S0 zTk#V?z36fV_pZR(Dr__rHQikp)P%NVK(9A;w&1@6RiZ%*=v*KuTqd|7u?0U8)HK0$ zD_v?dZ^1*SZq?%rrp`>KtUx~pg2H4<rfll*gF#W~a;!|@)HpL`2|5(WqCp{zp1v}< zQ%%g2MQDFuIY#EuR4y}x%{^V1`^u1}&eRL*P66xjJwY&Zd8karlnXOufqP0zY{GvC zI)g3`kx8C9!%Ufjb_B8ppp?M!0cca8*8r5Sk+%u|KIkB*JXq#>LuVttEy#O9FgwU? zB4q};+mP5OtT9ztCwy+IX{!5C(0EWeTIOnlc_UtZDxnVF9Ml`c>Vqyc%zMj(Ohrwl zOc}(#o{z!L1gtj;ZW(-Y^V*kg8SBLgBgT5$x{CtFn*}!w(62pX@RI>U++4PF-B=|S zKOWHGai3w}@mhCTx@L@o#g7KO@$mXC{c;S46^4!3v~?E*lz6bdNuz5#@8UlNkUYxi z2IFpCbOWo`N_T|~#(-^tD+Zt3SRCo%u@#EovH|pUX|%BZ7z~T21>`mhE^)Wjp3!*7 zSS3Yp(LnXJQZ&9dfYdC=Ht2S<q#1O%v38^hV}2AtmcgmlrBQflfT9O$TRLS-ogz4I zAoyA-N?2#?03)nDwt^AX8XL#pI|6t-SX*2a_eyI@cEGl~*Os(vP2!^TwVKjMJSAYx z{X@UOOSk*|2Aegyi_#Hem8~g{0*2f{{RSm&UYpXGu_z3_DFD_i$TXO#nTO(M{SO?= zBc)@Wbs7tsjEonhZ0hgY^AGA{o`<5OBc3H1<EQ+`9m^x6-;U%KrL60(+Jhpb-;9*# z;m7=Gc`0l9Z2R(X>8cTzqLfwr1$%ym{?+F@zS5N=u%eU#|6IrNFzJdBKRsdSh>IS6 z*dOO;N!Pb{&TE9%A2}#YS=Kjt-U-Lk{rw#I>G}+N5LVjvSt1-i;P2vCPSZd4+#HVY z^S5yXVWhpE@xt-CBVu}Zosp=*6qdg9^G+E4qrbW%C{!9Z0xL|J*Z=dmISk+F4|L?G z>9^Z|7|?IAzdxYgU=IqBP8c~TNSW0?dRFQq9Xm2!V3qBEE3f;p|B3@BSUPIt4FrE~ zqy&O*^|#MUnbu!@rsN|XHiCl)tB>Rsq%if1?Lla1$7j5T_(uPxqP>{>E&sOX8*u!- zzRB~A;%70}i$}H#vtuTUM%D{cVkS5I8=ikDwu+ft_pf{Y1%7@{e|%)6aPRJ9;fR}4 z7WVp@f7SEq;%9fS7jg|e*>@)kMlL%Uo6zb0&z?Unwz_-0U}U_oVd?Gg2-4{v?DZA@ zlIKt18yx+?5hEuSB8a0;9~ml)Sb9qvp%tb?PcHgrKYt9b-qr6PQFY2foZr=lJU1_f zF6rw&e*oXu(eD|NcJe|5?dX3Tk#Mp^Y;5a)82Qr)gb3Q!?;PnU+*{Pwejfh6Fa3t& z354+W+xH_s9M_B;2K-~6Zxm%O>T5oChdXS&rHpJl)?=<e9;qyhh@5;hvd#t7I`sR8 zJ--MS?)S$&?<?A4zik>REEJ5KobpGP|0FCKU*GbJv0}+N4EnW}e<ft2^bzHYgb0+r zarrzUg6q!ldsjY8fEwqo`-NMj%Lzy58<n>apeX&ZVRpu-k@TA1tMV6wQz(5{`BTE4 zum0y@M{BHJ{#U=J<z&LBuRgTAS}G_^e|Y$F#wjD|6+eHgV_7=(En^sFJtgaa)z>dC zk~)vo*DLoVM8NYG{d}xm$>K3@`-Z{R>9Tm5AE_KK<v{cEw2G0XhraC|K4X1D)*<vQ zby&>WSe730wrdz@EhFm?qOVgPCq*CdbGKqaCbNh6toda(g7x1IA6bn+q-XtN%6+7S z2mG#CjmVr2)~5_tWjOojpDUlnLw)pHhgYq#Akx!*SFG+sCYgSiauccZXnnQvPW&D; ze@ZX@$^3D~3~D`Ea7*tS!fRiuWw4iX5;52t*Ik4fj~3k2LqG96&X`0EnR@L>)eTm1 zGR9FIruP|o9#3?arD_IAoQzS_8&j|EQZEN_oRhFYo4D=*REa6;n-sd(^C;sJiey?& z*BeK8(e<pJC>@<N7zD-%uIPP2usBl1gDbIu%iLzz(!-PbgD_484V4=$xTL4`#Pcu% zGFTZaxTvT4MCmZ27e$H|Wb1V!ENOaO2-c1iVbCvDkfnF(N$Eib6{Tp(+LlTgRF4&$ z*AslAbZ}B<@Zf)bF|KJ$YjAuwqXWfb%G#2OA6$t^$wqCPcx_3!7AG!BT`Ml#&!C{@ zOg{AMy+qva*V`=CU6hI#tc*!{gc>pd_3M=&yf&pUgHgK~O(<BjAX9Iqc)lTH*5^Qr z>pzRh?rc42GBEC*vI*JM<R64!azas35!s2Y8B;#vTICT^ZwGSSQ`RA?nxF`&Hv=X0 z8Dl;)*OWB~TeCb|s%pT+J!KWLpvljGT+P|>m8u+oxu+EP<Z6|NNmUH^)t`h8xYTD1 z`{1-J=@5$?-j)pgfdg)bCB!JFvpIwA<EO<>hcGljSSjD^#O90v9~Z518suD#d2>de zkBt@xBjugV+nk|0AXcBDGZ5vL!h%TWbT)BAQtDcuP$}F1%q?Xe@@I~DQ%0u`P>Y`i zY1jNP0BO;@KLBaa1cgW?3>@4@nS~r>m-<M>4vgQh%J#YC+WpvP<vb`@Dr(?OUB<bA zlDdpmAA8r7X~=4}l8;o_0Iu$&`atfD6egrt6NHv>%;s&(X!L24W9^@5?^!YFF811% zw;a@sAeP2tq~a^fukNX9A)|0M7Jn%{6!T8;4a^L)l(5keJ0qBq`k(4D1|Vr>expLy zR_vp?*!@Sk{bwvQl$8J9BQ2AkbLL-%m;(6e^MmnQMdAOAf8&$b?-&|~qE2g{dh+J{ z@GaK(BuU-z)2&<Ts-vTD_H^xJjpZ|;k8gh|oxC*v+}$ly18+77X(l$@d#|;(5U!Py z?3JiuzFq&I6w&sM`gY1YBjZthX<Pe|%Hht#ro!q6S)vQB%#FIuPfSqspXhftU=0Hk z+0y+nnYilAE1*J$lJrI2V-Va%Gap(oC%`C`Y8X}ZwbYKPh5$VWMw>a-*+Jrq3X9GS zzxj<(t$~<(XWMIUh+l2Hc3!^abIB(>A;@aG&7)N3LZW@@L*XLaX8mb|R-{&|>);O$ zYRwz1G&)>V#=r5Tsw(6j;MD_z|K84haQ@Xu^OXF({`Z+v4gI%K5%I|Xj{Yn=;R_l+ zbMCVd5)dc^__4ktQ~>hoYu^)}KpLz-ljZEi?y2MMk;lfe?OqDR3gbl-G+sYe)?!ts zF?U0MTBeU)s=VlW248`D2}iw4hZT*6d1ueP@pn*3{?b)i@-Fbf;_Wc}*sCUC80$l| z#?x0mX@W%Ykm0epr#t}RSB;eY@3=ALT`wIy+<$TMZpXXYxktR7?7I;?Q_puF7#`d) z2fEwdB71V9f;Fzqca=`sb?66BTiuAA4ufy@s}qD8&lmZTIxXJzOH9+v?tiO4y0v)> zvDdT7|MGXIGhE#+@nN9fQ*Xa3<uyV}$L&o9Z^n;2&O=QI>C?KcA2%O-`<G|#KW4oB z?%OB^OPm)mbg#G8f2Kb?>pRk9_MMo+;bRG(X~IAKn8mWg{66HpPnW`9F=cs#{|3&7 zZ99k^c+pj>Re(3c=DYW=S$R8Lwp&yYZNA~~?(r4L60(ohOEE()??39w-suGD3x}Lo zvby{rpe6I{h*oY_Cc-gp(OC%O)_&!U6!TA(z=ov89sTPMucjqeoSkjHkr_29=4|(e zd(BOI6w%8qW#uaMQAKG~M#pvY;-~La^WV-(Cmqk-ILmlB*{}Q8J$BkB<;Ow2hZ0xo zfEqT?$v=-%f=a+Ke3vfC`rwij$ILBbo2ut-R+s4hw-e<6TUC5{JSPVsEas117P|36 zM04A-wc4nu<eH7k<#RP-QdO6RFtJnp6K&Qn`s)sEL>hg4@%Wlu!}ZcfqW}#F_`e<x zpvQl7I@j=0`Qa09x4Wl}e4q0vymY?!+0*tzAST&5gZew(YfX`vI1wIo`dM$C!Z*xk zI?r4p^TSb+69FW6A?oc!FL{z=3C}m__R2G=OL(pE#5;K91;|OW=P;@wWv-50BDg61 zFg2Ma0>8ed4vt6$NW-5!Xf(nZOs*dYz9)?S?2>V-1i>r+QZrSpEPES1_Xpp1vhO%g z<fCS#9-TF@?hn=vqTx+J)t0k!k3VDzKf9yqZ#>G#t;V3bM^k+4v&TvS6jYL@1mbcV z%lYGii?qch7dy{?6b&%{sr(gnTQ-Dw`fc4={oG8?<z2p%S3LfilTT(fc}^totX$)) zK4qJK9Aa5??vvcJgDSROxM*oQ)CN8XuTfIZmYuL3Y~R<|cdauD`6BAMvsF|*pSyjc z{i%>fNxjU>^&bMi<CXPNrBw7TSp4_MOXxqjznw5IKHho7tAW>WFTi3bR>$XG9R1LI zIqqn<p8Yi0BFOS(@m+1k0{`@%GT){;CdOWWzEW{pytVxl?eY8F`cK~E<`pj;^gU3k zvmLENIsMPE32R}m#kV}Ji$47EpFMmgEWE(td=$y2qQgb!OApK@@2ee&PnrF-K55yQ zQ5dWFQ_h;0Xj@|zw<Xot`rSSJ?5`z^WF}VV=@uU~C7~N>Q~KqJP_J@^z@4%a4-@A; zD=z<awhj`D3>5wSw(EP4m%{T8k74Z#CEx6EDV0Ao)x)0orSyKBzjuF#nYyl;O&F$% zkAnV`rciMS#?bT^+L`OEmOcqrB~|nbp|u(DwXp58DPgIIf40TP1?2tPK>a0kb1#zZ z-nR1N4h=@VjIu|J>o$0RKbdJ^zkI2sW}nshl5&lX{Jc!+=}+1tvu&9_Qy%iD3|+8g z8}r6Smp=Gg)PeWvq4-U_>D|AU*Lap<<p4%Et(|;K&L5c>9gF_ax`z6_Yk!~i5I3v3 zzIJkLqkH{cN`2(Nzu|7vQFV$4;lFCu_uW)aY^`_eF{dnMP$F0~x!tu(X^*g~EgBrT zFr@YetMuNv8o1W$al~hJ@yS+If+k4OxOBdfc=UT=zE;a>xF}F>qbfYetTSQKZG1FB z34B>n%~U67G<xVCht)3Eaxp~{9>;$#mwGXdq>>-}bI0&Xz*^Ad=s(w08Tm~oo>v9k z8S`M2pbIju6}+h4=#QS<`aJGvzw-I<c>bqWwVkZqb54c^SCodxlYbM>1$-lh=+=o) zRhv_qLSd-70=qvf3h&S!#Fp8o*~V%G_HTF`cwJ9>1o@q9E=&32<eTbJoww8ykx~_F zL*X>L8rEazpP^stDtDbu%RbD=Q0W(lQoKk)sGRJ0{yn7jGEDbR7)DWq@|6%-el~Iq z-EhNjJ$%iV61|2CZ6x3$);{z7(;7bV_pKWMA9^*JH=#EC?>jHzma&)L{*xepFjSJh zgqtiofAR4`S}avCkw;QNFDCDf@+z|6(&gLRfQ$K+XW@RJ-Bj=?8u*ttP;BS<S}C7& z+`p<y|N4kmK=$9gecbURB%kf#@b|@j8K+Duz`j;s^^)GaCLjFwLpj4h`CzY;p#N@3 z)B@6Z?S@WD3sY4-UEzBoEwAis%(vtD81Q{={G=wJ$}@M(ZWo&lS#)c&Q4|$<1Gox^ z{otLQZ(f>LW^Z;8Z~l)2RmbxhYv#E{|0uAE$1>sku9ydm>Lgn>9<gyYq*ym%8kL-N z%98Bo?D*~I!-+YhFyzYHFBVt6O{bUfq?J|Om%MnxZ(99jzxv&4@6|8abg9Gt=(qdS zpdsaqRk!gVG!*%+Ktb*=qV76RsRxB4e=GnOaw`67tQ{Y;CB2ueHo8<kbu!F-XEn`H zdSPtNeetrct%iGI1PKfH3;Xtq%FMg`+5lVKeX{cTR^!Gg8&M@q|1Un1F9nUSubnIS zvhLSYvkI$Azy7C77vIyGyTa6Uo#)F<tH7tGb|vzHSKR;p<EiQGE3ZRd-0zw(RM&r} zGP3oEI5KdtuII0syUSOJGRDn)?OxNc^^0C=7uV9?X1%D<xF+wIqt{roCGfT8DL_DL zwfgGs_>mJ2#|*ztWD~xlj&6VLkbd<!ZOszq^mp8%m32Yblb!LI9lN*%-qvl>jDwK^ zGS2uu>+!3nsb`F<j(&VyO;SAbdl3Oxt(1Rh7gyJSGBNpF(<r4fO1a!-^{R5_lZ^k1 zaw+})L)TYF#qk7x1`PyXoFKv77k77ecXwHWlMU_`+}+(tAUMI@B}nj~!4u$y@7=w3 zf83AvhGE%HS65Y6S9e$S^o;m-gnS)vwRyMw)Gk@W5q5mN3LJGcsXm2VD$Xv!2W^?5 zPD~k7`o<*&S(L5dS*lF-oaHL?1>ehC@>e?GA*J$TFo@J}Az6`q>V)U}IP*yqUG*4R z&x-IlJNb)=QspGq=MRSO<~a~}j&$Jyu6LAvZFA6n;JL+q!kT&74W0@gxI^`uSBAY; z*-`xTT*HXry^I*g6MPPTpm|y?Z(jGcZd0u6Tsgv=)g>kQUECa{NEzdday-AZWA?-7 z%1M`Rz)#zI95_knc(j7|?19_;Jhoyb<ahR)IdtsO{eZb|Mq_ETLgs;4#N9)7O-bkJ za4$s%Ui&{rF+)0?k0zRprE)wfbfkoM-vsHGspQe*aGx#8h(VmiE8nT{yk9s{XFYct z;rMWDc<+39=<PA!h+6p)nI<!TWMU<L^R71Q%iSE8o?3hWxuYu=jGOP;R2u_D>d}IT z5R@pXiKy)DACJx?j}y1|oB{hSa;&^Y2iSp*Qx9`xLOQtdyrk&e2Ze{ov;E)gWH01h z-EoZ2mDFiw%U0>NW;j3P027WDfxV>GlBT~Od@O2><jl#CWkdgp^a~YU5qo5s{z2Yv zFE?;;3>W(Ay}0{u^z3otKGA=@Xp_>}XiZdUV!dDy7>z9wz_%Q|fBj35tS!%Z=bES- z{d!R*xK^FDN2QDof>k-JRhBGVQZA5~qs}_y!#jD+&cqDy{^wa!{_o3?4tq&1d5-4- zUnM8I8i_G=hxi6sLaHyhW}?=)4_qZB2vTsG{1swmrqET@<(y<+N6Z4*?DrJ1SLm*t zIy!A*$tWNG!fz!RU_PZB-aK|B;}(B$^L6&{HtX%e<Xg?3sR&h@@i&nQXFP(0%#de7 zzb^KJWMj4CL5R@(O4fDOAEq1TW7wvkN>WT+7%mv-$BJMowVyTfP$A7@+n!?a3Ka6= zqq_pz0S(ak^ow?=gU1%wwBnBD*gxeFb>|IC1ojet$#eX{o}56`4LxGQ$~UYZC)XkY zJx6jIw?`b4N7&P4$eUUT+P2s0dBXqrjGA-8<-6#vd^%F#cw@Y?oD)Q&N^(1LesHKP zPm(8LX8yvmC24V*OJG}2E!F*0ug7QRErlO3u)yGR-{3T_Lm9=xt^Z59?ZBf>3G-mY zOt{b@XGWq10XKdIHBBhOeklp$ZvVtAyE+gmF4|KP>-6<=k-O$@YM9{9-3|icMz1Z@ zhjWjZ3IW|NDSz~@#L?L;%#mNlE?57(3qn{?&e<6}KX&ZKzDif9%P%v7`_dGt|4=CU zBPxL%g)S=vR%4isL5f>A$aQ`H+Qyo6$ii>T@t|6~q)&<bmNp{Vac@9?;?{uIP&=kR zD*n%?!$KwIk^S<gR*Dmc3}^+(oydV87cVwbLc@9Iip|a6#b!>1M|e6)(l6Y_hPg~I ziss>$Xf}R)d&1dDts(XXnxlrCTn;fV#tr$Bk(fTD{n>;4V_Qf)Fyf3|d%yYQ82sk3 zSx*lbzV;ETH0C8V3cY;NT$!2@X<bksRqK$6H!Dsb@ou3a-mV!9uv<*<CmS<9;QoTT z7G~!5u{WH@;_PlZBFk(VyvQH8E35NnpHq=A*RA1b;CyyKorzfJc1=HBxoS~<b}$)o zHK)n<)tf6vkcepDgQn%_s5vE+9L;h(bXBw4t?!g+-pns33&hN2n+iw!nSaVAO;RUO zi{I@r(!M|6G%bCI&}Ev;w3o2Q_2Bc2F0gHylqE!TpY5Er5y6&K8uo{RPBBtp<nJ6* zl*-l~c0<z9tF@H)lwX`!!S%Ya+Wia&s;lO<j30G#4mFFIo8$+rgV=s9+~7FmPP)pi zYnx(?r%n!fO0YhcWTey+9{ze}=Vhla$Z7a##xMVO=*t9Zb!^}Re(6>Ii5i_sRW9j? zh3I3q2tLzF=t7dtvvbV6D7it<Z*&~REqluw-J|M(I_%;~Up=zqiTFi-T#|P@?}Fr1 z#PNigq%w7fB>hZuhYWuWc5CXEHA4kQMr&gEiTvg~bE@qG`DK(a)O4kk2QG^H){%X1 zn~o@n)vj9X2?go7J*||fi)nBoX|wcH;$mrQ;e8{x*}g8hK;>K3F%8F*cj)N1N0)@T z$ZCLNZLVE*lDgT7t28Z8Z4N4vEkP&}f^<CVpIWRzM+EqaKc!kyMph>p%AM`B!B1dW zA5N^i)}Q#A{4G+RWYtRn_$9kNG;v4G)pXc$4_Hp~cn;>76?7b_gLAcBYIEuZbOrVq zLUvRGr7F0W+rc3s+)diqTHYnD<fR1r(J-2S!xDb5nTt<1J5znZ5++JVp($tN?z4yC zV`h#bs;RE?UNf6IbT$9=!9y!b7$TPYgxwq=Jm<<Z8v;uEl6m+yNH*hbq9eHdkbfL_ zFZxn^p@;G_Z3c`*ZMg1E!<N8^C8)CSZZ`D~$Y53^6AVJ7En1W1ZI;&S!64UA<HB_< zw1A2iIGM4r=-ZKFQGtI|<=Rp6u<RL7lxf>iuH5->OmF|*i;0R|PLJt@V<-6P6maw* zW4QT^GzMi<-v$CmH73S+^v$moNpzWy@5D(^G9<a)2V%^fQ5Otwucv1G<<WIF-R<pn z<eUYe*cQjA;D{Bmz4tOOrcfgs$PF8>H;oJv&TEZ+^ZwpaLH<X5_={=tKQKb<xuv$g zmF$(A;&BM3bvH+2p$u;zkvVmeP#9&$64r%T4hLQVo3m=7MDG|pysS6<GAu)~R-e-> z)?ohZsUXZCtCg5c^<43MsslxYfnFbf+?i;JDk`Npyp?2)KGyV36n(+~4gy^)W_LcC z?gxtkYJC_;_faCV0=H>Qxo}8=(uvMLDieDdE(FMh$3$2hxb3$_t1SyPMW_x5Nr_Rp zb!R3j8#TKfo21F&`rwiJu?m3)M;+@0?U1X2Tgn^n>iGiQB=2D4_g^{gt;^pa4@bya z<E)^XV4Vpesl}+Md!=UtZ{lPg2x`!2vHLDZ=6gaGC<Y7Fdd8_L*=RiztA+L9RTbdi zao)WCz=rtiO)v^P2*SU4^LpXEL4IRt<zZ*zY{G8x(cR3|)ykd4+1b(ALrW9k4ctwP ztPNcY>ys(U+Z6IQh<v>=7nREVzj`<?k_5SuotYXV9Vr~%(%`LpwZtsmzRQde_uA1G zz;4B9fW)MRR{3}tCI<%RMqEES<T<SwI2#HKI{o!P35bSid(-@;<V~iZhX_%mJqxR? zM^avG8qZSE-#BkW^12OPUj>pDE8-eE;*yHENo^UGMKurcbUcsY$VVTwO>1Iq!V2q5 zTP{HX1=`a_#qpfK$qyYF3yv;jeQ$i2AK)nwyeW|a_YkDkL)sme809XlOqA1h7%$h6 zV~QFP1g}=d+0!H+X3A@aztFky5o90fvc;+@#vZ{q3VvGR3bzn7$58oDSylNatL*o{ zFrs*iY;TFx63mY4y{TF7Ac9zmf9c7wy7BZGw>f1+%Cw?&SMnzx@vFI#U#6YUQQk5x zMMbTPGpi?b%wC>|0=}JbnFXeu_Gb$TOP`(hJs~~`%CbC57Im8jJHm$0?7x>rKdu@J z(REA@Hg~=J?exqDYei?JLbLa*sGD57J4i8O6*_t1S($IWgq^MRYV+lPSkt`IvLG6# zQJd(;O?P7-4QFKAk^e{Q%l;x6i1+=?i;p)Bt99-h<3EVmZ@2DJbMWDoM7d5|n=C|p zc0H<Zwv@{Zi|qf9Hwm9}-VEyy*Zdt>-Bnqt^|?8wYz*uwoB5h%zTq2LrS)_BjD+iW zjg~jS{SCo{Kx^wjE{)OWLKI?4W(47uVZD5ApOu-~EZw!<Lg|N^r!CE?IL`N8u#4tw zrR>AHYrgy9;iyE_vAlM25fZu_e~=W+a~r5u?y}KHc}?qeb{ezaX=&q{5{>sY>l!C8 zi<9}={}j^y*g^W(91<-$v4vaFrfKB+Np*LV$pzAR7yTF^vrWKScF}y9)2Kx%|I&B3 zWr5+`h_3O(^{>NSr($V|g~)r^;C|+qJvj)I7pY9FyLTy3*mR!d`=^Vz)G681EwD!3 zKk0Ado;YgZ>z}oN2O>sUHfs4HSlUG_`3q$S;yHiI3MF#I>=Vm4GJjF&HYvN$P#-KG zCT7vBevFrTdoRty@~OKV%0`hv2a14Cq>?L`hIvC-xGjj~O6@~oXLX>Jl$WX@B4dFA z^C#QeNv-itLfZe91SHYsGw+X?EO9Cf9}qFSZ23czQm%J=E1jTG>IZDu4X)OG+8v*f zYSthkx9#t*<hM+yksh`g7pkY0rA>`<eW=*&!5K{XQ{KqC0|_=d7WsTOvaalXiJx%# zsAGbus;@kgI22PxqJN#0^=aH`Y*+lP=%2w34XL`ad&sKzo9vWh!Lze*B>#05?XCP% z^}?dmY6ezR4+<alC;j_RV(z$aTKL9g5EH)76xFqz=GcvOdlMJ1du^dKj?&47Ad6A7 z1dSNan3>r2>_#YeG0)(Bf4o5Ymw6av65tIVsN^|VCoK3*_a2(8Uk=SfbwkH+a^nho z5c$832kAe@Q-XDz52FV2CL|2;|L=G#t-Ssp#}m`_Hl0|;tAx?FQO*@zblSt3_sSTT zrm2#urr4{ed07-Lb_mmO>Fcb!&L1yJFR+Ts%{a@qyG_4yv~7~@t~M&*@4xto3tB0O z5X#2yE@3Hd&&i2A6r2<;miUdML|{kW6YnC;th|?<gO>{t5!SztCV|gwCPBuJc`vu; z-Ov3~3NM#=&o^lzFD^lMRZ~8nN2a2KE~BS{cSM4o$Ld}lPE4N1mR|PagYK{Do>uF+ zjIO00Si7J9*1c@&l>0XwE|}NJVSlTT?3$^Dy#IG*AXSI!mr~>Krfct{hRoLDNC&x| zC(4*!Tfkpa^V-*KC~xtvc1!Kd8hw|{iT0k=J#&jpWbGqb=uOzr_aaw0)tj%rjOCqB z?&C`A%41MVe|Y6_QjAE&T}{>MQum@#<__z1Z7+MEhFr-b0TABYobLXq(+=hx67Xf@ z9a^g#o!vP;uA?G)&ObaIw{~i1ePPpd*3gQL|FZ|02*P1-c$VuntbB-<u$mB=NE-vc z2x>0=<0NTvKFb5s`BpU>wn?l#f6#f<v!gdwGg7Nuy@AFF0EY-HX*@_t{n6e_!JP;S z3o1%vc|i>-HZWOIxXLNyx$&A72`U%(u>6Q-^rVA3!Dye!&DyWfktDoa#Zbtx5nWf| zdmUDLa5rCTCB}+(RFjYYb5@$<k*w-sbRPY@ieQu@5L)<glpzybQC?$9O59LUTg^gO zTZJ@lqT>gaLnQghyNvpHFEp4{e63w{7Zs>lFzK!*Rkf2edZka|q$jCC^69(wM73@0 z!0Ofh{n%YJkv6!FzRnqMv*w%Vf<Ryax)xT*tfZ3`f&nfA2)CjVEvQ6)v9KML`Wz3p zV#-lQyAs_&4LI@DA$lw@Ok|<t_(~CDhqh8jzqz(rvLf|+HOt?|4q^JBT80{Dts<Tm zMX2^dCk4sUSVxlcg$oapeZEC?&7+<V1R|x!7o}yGQS^NMR!Ry&*)llw0<&i9TXR?f zzp3?NWqa>CGd74?cY+bea}(ZU@F-Cz8|3Y6fT2}K9jJgG@U2Kh6XQg!bpEI6U=sJe zrioqUJ$Ar`CCePVuxd>mBfJEa$-K@*{CsZ7p10h&-VE{dAnQdVi*_Is<7QCzK`I#( z8fc(X*`_73^WB<NH|*z#v->~iA&}wXz0kszV?istgURT8$*uC%_q|raXO^~!%RlR_ zJuiuCsrTm{P8i>(ck{1MwDx59m$~mHgZx9MG<8xDf@>5Zz412-hKAbOIX&k#JcQ35 zzK&dmuP8x9MxEvVxp~d?=UMUuP<?JFe3Vd0w>qZSdu*bB!Sd&jbt*SS{+Bh5*l%LV z&HK#JM1G-@ffl(l9u}Tt>VnRCk8=^M5@~sHM2_qKDEl@~?w{R7MqeI6p4~s6;6k3J zew_E$^h{OqTj#+lVL{X373%CyCK+g9FBvOa_e<kpJuf*c3HL!9?@6D3n!3aVp$a2B z)8Bu&C+jT>H$lu3e&)MZ+|lW^dG}&-kumiBFsgE_yYHnelyyw4a#C6Ey??cECe`K1 zBW20Kqt5lk%GK<N6swkLRn(K!Le7b?_{>_t3e0=lAU&?LE@>&WnC*Ba)(SW+a2>Ps z?zprZ8&pd>C9nW-?L~b-+pKHTU~pYD=-yjGs<_Sw@)xBvbb_^lgu{Z^s*;g2tFn;G z*RgfLVYCiWXf!&?SR?P9e-)#nR;<p#o~}miK`?yguU5j$%9HQT0#LjMScx%zXc4~& zrfmwV?EEhjQvCxI;lNZ@s!OZx1o13?^4L1}8P|W{x8ATX+$N^?A0J?czfa-AKTC9f zxFq|7fYI{sIsWAffY19Ait|ikBD?G2F@vP}>yzx(_g^TeCI^O~pq#ba3n}L{87pUj zNb8PMV4~SNS6*2KPB(l07;FeFI8`{u>q=p!o@O?*JS1gtzed7G@C}Owb@fSMboEP- z1@sM4J%&ZoU!&m99lodwOUHSUmrpKza!oWdZU4beXNW^U%p#A9j4y<Wh97{6f}c!4 z%2LWkVW?<fNhb}x1;9Jy0N4`%(*WT2Eb=iZ_(Cxl_yLMY_{r%60GJ*CyVFTWqLb5M zr-N8H6w&a3yiGLhT)$K#;dWto#&%)6-nKcYsece>KP1|29BuHhzyiq^c^n0wa6den z7^P1N>e43_847@<0Pr9HR_GhVNezp(V?r4`EYd)FL}tRkC)5s!CT8rHf@&3HCLIzp zluT1=$`_p|oR`B?UxBpx?77Omt7{k)BvEqR9RL31!BuSV^iRiR>EDS+07-FcZ{{{` z&aGBTdGxUsWs0BP#6b-MqrBEtfeY0NNmkGk<8_dp($U}rWOd*o^LZ=7UttUFiJa3e zExb+B-nh-XazTtsE9KbTY0Oj_V^O{3xb!M~^{h%#&yK&KR_h}{Eeb;!x>vPoq_(vh zF3y<NwOD2Lyg;j@!qW_*OI5pkf_f+j&k{l{*lv=7^sP#SDllI}P;^kM?dsj}--;-O zG%dr&KoHY_r(sa_sW6c}^Lrg}{6@E@mMF$13X~||H6Rc}yDkfb=2<d^CQY}L9TlyD zFWW*-y)(K&NZ;y2sD!7<NQLJWGE@zNO6GbM4IiEHm^J}w#izo;v-;dD1$b};dj|C{ z-*xyrZIN{hLSGU-9*M#&eINUJEw0@0Y_VCA<_<mix$m)|o<bOa$I|FZV_3<NO9nKi z$RwE<E5ZVn{U4d>l|a{!x+|8h51O?v#c39VQY2R&C8)!GqNMN!ifDrF;WpS;Jg!5} zs@?so9j0m-;4W<V!+wu8-j)q6SfSP(_xz#Fzm8R8c!_-0<U1+LSm*n(eN)4q*8id; z9R9NAj}9CJ-9h|(GIZ?v0I^s7-koC!`m6Xb<<a2-`@+>WA<id^v3F1LWp_+9?tJ@u z6$-m<$O*B2o$n=nC%%4R%d@J3%f|KZN*-HZSk}E}|Bv55)o@V@%9R(`Prbp-O^Wbi z#T=18=gCL|6^uF{jKA{9l|1|MI@}?xxJ>CcDSv5K&)%NonI2Ww8K#;T|D*W+?f&n- zs~xhdvFM<2R@P^F;2&fUdxNZy$!e7akrN5aI;eb(XjRKlo#scI#XV@Y9+-*)xlP{} z9=Xlf7v8S>fBXeN^g8u@|J7}2>;!$U5D`jYM|j^YukbmxxbyDqdwNJP8u#om3!ZUM zbI`*RMF<%Y{EXLFWlL|T?%suO?9Xj0l5pXr2~Q*YY|nQLS`x*76kHaV4LawY*dfV3 z9NkhntXf0lS2+yS_Z%{qRZ8r|s12#@dc#zY^y<mA%<&w(8K_aX{ZnEP-pi$gA-tzg zaYuM>m*RNDxd}2kj=IseRu!E$w{{g>-TFAsHzN3eq<@4kxc-Z3p$9$p6MV^jFR1MA zSO)dvpF>dD?pPj97nzT|`bS8c-$PO19R-HMX9mA->-C2@zp;}=kFMN%-&M?w<>3bC zUQm_P9=G<Y6=7(8uJk>s?51ONQ8UgFGRZLs6liNEDok_K@-8^P@5N3tEz4!CS_ss- zSf-}?xZr1*+mU}aHo98KWT0n)>mR(1o!0Y|wmQx4aESjqOD`?fTMi-F4;?!_{3y9# z$%@iMeRy0j1-a*<b3yMt7yYl?>G>8NGS0hf8dseP>-q9;q6cJqouHhl{dfFXHDel| z2iMb8BP$HKO?DVkCelJiE&otgfL8oRG<+Ppe^r6GP2~5RmQB@o0Pj;(&4r&nsplbE zgIyXfuV_{M0MbEr=%&)_&SaHKsoht|9o7CrEs|G_IQG@2KwX}?>_J_Bue77b(>hba z-mRWZ)0}~Ig0N&%-UC5puejrL9mlL8dBxR?A$iHwlp%Rd<5Xv7)wPn1Rr!GCS%!+# zLZkr+3rEXm4BQU=qwpwL2f)cf0Ka(y_)RpxZ@vedEO%(Mog@0-j|OV^1-^A67LF7k zQ%bxBI8Ku7!huQGnf_YWnc*{6_DG>^^LKvzgW%gxbDU+e&&QsmNce>4VbR3lfJ^QN zz#jq9=fF531B@eaz&Jt-j3d0HQEEGqNR=&{Bd&?tn(aT__ziJ*v03D^(eQ<`QSbw@ zG4PYyF<DAo*$owU)#;=$(j-)CZfZlKQFZ#I;vBXMdv09ScBI=6x2@X`ceUHo2Tont zLj|_Yi9SlOKepq4wy*gpVrc1&$>J`EijP1VYA?UzR{o_gS(QGvY`bN%DL-}nsR($& z?3>ZUpYQZfkNDq_@cdk?@%`$mVri@vfVr2*!Cd^!Pu2G+zU3!Oh3Y0%zE-*w@2{d( z%V%?WVneqb<ZB;*rNU4hE^gQ3-c-;7S7~d&QGcN{^}^tUJp{e<4C}Foqu?=pHsja` z!pbs_T{%c)T6syeb^I++v|N}RswoGDi=%UpJ{!uv@`YtVugp^=CrJKoAyo884kD%M zD{n;fC0Bb1sh~}{oa*yz#wbQ)oNW#NS8TNO(L&iCtwIUdf-5bMYzjn8tfDw+K2$z{ z&S<Zs_^d)PVKyLzLBm(QMEy&yIz3rQnN}m-qC$7|BH8h1puMM;w#_jM=2=8`uIe8! za(ZTiT+hO~5^RCB8%UNbPeaTN!dmDVpi}5svF|H?H}4cZ8vuDJye{rcRP&YJn4uG@ zadrx+t^JK%JUP!Cb%+#TWl{*EafbnNh0u~iRqt>>vXGAjpLZCT^F0gB(gERWl4pe= z7$u%28;bNo6=#(ITWvb>Dph~0^#u@oM|7AanTNl86#rZof^hz?_^?Toj@enOQd?Gw zON``!-bOAa*zA|UcjIe`G)n0C4ioC%9VQ05s3s(TGT>lxRmEW5N3g5FJO|$p`3&Pw z0p3q`bTSKb3MF&@7|PH{_Bb$&9`Qp%2lr5K{mATT!6iTAWFMQrWFI^2Bn2DKBn7)j zshB+))-Gl`{%(RU!R`ec*U+|wehg*g5qlht^#Jc|I-%RVW(ylj5`1^08(U12ryrCO z+Tey4=H`YMhwO$29dW~p++auB(Z$)t)FlR}5ba*nGXYd|0V-Gkm9SynSpp}wUp7!7 zcJveiy-p!8N_JEeTXtNNt7AkHQguX=Y;}|!=I}E?cn_2ye4v*gJiH$e<f}Qc9C4&u zu`AP1;?T&)K*MXf$pXBLA?l=_<R!!G?QMrV`~dp{<ZJ&8J5%ppwb0#$wGS8bP6^)o zjv@NZ-_CZ9C(nO@0<za7Ola?Brbdzp4u8?3x?X`FlLbXa^OB#E$#$JaJP3%kH`Wnb zt~}rKu6Cfovi?XWCR#boiT&lh<yb8G_TU+m1CX!*NDPiFyjvX6INbha=NTlFwV$RP zm{_i4YQu7l0Ut(E`k{UThpIIUq4ap!{2gkRl9*``y)08unc0j0VS#i_xfb;a<-7jQ z2#R`|LRo(YI{x$ptWYy6Ni1GcHLAFMSV#5s?25gq3q<l>4e>if5vE5vN=<NudBw${ zoawQF*Qo2u1Q~9CV-d1q;24y!w4H6(79WpbKSI&y+-0?*$Kq{@_f9@(E`NEv4SJ@e zpUk-Z_D;V2jJTJKlGK9=W9Bm$y3I1q_PLL`qpM7nmLqzBBh+4NV@tubHkf0avZ}U- zLBh){?2=`?VK@}wmY-rb{X8F*l0?%yF4&jgcfN2KuPsP|1RSb{Ua7vyBf|JNou4vM zslV`r$3g86u5b5)-OSt2`p9Pnzjz)IdS8+8dC$^X!KcvRV0iVn^R~lTE8%RA^6Em} zpz|%Z3Ql4l&cE{`)7Xbsc*q2uv!8YajZ%6Lh-3|mq2UUa&BSZ6My8AvRVf%1e!6nU z!nw)5Ad;Vj*Esx%zC<}FHy~i5g}-Q0IMp}N7dPz-%ZVaj02jsw-bz=1gZh9I2xQ?f zHb%5v*C`ChU9;w?&k{PrzZRca!1}CHl_vVWo0AiYC3FceoL`<dD4(07fOg~cO~-$r z?iGGw4lxt$y{wA5X|s6zE_QTG;36p?IE}5V2p1*=-qMwYgSyy$X!wLkx}QAbeoYO5 z3Kz4?WX%g*Q$WZh<b)!vigWwa)m1Fy3XB2v1l4WQb(gX9Rkl`6s7$?;;o|VYn6?UJ z5<8?_;o&Tn&GzG6%15v~az;uu#b*VSdf(&Gi*pAg8gp^Zl6{FVjN{{y7@h(sxB@%7 z#jwMi;pvfYkDSR-g*NZ=%gxd)oy*ILp7b{jH#o<#ES}d8L^s%R@ApsdqA?MBC+-xR z36F;i7CL>DU~QN~wwF&5zq+SVx!$0mn74zR%t?0I9r==aa8VN5?a4`N^&;Z&Q<0LE z?a1k`1CnX%45M=i7M>Os+W$#Yp;fZD=<6F3|HBuGqQKYqkd|+wMwK$}n}C4Op<Gw^ zgKuIBbj`9{rxnY9Ya={eX8K)}Rm{Zx`&DUg;GWV<{A%4dJLE@vsoDb8vFL?Cg>qCl z<P;0cf_JEKw_|C0)F!F4Y$5Z6VM+YMZ0h&~%EzEn*^U09!&sK-0704?s$5Bz3<jB+ z_fj*ARdH<Dk?1K*a$6N>?1ByR!)~kM@XC4-19B*ru6^SE#BB*wlLoTyrkJT>ZD!Pp z_ZhgNNWWusi-wXhaUG`?J${89isJZ8nA?>SU@EZ<&yU~1D}hgYaCrO+b|c%+{8O@D zx)tga(t-DxeOC_(eyZ(B<$Axw(x&k0*IVVnV3e}ynb5hzUp+P0>8E}6C0mDDPvxGG z$(&3O_c$wMmiqM(YVGzuofATQ?J6St)b#T{QoQ#1co#$7$PAY3J_>wg3--_x()Z;J zf-|9n(&}BA{m6>$?qMU!lk9^d2&McT17O-awv7eBI5id~w$)JTq4xUl2A1Cz*(kK^ zo1q%%TYYvhuBKe6HtlTvO7@6T+whA5eErI_2g`fEV87-VnqzP&Sn~}d;^QqJ_JOht zm;0^pZyN?flb4VC=p8+_!L8qMC!M*_g?_F5k-jH4K}S4^*-$He`J!&9Z9Mah!0%^c z1L$`&X?>hlOD+9St!*mi=N8l@lZG>c&m^}23iI7O#*sr`0_gN2pAass^&FUJb>%Jw z8bK)4)m+`|xk~if+UjaWoCSeH*qmtB$vj*xEKJLkZ&0ISEWsEcT_<wXpZZ9{L?~Fg z4&<N{rh$HRe%rV~Fs80JTx1FOqCN&ucz^CgBraIij2dlLR|=M^&ZrospSn@y+R$rF zoZw6sY1jw_tKEhibjLi<565rY!chj*PoER58MDg=9(K5J%R!V|&lh`#Z<{bwBd!XH zN!bOP^6D<r!pLrggtBEfW5#LVQMj&(Q%&kdgg1Fk6NlS=a7{-9{haCPzXQsLAj%P! zh`k#I%8T#XiQ|<wxW}za#HReQ%sXtD-F3=jLv6&2>&2sB8xW@o(~k%@8~W2iynUzy z2h9a197@4q1lVpBv7#j%$^_#)&V7i5aS6|3hyQRt_KAqO-zmZ@1fim7r8kTq7YDa6 zX}lqKH9>#SAmMp6295n2UHj^_h6kkh41s6%4Vxz?a2&fBtP{|Mp_ihRD!kl;@nnA_ ztpe~U8hXVAt9eNO>?6tObaKHO*?ySGKqTd#>5-8kD%TQ5Q@2$K2CpWH=8Qlr;TC)h z6>cMQ;ZpUH`avjaN1>reOpTb4p?Evn@A++0m}W$`42Wc_OQGRfU{yU-G)+8-V4PHd zb*s>PDgs6n+n)NydKK7&9vBp}WfyYdEM`g5y3q2Jv{3J5rMDAqUl3tsY(KD1-g{`H zm;a^i3XeaxO&BmEDt3)Pv?Hd15;1<Y&DZ|=sz8oaae^=dq+vD`ECPFSP%vNu@PG-B zfH9Yq;Ub*?6G%a{XI2cRx}EtDdI^@bh`E%hdjlV|piyL1iN>zj^Z~+Mwuae{3Y%gX z$2Av5U~Q1VzexPvJpHe)rJBC6{%T=+XW@Po{JtJxKMvz=x}z0ra<!s)VTuodxs|ZA zt{#Ze1mguN*0iNJSbm6LaCbK+-yB;S2r1@T#Z3Y|l`Y6Ow<RokJO1lZNZhjfvAjfy zLB^2z0`aj^@|yU0=>%%4?9VBb8GD-WGHllB_`Wh3WeoH1eI*Y3yow{vu+c;ww>^VO zcXzPf0oX{dIMt9rM0js1%>#kM3T-4iUQ(Yeik!ul%kc6~hux&N()R)``janwZJ?Z= zt#gZqpouQdpwk*j9inFGwl>OmlGyKXI_CMwZ9_jkGgAHu-;p1$PgqQU@Vd=&wv@Yf z`H}LdMAP&R{e5fm(C^QqoIy6V1D+^$u^pN@9U@{n=D9#g*vkE@(&KawredyAslP%3 zg}%~x%8SjFGg2G(6*D<Fj0?d|@6ZTnWZ=r-kYgG#!_M&f+^9P9M=;~$t}hX2W<||m z(F9}4pz&^CS#u^dbpnZCu(Zrl_&aa~le7K|@z3``VN)NT`mz{{Cof1UJcwx^P>Es| zw}k1cOmHtu;aJsmm0_6VpFUJm0!6quF)$`DP)EWH;mdM}PN+`=|G34+HKtjx(y9S1 z+mNIB6-Dnc$(bgju{$=C$DyT4xczRxgl+&<KPE2tyBU*=k(ny;6wo9dph*ukv-luA zqov)G$PHlHFVsCLKEIlB`1KQ&D_|bZ%b3HEv4Z)iIq~@<;K~H9!Z~sO-}MPpXTV_5 z1`HMkQi)loX?ueV9bM8Rs5<m|%qMhuTO8z7IQJlGPQzl$IFv6;t}OiQ@IPPF67x!6 z`U#0#V<LsIM&3Xzu1P_|c3a!<X#Uy7VxThFeBUE58o}h;r(JY3__JBj9a1zw!F*_Z zI9PT^?fTtVz`4CLc;@xy1umNiI=4?kV{Zm*=Iab9*Ii1rUg`&UYyF4;RFq4$4RL?P zrUa@|ec5*!OjNNWGm5-_QmO^&Y+eF%q?#6;uD`SR<^IJtAq+LsfYaDsCQyUtmc)s? zL2GQ=j+yN&g+M&CUM6(ta<=g*Jl26G5dfOxE(Hkvy7SK|b^cS9G}m4FK;gFTD?m}9 z_8ua)K4~QmQExT6GbV@W4<K>{`0kMa=4PC0r7u`NrJ9@`O)iDliWXm_&*N6OpIHB% z$rx3hjJGxzu90TJSi$sT17%8}J)A_cf;?+O3udh8PP@mS?#9bI_~$pui)&-ci}z`p zoCKFz@vTMmdZur+K(5V2P*Fc3Sx@hU2$}N!T&^{mc^H>0wUdt%zd5I}RNr>s0*vvj z(YQOE*dl&GKrI`Wwt*RjAwnW9h7OSb`F2G1(r(|XR0+2UE=qm80+0)0&XPtTjEU9} z!!>k(FQ~rE^ru}vphN+5K&{Csm*0_=Q&J^}lA6IykYjDXB3Lj^9;7nf>eJCm!U;DD z3p}2<#VZB}O$H|{yR=R$rA4n`n&k0t+COy`*{I2F>3hm>Wlyv|bhPGh1Mn2hnNPb+ zXqr3HaFKtk*ODGH!4is<aK@4H#H{13)C*!PGDaY$pX_m2<1MG3303jRL%tvqr`hJC zE5JoETCb@e*Pl*cY8-z`D@@949aqaxf7^ixk!$8zOC4=Ftpp0N2^PW(+j`uNz(U!9 zNv^|WvK?f()jGls((^|xvM6|^wYi(OkR>wCdTq9&HTFLlE`dq0C>%5roREHP&nq$8 zcIGEtT5`UgL-9`LAcA6=x==Qoi=*bBk>6F-mr1tJdA`!5&K^4Tv~=fe=334gn<1Yq z{yBb&?6<&&IY4SnP4x*5Ys}oKW@`eYY&cX-yL@#oBBb$B=m0Tb8lFPjYo$|5a-8-7 zechban6*>Ok>v_Ieoamf9^6Z)bV0NGGoLJk(ctl>MR(peIq}c=+O{g$=nqenLrNh7 zj#-n<UNT0<rFN~%d3HB|2sZjTc0Dtph_FU>A7&<Azdy@zn#)*J<h0MRBLQrZvu0OK zZYN}=)xUIM1C<Ual@BAMLWFc(2$gjCuL8^03TXeUfPn#doXt<vMqednCGmi>M%Y^P zL9vPzjhBB$G+zXb3Nihaw%sdjCHA7ljKz#{1yl8T>dQVy1K~L$!1Sh?5di_%(BQ+S zMa|1sxB(`(UxDziWpbiB;fH``J|!X;p0LsHAOCcfvFHl837`wf63Ht5$L=5NdHy+l zbLkq+8Wgp(p80YG=Irs-J<b|Z>Dfd$R91JFWv(~F9z^B1^k`JM$3Lllz~$H(fnO`J zf32h@Mjm#oC`r-=(5d1{B%!MCoUbBW%Q{d;?UHPMs+RSdXDMXlRaMqN4^ljFpQo<p zJx=1aiEHyOz3GVo=h<xl)1}Qh(fni|<X@aMlXW4SQ~`bhF258X&%E}WG`8D`)aEN` z3d;q`67BZR9gSja)E?d&=%^nYN*}`t$r%Bs0RKS&xZ;@%p3YeG-xWm4vD=8~gx{Ak z0;R<?QD0?f8x%!*d@7(s)1**_gWiHw=h9x4g~oMeFK(mnG5*@z)W;xQ0*D+Fhjhjd z8~wG3S8eGNxmFiId)mMWx=yW1CQ&xy<u@(7Yz%Iw5%REA<E=+ymzh4BS4+DLocaF{ zI+75H8Ex}vScqiD|5I-5f6BFgRc<$+-0E@#YWIDgF&lk_|EPnAT$xItJrqQ;OyF13 z$$f=qI={k60eEw{LSfyjCEdins#RYUF6C)X)RYj7>NW!~3~iwE=h($^7NHxT;6W-- zM2?dVZC(xal<U<{{cL+0vJj7J0SA!t+6G($G5R+8jIW}$Ffml@X=o-9IQ|D`dxf6> z@K{7x1G^6mgRhO{`=4DKzE*)2*9pG}crS_n>|)~8NFA`zc)4dqP2td}7GHtdL9ali zSKHIB1B}#sz68YQkvndqukoLxwt&?YLPrzqKCt(_Qb)`HOu?a=uw}BDRMH3*zla5* zmwo{7j>hxKOuuap`xiueD+#zrW57YUz%0coD*S|bl*EY(#FE;oNVGdT3aSE(!RAFw zI_yi{zqd)9ory`E`VmQ;ncYB(12Rq=dRB1ONJ;CzexPDNu8xrh@x(By?E`Hgjeqdz zSeVH58qmHe&{$lr+Rqx|q5;gH=T%LAi;{Xa%c<PPUOQT*#cM~ip8I5hhX!vAOgzF~ zZD#{;Eu1w%uT8c}k}`iv%^<hVzLq-GT$%*~bRRqL7J&pDlpb((j;%@<O<%3ou6Yft zq-)-;E|yc(187fnYs?HXhh(5z20Kxp@zP9-nhK&(4ZXJQBAdszBSnYcR|U1o7{Q(t zv2Z<PIsuk*7o%l`ULIP|U_J>G*8x^VVF36Knuw6G(Jy*+DlL<*{5upSby~<!N3vV5 z0agslnGYBd8X7O&tf<uwz?K1yZ1gE7T7fEBUaRPim4_856p)YMcoiTu{Z)VxuxbSf z8vH)sSVI4IUy+#4Sez^$XkY}C87mL+`_CLw{xb($G+ymFQR;nw_8<Lw<*M+t54%|c zzRgbHvmxJlrzkquEXt?>(cQQlGCK#8B2UOhGXJozy3Pn?g@PkkzxeISn<6RS7$=^> z1qnwPNvvf;HLAz=%%kyj$kJ2~+gu^@TE<exu>G<|=It{SLd~~C%(Ijy`B*iiy5SU2 zJ*^Rii-FS7S4H+ASaN}?u~d*0gyha1TXh|c6ab!o`<{7r*DeEqE5D^6g$83u?Ig?; z{?jFLkW3<z-<8d=5Ui-OjGCvW0qCNnl1e-Jb~UcsCK}f=#nQosQ~Fh`9GqTY{c%W< z1K24UwNyVy7e9v8kTWvRoT2DaJhC4s)uET6d^c<kh!NY!x09%A+f4UeJi}Qs$s~XL z10*h^n1wBRp4$3*>Jwu7V(`b!`L6Gk9MC<lAFfv|zrVj>2thV<R}C9OA9d>!L#X91 zAK-d><GQi=Ax^`K&UF=?dUClSyvgfuH=BgUZ4+Ii-89_Ju(@v$dA(jDENk(3?xox- zI+()|q8jIf&QQN0M(wpMvEObL4%>FBTBG}Wy5C6P+HDFwx!on4{&!P9m>a*ZlSj2; zrhN{baHv~-I*2d5XS;86LM`l^_{*-}TU_3qe2@&ud_TKiFfc_$N4Q-s7%&#Nt{*`U z_}$h|g}!X|?(HdCtb}&C^sbwL<)QPbh!7D*mwg#(ILVh?fwx*dxxw<pJ_|&Rqvxlp zrfnpZ*+0>Rez!=F{r$}r`Q(+}2P2@kyH&Lk6hYW?{Kke>5Aqb}owl_yS8I}b>3mB@ zq^ZqSL$@GOwqDsPDZ(P~#Gyo>tcNr#hl0gxMh+S_nS5T0Wkc>h4;l~CM6{3~mN9Ah zz=gehn{=Nm{kXl#-YNc+aN3SmQMII9aE!WU45GCw&I>-xXPlqvM_<7l7JCokwGv1j zfbnI0`eFYajeWd@JZ|o~;ku|gptWlrEJxY3zF{GO`qfD`*8jcwpGdTd^+;*oSl^A; zNqmC*TSwWgI5fHS(nMq3&1Uj22krs4FkyP%37>`5v;kbAqlGQ2_vY=E62$mUvRj|g z<g&{Wjr(#+)=HM#4;&+QvunhmEv;Qtle2zDkR>x<!DuHz9DQhUM7`n(aC`FOL2Jrb z0SE#{hPsZoVM15%DA>bMS?;+n=>V*aguR@+m*fTWE&@QKyCCr_GL(Cu{zsT50XJ2B zNSx~3kUG(kk3XSNLGEzc8s_l3_v$Cs?~`<;D23wdTFJv)oFXh@(JI8uB|u}wCACBw zgeM~>a(9D>DBH`n<gi&xlIEOpo+6G7m@V4Pm@Ue{CEbh}nL;(w)+mhE$2Kd@E3F&R z<BF0<APow@e{WBYD!~+DQ6Gu~L?a@hR5LvFFhD5tr#SDUUWBL#O5&jsDBzq32)I}P zu<B5xm?q4~OMqGpGC*xcoR>x~qQ?>?F<l%KFq{ccn*(5ce62U9_F-0JkteKHa?#cz zD8f~v41uLGPl@XuTWo4aKEc1UGTl4*Z$F|H-ZV4RF|pQ|=_W>xQV}x(A%T=o+3Lv} zu7#JI0FmZV`7P12B|;SX{+)FUED#u)*rDT#on8=+;&JU|1~rcRp;?;vcd$pCp?+Fy z!D&%Uh{;uzESUrxDTkif=loX50%wJ!<7eaVqdrt2y6hL~aUe#`7I%Eonc@X&L83Xq zX1M^-RGMNLpPmTiQ&z(_#Y3qs^KC}=_~xn#^@`J$Ylf&|+!1sAT|Uc~BZf@lAoAN1 zVS9WJ$tWpE`*k<@1S*9IUh2CUeOk978SBy|Wkk{heG?!ZcK^<z8~%C8jMg=5o^W!1 zQ_%eI7+nr7%nTT1fC&v25adyT!@&MwyO0?<zi^c}zc8S3Od9qpu#>*}Z@T<ra=P>} zy&~-m*c#`U`jLs40ulxZB`LUpUz`@*8wWzkN^p>`z)A#}$eUMLdaNO49w9Zka{y2M zu0CTvz>(sHiaW{o-FsP~*p?0+?t|-2lRiUpa@4O#>Y(3E!17ho(AED*egmF#KhTJ+ zn$vKq>vU`yds!YXj2XPOtOy5<1(q$Ma2R7FwtQ!RXEst#YX^=Wc24e$HvmG+O6n@x zZz{?fYE)TgY|Kb1IIEd|Bh}Dekf0Hu&wjEHK!d-X6E!D86Z}nGw0EC6kD#60sUMl# z8J+^f(S<6i49F_VTtnvP?uGAY3bKvw6w(9U4XNCwvBe*3W_+OqLg{5plCcHrS%xRF z`(eJ6cSD=ih5%~K-6eJunzWV1*0#SnqW|`D*7@ln)Wb!q%ak1T0Jz5{z>@-z9ZH3O zV{037SXySui9H}fl@xk^h$Cdxp;Ok{mVlG1Z?CW`cRgTfoU_VzN6}wR{75~1UW9GC zk6V7vk||H*!aE2(jIBE3Doxj_H>tW{HJfBwW}Q}G<IP+lsv{iCUsus2Xoqk58D%KZ z3w%kT8TQ@<uabBPNh{~T_B(tXzrq%{g42*368BWhQXfB0jhNUOjy}^&4+NyCp5z3h z&>z;{ub@lSRlnX2Hf!+6kqrTdgp&Hms||EfB9z2sOL9_Y-H3QUyh7hzadloBay!G( zE-z-8YdZeazd4o1|4eL7Qj1cMnf#Z4q|W{`ufjGw4If{>CGA1_&M#O>Ad;KO=>I=Q zf$bv?dmc9~fDX|=Q}xJ*)t{4HP?YT9>Fy4lRA!kO#1$S^#K%kD>jO0zE%y`S-?A@- zCZ`|t(T{)J2IHx=pEZ0UsxmT4FL=LD#g{EALTht){)-qc+t4&Wxym2}sQQeBX}Uj@ z+PAYl+?eHeQ5y>FOmC>h;clPZHN=!l`|Ve@eoUFV<A%p-Xf&tbNB1~<WtQnhAOA?N z+6%t0&iWoUAbt)6z)87XP08Yc2>37%0msHy-n9$<P5Es+?Ndv3P9F9>_Z@F{0!OtE zmjRd9Lgr>DVQWXdz8+8<9Y6JcGgRoCYjd<kLGZh357o#U^kcK&;K${|d^tz=X&i0= zTOxzsnrIuX9jthG>S11WdSBHs)(>uT7k6939bDpa0TIRk5d>flY=N8>39)8jQLyjf zuY6&C0b7s}F*vjqJyV+Jvo-A@{39=&gh?Tz2N<d_U^i|SHpVjUHW-Rf+gU%rg@5as z)9&4rUX)j~0Q&@F#y$a=vBP{}L_S+k8wq=vYp>W`yKUJc%3t(R*SXCU-+Ezpv#?>7 z@ymfwgj|3Er_2^{8?olrw_y2oH&u*}B$~{|fS>yd_&K<@O1s-tKvGj*{b^9eA#1U@ z!pT_6=+E5o6-`Ns36(u#RX0=JQ02#(utjElx_*i*=)yw%s`HQe`umNoI!6fNpNlcs z)D;)L-p02^VvXa0jIp2ewOZm@eIhp!Dz*)>$o=k1yd+A)xXuwVzI-Vt^igv9Bd@K# z35NEcBdVw>wzQ^HVrNB?5Oh;|nP!l*VhXtWtNiZa6maDFSUwrj{BxbW$l7aJ>UWQ8 z%yI!san1lbu}mb%vG}U;?+>ma%VL&Z%htcAE0a=qSQSi*8YFTuSGF-5;QA5G!#lZ& zsl2vyWqzwJg~1J=!=3=;R_mwM3;3~TX*|MXGFQwn8sLWY0c650NTA#@<+X;5^KPwc zdmvE`($xcA{gJNUHppJ*tYGT1kvcHj$&68T-0ELlyC!e01OawcE@(TsSt-FLS6xwu zZ5M!sBUI%~Ez7Cc88olh_%*NCX*A`{9dV;J7fX^V7bg_Puyr^3FbTBNVTaW<Fw^bc zfld^A`_VNn8hUY(bbl7Q&0t)KJ9#{v_DV3LNJ5`N3*(hS%|VO?-A31)cv|i)?NVM@ zX6nva7UIqZrsmED=I+jG{a@Bw<10E&sY+Y!`wM^4JIyw?Hh=R`@@e7sQmSFm)?C0# zOHFOF*ZSL3s-#er*`k_E?A-O&Kq^mWyv9JwCdX=!Yw#HHC7+ZfEd0jRO|UXtVar&^ z5Tx_-X`+zqs}V8Jt`aQIjuL2TSBcblILm)Ml84%jXY)&6i?;^R-A(f6`U)*OHxF$C z{dI9Gf<4|9)eS!sH$BJ^{^90fQy~V59>k~Wis;%_a?xNzKSh5b--eP)40i|E2Yo1| zvvypDt7Jc&wOJ<}Iyd}zlwo=)tkQMjGw=fY@6rrw43ael<++S9L6uO!CASSCERtbP z5}pKm^173DG0(1*xcBmdW5IELvkBGQb8aL@PoBe&#t#c_Mo#7L0yc{hkK0)^hc6w6 zA*Dog?j%dlW8TE0_FK*2$j&$inWe1L_Et>|$!WK{@;Gb=$=x^dj=ZyW8@yuvvkEjV zGx!BJio^C{w8%K@l{mlo1l#;^$hw4m`KaQsP`*Ch3ICa}kxT2Yv8qy{*l=v|WO(&S zJC<hnSpII9LBesn8MkI=f#&cC@KIGKd)#Y%>j$;glEowTN5|?b6hWu!6DbkYCYo&n ztBO`fhwC*dkp_cI@IsR#tig$eh3>}T$EZM|#nhg*n=5wWnzZ=cuf7lPw~J%6Ztcdx z7~#izf86SgyQ$FU$I|+qeII6*)$`_t8ajj*F5#^g$A;O8jD_QlZmy6OtI|}=?YsOL zx~kKrhRb~)lF~I<P2ORj>?v4?2PkLtls;<Gf(T4l$@&`~iM7ow0vt)X=ZE(#0s<W6 zs;lCeLle<X%oHq?C^?(JPOm%D<r(V1o0_4u=N*a@Q3eZ#HoUQcMTat88d@3p2$OL) zY)nx%u1uc3`pqni%)LCyDv*`51!~>tLt3dkJ)uXh#l5bd%#UbQl+Bn~KM#Wo!_0~T zufO!%4u$;Q9`p<OUBtYnTu4G)Hl3R^cQ}1NER`4Xt8q5!Luh$THN#WkH&i%EJL$NS zpB}jn#_-&%wN7eUM%e52Iy|jCjZypetqndOrzAFn6r7qnzqO+}5M_-h#aSnPX^EZC z(AzAAR9%^-;5b&q!fOxt5@>_D>n!?`dwzK*>z@o~@eU1S!4C~(QArPH3C6_pJfvf~ z$eSB}qYov#ECpK+<>JQeHQ-i!y{ZP@VEP+)v1zt<a?iSV^1wIm<nV_}8Zwj}B{_d= z7kM+YZ}hQJ4K`AydX7@ZrC)hB2kNPAZ9!G$LZmc9zW$2wH&pD*i^+ZSx++8(L|G{D zG{BaoQHL=F1qX#Hg|Vuts!H~+1rvqOc@5+CwwtE!m}?j^d1{!3$A7@Gxj3p<?|&y` z8L!VT@X=s%gFVT~Hp@x&sD`*hxs+KhYm)tRPk#w(^Z9@na|A;#m2-zwb?)39Kc3c> z){!euvMrDBA@X(fyrE44hF{Xhj!fG6iBRfRV9aF(lD<tSv<)Mn8bBu3uG-et+S_OS zxN4somkZew*p_o0>E?PJ=Z170<tBUWXsgT@R#e?tnK7E@H{eUq$pb#H1k^bIs3!za zPyKQNZ|9&deCLoa)#H$_pknmReLB&JoVk28ZRkitE|`W3yi^jJn}@5T{lzT%M!Ue@ zL|ZG3nvEOSJ46HQ9pVS}4lztpTq*$%2w(6<$77+1u-FqfYJS0MlZ97^)k_?XfD~(m z>MwWQsm=Hylv6;J!KR1zq!5CqDLFE(Lgao4lx=TQa@ad&$(`I#?{)`r;aojgkOb4I zoLPNnF?+-raUA+J%W)~!v^W8xE|N?T3f7?wxxFrsJDbGYDQXL)ni&&UKeQvayUrJo z$rtL1mJj%9fF!}w$r0%VzL-u$6gCD^h=Hc;68f--iZ9dQwUE~lkO`cj_65&x3(7{! zs$QfH2~oCr9mp9zlGk}W#fwkr-Cnx(iu)TjCs48I$-YYiazPqsBa_?hDj(yBxO9$2 z=gT{+$%&VkCAY#tW!IZAF~XS!hFMVL%-ceX>xac>mlZz7^$2x(%$Kjo=#)xNzyRD# zf-hV{fi(7XnlH!$;6{+BxPkL3ybSe+pkTJr_#38np9ykjV<wM3nF^?oQWxVtwmocl zf-&7bXib=5+jxEKv%t0ntbSGONVU`e!I)M|G7BcE$Oa(Y#S5gnU^n=PQ4Wpf*|KfP zQImm1TVp7aVgqJm1zsVC8p<X6hImrDY#uxpDyyz6(LrQ%+ELaL>LZ&yL2ePFM*jtq zQO1DyBaXMOBpg|^UBUo}sJQ+MqFoFvw9_Z&!~Pq9pW(<z>0tO<1EhXslx?7;U_UZR z?z94pW<@Rx?5_Ytk8lDgKOiHu@vB3Vr_SQq43J;yRep3}HS0_c>j1QJdZ;(AGr4e_ zt}N&$kZ1b~!1X*2kcdkJWMVVjJgw~KV@ep4dBsigNV|c=;{Qn)*9QX$;~4)XDmV_F zy5yONtd>O)$}=;FXSv-L{e=*MKSFnrs5-QN=0PS{vxZ3JEt90Fh*n0R-6lD(nvz{e zS(I6^Acy^0F?t<+c?)FptqWP6EP9Cr0GxoD-i1K#SKBQ!S}puJnU(*=(^ZE>^?ZE= zB&0)FT99s#P9>$gyQM)=Qo2i|qy!|FT5{>`R!X`-x*K`t`}^bF=h@xo+<Ru`+&O3J zoX?#xqeAcje9S5hfKRKGts?%@A8{l$)#SfKK1KzBa^uBKA)-S9zyTtzS=gTClZcE* z^l^1f*d3<?JK#SZsU-jP^v(t=IU7*66nW3@aAQj<1ZI$@olrc;QxycjQv@R_vD>@E zky1duo*s?>=A;O~4Fc{7KSdt^4&o-IRH41fRRmMGEdTvWyOQAIzoEIx=HHz!>Tv2r z>u@6f#YR56u&4`+Qc%`1+!axSNRrc(OZdz2(50<pgXM-fdZL%4RH1cSpoWF__CCNI zWaFYtw(ku(kBe(iTT(G4QX`=I@10Zq7lwTQa<({_gM1DXU6t7xz$lc-rN=89Y<`>x z0a23#QD*}$Un{lKku9-G{vdTR3!?mUpooaw`Y}OVdGED2%FG{eenH?~klEw#u0E1O z#J&gieSR&<e#ec}#a@l+pJyhJi5%u+@^6jSHbDn><HN?+fBlvoDE;D|1PIZK$dSE{ z!Qr%y!SMx-zz<@8{AgGp;)vMIsIB}0@jyIAV*OLhn^@P1<r$|CvA=QZOZ`j8ksBb% zZ;<3INMdb>{J4Et4wCSKBsG135R`s^9qh;j7{WD3xE=|BFY+G?7Tsl?EiAQCRdqWg z%>Cu{n%m;NnLFgAfz4V@99L6r@^Y~J3(PMHLi5y$2{yNikuZ^tkudX)>F%zD$dD8n z>}Wg*HA{&(lOPc`VdAa8F#(PTQ*^r-Q+C9a_@WYV#Dx@6-|Q_%j0d2PCOV`WDv_=+ z60{SXSdr75SlCcAGMh~IEceG@)P==I+Nx<F#X4_sFMMIuJZT}JM>_TW6Lq(9Ltt(L zv`E-*MyQ`3LeakO;p`SuBJo2aMk3EIrdy&Jh(cswZTLhY#qJq^cHu@!;|(NfYQ_|8 zYQ&Uva|e=`2)pyBKSBENXNk`*bt(Vu$s6Zf;&NieiE?5EngVfhfjAQXiR0!Ou7?O0 z(l7~Hc+I)&aKbU>aKbs`@WCu*A)zaxPf3EL8`SLr?W1A%gqZ2C@#$Gvza=-fEtUWN zB3ztn<6Vk-?G?!I9L)DlPN)mxxGUflq>s(4eoNp9#~c!NkA`Y5x^o?YrYeA@3>U)) z1e-B!FkB7!?ue`@n!lF>cx@*_E7k9sh_?leJKt6U+2W{xoSmFlJbwNBQ{R~iS#2_% z0spKAMbo|fYT&}_$uak_3;d=@kgF=l_01A84^W2<h6~{G3}S<WT-kP8pq0Pxni>f= zOyCq7t8jpAg%fWiz+Y{gI12$BsmrW;e!!c*na%A;V?dqOi^$kSOURU|i(xcu&6tb^ zE~LL8dvM1fN`#h;VyYW~j^?<wnJ^@*UVey24?llfv<txAZY1#If&92Zep3DJ_zy_y zB0wo*9;7rLO_&rOjhLbyz&s9INY&={d4IyjEm8dgXB|xVkM`^eD>K^S?t?vw$r$@O zC&l`xI9~TradPynnR)?hAFkM!7Rwc0J7Nz^at!WaZ0PLPZ0M9x?l%nEr~lRps?i%% z<An?H#oICZir1HK$BHWU!}j;BGyqW8Ehcy)-F&)`9AVs?43FK6jAFj8C$Y~4JaJBt zsOxoV)Ni1fO;K73=|%w3X54*tP4yMB%lngKz~O^A*ibVk-viikO5>g0?!J{A$k7%w z2oKO8%s_*11P$UVutIBt*;xNyO^Zv$2+W`jCxZsr`JdD_hQ}3Dk(iDWFOwF-xapoc z9<=REgN>BPoj=7rDzR}qXJg}>9Q0H0IHS=Lge&dG^9%I5(Gx*7Hu2Om7rSZbuU#f4 z0|bK&<HZ>64so2cRJEYdFW8Gz@_|#Rl?;9F@Rg3CQ)N%X<&}4umQ!Us?DC3^vtoIf zV0&sIqi^xfyHW0V@6d8i&56B#(%a`n8?-^&ETFZO;%a(f-(0=5Ro1?uL7R1P?@)2W z)~}U1Q2z3Y%OK=dYf#*2*OG4Mjcy1psS|<#%DFqme8R1FNn!uWTjPJlCI1@E-BDgv zOd#=6FZWjEQn1CjUpk|em50llVU7e`$-()z`!kTXdoyUPzzlUH3d~T6!3<Rz%uq|f z47E?@U$QcApF)e7N?4UvB{!pg>2^7X(rt~p@SKHi3aW)}flVVV1OIi}mQ_h4|CU_l znJX=X1H=Zp-=BS`o5GuON5ZaAZc6go1~Gw(Ibw9?O54yu!kJo49<~J?MP`HemKcWN zHioCt+Ugc~6A~YF`KX{CL8^bCJg<)7G9Hv99Z?W{T6WrL0NUj++_{gz)S`dIG*tRM zReP<l^`(P~W~QUXm^P2*dnckXZQDgBI|F0({uxk*^-~MXu*PL0?2Ns`OBig~D4Az= zA*1Wa+voR9TbofbrLVRVd-s~Rj{w&60<&|~vQZ@B<yGm!k7XlDpZ!BcGv(G+#<I!= zzF5P{%hCrE>(*8bA?kghLmtQ5eQKwZUttfd0T$-2C*eng1?hi1lqAldCEm*-xGo`w zeNOcJD2a*2679ml67K?_;x4F*k;1QI1Zf=m`GpPQU2Z_*{;+NZknVN>=}sZZ)fyo| zD|mFIQqwJQ{eCL*grTqVl)sPagr<+`l)G<jO*f{y{~RLoU(;Z$jr|Mbo^Pyl3;cV; zG&SK!xnZ)qDjFdPP9^OYZ|Ll&ZlD6=lf+ATV9Y7f%kS|yEta!>!Pc;&qU4z6nV9Y5 zFP!KOyu}Qx;1=Hm*hnk`(WQ0QTU4){#~AMU?Y<?!Guff(Y=O+u>1!kR4BpKZ2KfO= zf4?(w7>plJ{)9J@JV@(eUOr&mHJ_p_a=i#!IOb#Vrl+BC=E&n9i(()Pe-ot_E<+Hx zgxlMl7_q+bQBrnYM^bjpOHy_t<PU0`P@$9}8$H02mqc{A2<D+0#4_NCh;h8a7NL%c zKf<Mrbi}0%t-z&?Wut_|;=t`F3@~TLwv}w1JwWE<Z=&9XM^I0S=6S)11XAKXaFy{K z-pIWKM`9M7E(+u0tz;0Uchp35op9$l3r8{_#DgGWe#H8kspRSD>pU8l6^w~)3U!si zbVeH3IDy)n(@Pt&fq1UVcC*@1Qnz%|Dn@4vt`~uUg|8?334}2?Ur<V{IB871W-tCQ z(RooTDWm=|*i^chcGngn2MNnS=tot@fdKzYKJdRhQ$OMCL#>kxpI-u;*nkrzU0kqh z36Uf2OGoJU0-hR^mw5P!o!vBm^AEugCv5<xO>Uk*;rA$`b<#uT=|i8zxrB?~RpGIu zM2{T_wiz3a<{MtDlcN|vFz<*TRl<n+C@eAjVnv4d?=pfq5Y!WNY+h1mr3b}NOD7Gv zCC#U2r+jpJ>2Oj`Ra@Hk{rVCb`d0jiDgc2fh!0D~BJ4a?jKx;tHFPjzidZSBsfisp z)^UMjU2>12BB3cB3LNV^z_Bh^Qsff6haBhn&2XvP@vC4rtJ)4+anE+?J-OB@3$1)+ zt_5}x!=HXmIj|iMEmU8C7JC1A!!vrhG-=xH?uU#2v)w;#4j<p`4!UKj66!d8qveHI zVw1*J$1CQZW~R$f63f^}`balo^0rgHtU|i())~#!??O>g-_^=zsTyUFx91z8@PJrS z3Uxn6uCaFNp2Sm*L7g{|;_pzqz*v|b5m;hy9pM;4cpF>Y!;*hsTCaM=+0T$_?)Z@c zwQ%Hf*bl3b+cmlu_*O&`szeBa?N3IN&8AX{sj1zVnl)SM`HRTEyD-@$ikwYsOCS0e zTv@n>5TawMd(1#yk*ZfPZZbCxSy611pW6f0K+Kd-JC(PaXZDS{R-==*-OlNz-&D6D zHs4-ILo6dFrT=t8@(;|*RF%wp+sMiU3W+V<OMG=ax4%!93v8z$bRRrW*v2My@aK<k zb~2!2@D1T5#Z-q6O8@C6$j|JV@_i=wC}$GJ$T9LzbyPNmhISFjuvbW|%HJeO5cm&Q z%IuxwDF;X14TM1TD}+o{4T7io3u4O~l%Hdxdj3=u(Z#`;=WHJb0_N0FJ$(`DrV^5; zFws037+c=YD&D-Gus-{cciO-6W;j-)3Btge8|IplxH=$Qt|du;T>~237HD*qpwSh8 zMn`M)hNdZ<ur5rOOcg>XMZi-Bgm3~G2Z4<JB~Llo^Jq9{F(xED)lVk73BK;yykxxK z3gz|=(D7t;By4&`&+!)#9N)N%;$9L;MbD8wHC-AsB@mX_)S;r53K8F<Ku&5Ri3W!D zPEFYqL~tf^_K<n%R6Tgq?h^$iC;>u8aSy?-q`UMLgjPPL$O3zVL3at2K_HUzTV~fs zIVJNc6!!*wTBw4<B}!w7U{VROWt^Y1u1Te8%AEN(kptc#Q4dSX_ehrROJ-4PA0vr@ z{yf4ESvt!&w9@CX@<(7x3{ZvtY>a1!q8`{-2C%X8d2wK4E08VTIS5u2!FiXCrLA2I zS3eyv$4&<MGGLA~#Fmutv7ZDSEph~3SSFV&aU+4Bmwb!^Q-s7(O*kBv!A%OR&|(FL z5SO1RX%62ORz2WrmT9DYOzI0x-vo{zmVwQnr_BaE?Fz%a7Y>#nNC}BcNpk|MrtmVZ zI+@!q86|dpN)&v*XYbtef#;n8U6^MGZyZ?54zL#Ve1pLH+xvGlBXwe6U-%w{{IZ#S z$}j}B&IZ%6ipQ(lmeTaLD<M!&oV0FU5-4cCc)b5TNF{Mg;gmv*4#kj>FXJ9^aOPj^ zE=Z&3vt{wM{wC1IH)Q)6w9z;cOYHub>i7-mMl$-CPcM|V!-LrP`WYou%s1Y_BRl7G zOc}iGS;pgIWAgf>**8A0!bTVxI@6tHzM0xUpfHyi2G`8DLkK&dQNGAOSj$trav5aM zUi}2e?bOjV<JU8TfrGS}*Q!aFwY#_2hg}YX8={ObXNd4GoMcg$qfe*rusf5Dr&4Mi ze{tWm&hO3tVz-@M8yd!gU%|4t+-@ZUX#2(SclWz69u3m++rgpr&Zd!LYzL+Z==YF= zE?EqhHG;4vXOeDF*fpb!cK;Yt5%EzM#v212R((SqlW*uysd98EPX#(up+7VU0s=|G z4|9RP;RkS~7fk|tTG6@8aZS@hyQTS#kw<Yge{;iw?{@$Gki7tL8gCG%@e`dqE*n$5 z%vps6`tw|t$FoGNb;;b_`-T?3lo0#1;ueiZsNvN=1UdSOV%{`Fb>XO>{dpXazcslu zw@keGDxkh5mKfN7nHoz!;k0H<Xo^;Ih4s57i)#vi%`^9ya&(Bh)tlr>O3B_GZ~tYA z*CXzxXp&Rb{F5Wy)Yl3k_3<r17ma^qjtDZpKl1iCe<@SWNi*71>ijJ5Q34};;O;{A zuROT<t(*7fSE_1JKe@XEp%>PhKBQ*+;xk3#GESf9jb|Gc!XR;pm$#*DN1IBrhAS@M z?)#Zkjmt|KPr1K$O7l3~jMZ)5N-Iel)%9jQ=ffal36}+$ej=hTQ^wpSN59?K*`pZ@ z2s?9HhDB2%$0JaGLb(56Sf2DDYvWl7y07vc9*XQzH<jA8%c;7Y@;U;Dv}RBJ=D%i~ z#3V~C?k;87WYLV36B|nq?6*-Px`~^jRRY1uq@PC{NG0?xnN#Zg(tBVZs;2iQq|=y8 zF2He-&lPrDVoOu6KKmUYMGnmAY3X4ZU)AR%25szbPadf^EgoZ3+xb`T`a_wzF~zsw zvc(7uqF9tl(9U}yCv~oFa=C4|{2;>hOUuW~nPYGPQBF&njTT*)>Dy(yy}!aBhB8C? z1|zt@3@-TlDGg$<Go<Gq(uc52RH)22)z~QyVvvI?Z)nloKpLUn$ZA~OwsPAyDPLdA z5DeXo8rU(Wo08MImK9k9Vun`x`}~%cvzn6}B+FpbHIdIPdy?JL0vDtQ$)F6nCNkhc z<E|38AT>zV3^>N=Cnrn8$Be`^8%c6M9nfn8BEAUU@NS{}X_0KH%GGZwM>uE1u>WHR z6e}sWohCVmkc4h2vRtIX)vW<&=|P0w|IyZfRsgirAVSUmXd(p?gZOL9ZzHg8UtgpU z40*S(7g~f#0XpI9i#En@mtMy21~JYV)6FSp(MMPTog*=5+oE3Ggv_YBs*+pQfB#-i z3yLSFB@zDh#nmg2!Eu2_9ZkLk&oYo(yK_m`cv%K5*;J`>V20@0OZ-)uw(}#J$g(G) zWNrW{q7Xy6xezVXzEovq!;hKvt$nTqkCS?J$5~LyK9xZGk63R>w$~bQPN<qDrh%p5 z8`R>KIts;P@_@Dh^g0)ym5Rxj0c{EBH6(FMe%%RcZybs@8i5-xN-2U?a7%}R(2_S$ zb6;jMs9c^1Nfl!h0U86)tSXliLNdh|JAg*WeQCg*jkf(nsIQ+FKDdm1_-(Bs_>+OB zN+1^HpJEDe<?{Qz#HleDy9~fG?b;W3xBamcsmS)k5@P6gMS10ATHtNaP$yl`e~sVY z#zgFK0R3dfJiXBdKvZr3M8!N)eBtZdFL&AEJpG=yLV%$k6Xg}$roej{e)t0;6(F3l zgI_K-sEJexJFA3+V-20oy`L65k$AN0)73Mq5ak*lzpNI>r7SY<FH(|M)I=w{m3%U* z?d{^4CcB$DoV@fsE(?EgDI9yc>n#Y*2n_c2==E{~=cV=Iy%*s#6K=}RP>RRc`?D7# zgM69kHnZ{Kaxoh49tnx~tBf023xi)qYmvbp+2NcNhU17u^slB|JBXE;ig#*jvfWh1 zmmu*KJ7e^5@}jQ9%O3h}tf>Yv>*=a4bJI-O#IIHa_6I{;S^M($8`m!^q<i063xj)o zy2@4>weB-X#IFpqvPX*NC^sfAGu`_DO`dgWAuZ)oME{oQ>OVnuGEYIW^ZyD-{|)Z@ z{Ez-@Et0MZRy+CopEsK}-=>c9&bCs!UK+TO%K~mfZK$LbfQtN=f2uinM5T&^w?yao z+TCww&)idKT^XG`$r)T}Dcz^PHYOOuS({QkDiAH%HkKTtE4I@1-=#;xYoIA3Jd3@S zg9cqfON55QXxc34=r<_~d3KGqzUn^&Zzcj>$xo%E&^u2KXepLzT~YoGNK=}ODjbED zPXAjlC&O3}{Z(0=OIAKrx`H)5|CE11^!6;lQhep03nN36tBEeArqd~=hQq$<0vo-{ z`1l6~y8ZL&*Z-Uh(oAz%`BS0;R5L?OVXWeX8^-HDFytMcpS}KP2?8#Qgk<Br?bKsk zRlf+SW=|^tns2)PnH0`b1HeSA5M~bawu9J3)fi#!#HlBD_M5bCxxuHsKXH=ZKO7qB zlwXV0BhkB_HpJF&v{ZqeDIUhjzvX7IzGIIFNI-v!QTJkJYn>9$+7x2HZ>roMuuRxq zv>|4l|Nh3B{M*`BOQR9&<zY^&Fy@p&PDqpXVZz#X+WL`>)}tR7d@L?o;fJFT6p5nv zzpFv-g@*7xb65%Kk7-*Cc(|y9RZ#wR*+MyZE5CVrI==+&8TiamNhr(Pr+3Z|zbE$A zryj?i2Hv}{wv^SN!1-}3;yZn_VT|9;v4%J%@U;CCmg_G*`^Usp8Q^r{kUN9}c$aoH zrKIT0d*VLb^)uJR_;?o%g({?-{Q>%Us%{#2`@z>|gCOxQJZ&zb+bIZ2H@CdAEcvaQ zkVTqlB<m|l(ckZht#sGrwy1N+H%!vZ(bx*!<k*E{oU_0Rf(MHD8M>Y24gMNzcAJr5 z*s*jLxNkNeB$!&$@FuVFF_x4wI%(!VEmv`JiFa#QRwXW!z1PIr?!rhiOfyeGM;~!B zjU)#1jHY};vVC$5ldNF5G62i6Bb(}P!1~@}i=t?n99#(dC3k7;St!8JtzoB)EH=kE zA380(-9^?7<{lyF@-c3v*!g6K>uKvh5R%9=%<=&KV*7_^A3$+z02Bud>w8~X{AIJt zd9AFh`eQ0ua;EPGgfM-|u=eTiC}^2gIAI&$eWnp{q&V<m4KDg=RWjE14IvWL%%Z>^ z0pPlqN2Ig{@2lAWA9xh9O(yq0BMtTGJYIgM(Tnz?534gp;5F>?-zP69a+z!%M*=nb z_PfZk40L|vqszNFn_?dt&dCT7Ddm-;7oBuXv)TxIUNH7!_Kz9Fz5*|TvJ-84?R6n2 z8|bXZq5F6pbcs?lI^$s*@`s|ZW%l{W<h(TSJYd0t?{r~!lDo?rxT;`llTY=(LQ!0= z4lZ<Fc>jC%PIoEkBu7izuY@wq>f<Ua*e^hDQaEr+Ebg3R<R0elQt&&e;||37nn0{? z9)v>SAQajGLZR<LC^UFOQ5GYbuYf~%`CDJaTum2@sh*lgJtmH?#NDDL(%im9)<nET zmX_|64m)XGQIxJf<7*lo2%Xx3&?)hMp;L8xDcg#4Vkb4`*13FH`}j+*Il}!@7|%@p zr+Xdcm!#$*Hug6zOXl*?MNEN?IlVfNyWSB8O<Oq<f_+m6+5Q>?Zyy&zvY!Y6$tLJ$ zHu62o=p&6_*#zEw-oJKnJ(<99g_`->xkoUHuzxU$xDpseAR~+-au7zr^P(3-X=6Z? zmI_2^Z$OlGV_hk<BMojlwfR<frkjKJNChu{gT>Z?)cTZ@YwT-J1i$=C#B~-MjEAzL z97QXZ@{EVt+7_+HO0Ga6vD(*RMPg<5JKZln82%Eg<_xp0XA;PsOXzSV{knVe2K{Ve zFwEDjK9{CxPUWSc14FDMp+?p_Wm2<U%fBVI)6pwnUP+>#OeSLg!K4*amZgRYd)Q$B zfIa2R3NQ<*hQfa7Jmxp;pgmX9lR78yn=Vy)pi<PJB$<_tpmAP+*GfdJjaj?-k+rhQ z;O&}I;rX%lNQj}Io_659$Kzw63C$q<+V?a*r|-UyxT%}1EU{Oyx1=fZR>Y20(#k>- zxu5fG|3N8=VT8TAT$7w^qU!0-N@}bB|IDOiZTQE-a)?+T1AHi5-?~Z^-S-rpI4Gly zlfUdl*S|z92#IHlTupi-5|xS-ddxvC_hlA~wudwvZ>?M+@^mbh#_L!`&G#mDahxf5 z<B5Qi^4UFOer6f&#iN6V>GG7^?m8jb9lv_P?!gqqTd3|?Yo=aAwi2s2b`fEIv%37m zXi35D-me=I__*eRFSqaosZ2rS+DV)~4g63cdHsjFIsfX^4OUl4DEwfGTG-i^^GK); z={i#{s+q97HR3a=U)czLwX}18iK_4?+m`kwZhvG-ex9IvrP%dsb#wTA^8ucEMP&b! z7ZIy58T*=+|NBWg{KbdZ8&W5>#*nv0S*x|$Mf_XS#7f?m&*)pcBQ}ggx;QH-HmbCh zIZhiyvyPVo_DhVSNZU4Vv8>wIKezelu1+%&db@{78Hse@RZ^IhXvbHLK>Kx$rt!;8 z_84bwN>kUGD!p$jED8Yo8{I8=(GDKt6*QM=dKvh7k~!JwAllgNCV_Q1t<znjxh}hD zUEOA*Qq@(%`teJFOT4gqOr$pt$We*@h}{hBR2?7Nsd^yoR9!mlRDF{xUYKZox9T4_ zm-T-W?6;tuaGC_IyyKX1`jNKD$DwdVilA^xDz0!zO095CYNl{aiZ^mXsy1>l!`<!e zgy74cw#A1taz#Pafea+i2a*p$`{xd(@kcKA7{mTT`>WY%@w9gLe^|wuG`77fv-QPs zk4ExYqVA<=7^qrcMV{LtlsIsU#`S>rLKc?Hf*c$<d(Es5RaspBC0rksJ0_Y$>;IfP zASC8(?0rVMWEO$x$l2xH05Q+fSE!m{J+oQV^}&$O65AB<sMFu9X?UyIR^?(#wJlJG zdY+{h6RO18NnMm;7U<4Iq(|>k;|6tqo->HF;BUQu-e}O}U#V0z097A3*~56->itF6 zNTkQUlEO4jJDzhEN}skftv+(C$=*w{GXD9=aCI6Ds442NQI;u8dk6Cm^!!zm_71aK z<(T%aQC8(dt9Mj#+n(jz+P%Q>Dzrtg)7JRxxyRimPP6Z)kR?%pzIQp9Ch^Kjuvx=u zy3>#IjQa-J`$+WV(aBb=A&CBnom%aIX5lLxRZC8&RijR*uwJK#s$r)H*n-pQPN&nV zbr(<({r^;yv+}NL#p%b~Up|gZpdtgHqQ!Gk>cwkPGvO0bJYe=}!e>B5F8`^>>wphO z_!OuJnBjV{cD!>Bw4WcSi0o{S5o?dAVK|ksk%mo16ewogKSLzh5?7voUlpyQ3BS<m zh>+L_-usLlRJ=B*c)JG3My$TV$qZ{De4k(;)p?8+FVk}-2mZx>G#@Y1g<ip>la<TB zE&6#yb1x3xqFG3WBWE9LL)vP+zJkk6!=K=`MusEA$W&Uk$37+;gIcup&U<u^z?|?E z3rZ^J%RFm?yQ~-I7Xhh$tXiG4nN^>HHnfynMuv#v=COvyOEBMNHmp6{vFGp6C5?0L z3p3BGUqeE1;O`w=u{qQ<IgN)zR4E(7ziGOB9X_*cg{5wt;mnk>ZtrHKmkz0Stgn10 zUiUkWpv`HSC9j`q-gRmy&s<GORwt|a6sBI^twvUD8kWIJwpxuD4maz@t(bR?vE$8L zZNe;qn+gOR_KI!cPmO;1H?KXuLOXnGx8nP6TW`#`FzTF7rafqCd*_LPepF~p=aEgL zMw;W$bG}1$S`M;Fh}H*sthBu;NFjgSv!pD&2w5f8F3BQ7^B{G3j^&a9$j&dX2FT$Q zs&pfhqFVx+W8#mRx0+FjO0lLP>gXJcC83b*DQXONTh1{4I;7w%y{L+I=BLKL2KYHx z<~rv-h{!tS5~o4G_3)8(9_|k^LM$2DWEt?59CDvuJs2I;2BnBqw|0ghmhr&`dYMSE zs($h3abVX>Jd?m=YCGoZ6i1BVE54yyK|sf>n)tCU<gL%b-C-3R<+Ku8J@G6bFlqDk zh$AZS6yNkMlA(hmMFCT?JS%s{OTeVe267q>U}skw!hVXJ7C!d#2sAl4-lDfG6-R3& zd-+~DTzs-Sm&47I;dzt5HylH9xe)rHBy&w#Y3qI`Jl{_bbiKb<beY4=5=1!L+WoDR zW1Pxzgb1RDutL`gB+yQVaznfhRj6BB7&w;&zabry$wggX4VgkdR+6>R{xeaIISv(@ z$4BSrSQeGIXh6rk`x6TBI}{HR^`Me++Om1vZV2By>$mEqJ(dZj2<ji&$IwznbBa<# z!j4iTaEeyMIF3?$zD$%M4z{qDy3w(ZntZGgR}Z4-EhG>TV-{p4z(%j>xp%GX%0I1G zYMzsvESl??XqY4TVGN7BjyF>eaqKOGP)6-DzMozHrsl>d%#5Lo9^Z%Zbu3h7!+fZy zE1P&#H<!NWTwFPXC^Q?wjxK^`^vYYUMQa(GOGJ^@fT0>Elb!#om)NdOZusiQ-0<}e zx#4Thx%3^m;>r=hq1mYP=pvkKgx+`tOcy`l25w9YW3Ont`*WGW^FTu>qV7W}!hAxr zpLwE-P#qC?Q!lbz^uRTDWu0p#lGddsOHBCnzhO}NRuFlkR=gr->PV-SkN7Q>f?5>C z!ihzoi<ikz{geOS{nONX01=_f<xj(Z0YNT@{|b7=BA*)m>RjU=_B@3T<}eoLYU(T8 zl|9Vbx=zgz;%ok1k#~obP^pEhlH|EVa;db!Pf1?xaVSZclK0s%ly*ZfdjSATx4xj_ z$5kvjiI7>B^_CdZLxa-c{6nyZt!@|HD;#@`=e@`;P7o@e${uz+-cE$L=OjOEJ5%u0 z-l6pB1;5Zq+DWX@QgmP6ZFZBaQkQu6B6KD=u7Y15kaCFWswTS23#?XisDgjnjFv8~ zsE6P@IqkR~e0!4ld#DAr8x6nCHb?Z<YZ}C^uv(U53<u2H)H$*~1>)eJjaL1;Kg)sZ znySDijcrs;6L0@|SFf{^9B@*t{M>K1Ua#(93XW2~RGzkZ{m-P6TSWJC7O8Mo#r`Zc zAf+|+jmhQyW!_M|Z;GLVTEQ8m3F+>Hq_njlcVhT^EJfePfMN;!09o@ik&JaW2M<|{ znuD*!H)|fU8h>$`X{!0>*docWoMkcIiZVa>9Y;-tG^(Kt3yzVIzesybhnp-FKJaSY zhT)5unklfXNOkp8E-`ghRQ6hOSNxmWD=Jhf4}C4laCxIlNVZWJ*&80|xZoV~7=Wk0 z$i(J}qna;tfY2E!7TMaw_|17P7w4jZUK+N<#&J-M{@i0B6vyX4JSf<Ms+TIG!^;q} z2AwnPpX_4rB+|cNN8>rKm<r=GwB#qbKl;PCLjgth6GT?zFLOgqu|iF%9vKMm4-vX) znsH334N*CJ*=W*iiPRLT(4JS8EqhQ&vuEI(8M`&0a|$jXP1f|!y$G7D*Nc&S^slWo z$~}3^JG6)tQ{x))CkRXQpz1(v#xa8%t~yMzoz#CM!oz@}Gxp6RouVY&frM@K^Kz07 zt*~h=YFlWu2xpYPM__KdAu2})TL=VjU(}*Adg~z3&Ck3Z9<<DJ7_CDCKQ-t`=dAA3 zA$WI!1k0X>k*X|J=osjS;$NgZsCwL*aZKTc5u9CYG!PpiwToJi0uzRggy`U|=BTes z_Oesm$BY2+0HOOic)&_SRM>Ae8ZQeXwZ&>QGu+b=HXPxGWR6#sVjC)`WApg=UZzA4 zqxsNhM2F%LNgh<4jLiw_wU*KZ>bnBW@h;0>RbHq3AOfl&laEEs4N(OZ_#Hflyhq>~ zs66Lhwh*{25w!uRf2%*CNN*3tqlkImmyH$9zX)Qi7i6p)qZ1$q8ocUZuv&N#HVNtr z0_v;GFoI)@tq0;ngr`u2&PX>Ol16EsWEcUP{3X|mXPJ}SKWDXO7VpwCgchfVMHZC= z`^AP+R$VChzoAtB^Org;3bV*$86Dd#&J^9xL0ekcW}%_cvaWT>_+>B+$<ISAc0{O0 zy6Sm4_FEYHWx^fa%-FEbp*QyRXAu1|;Z7}PWtaZ0-WQ?wT1qD!YPhiCTv3m}A2~d< zQQ=x+CqqMsE%j$Ov*p5Fn9T8$-9z{n)n^2)Pu~v1-c}g(^QRyGWM%4X8}G?C#;OYm z7ENFMLhlf@L6N+cCWGlbl^@2xu8L1~RqRW&&w__rGwGj0wh^LFct0frSl6uAWt~$E zx-cu1I8L#%HyJ5z;>Mg;=uzN1NfL{fgSbaGn~(;-PeIY50=<e225sNBDhN6I<DY_S zw1(e48h~WuslvVdIU^i1^yja>C}VNX)5}1%l5SrP(bK0LCaI6O46ifo`{pQAbr?d+ zgbRG!MQiTA7U}FJ5nJ7DK8yC_%DDvJ2R|-j#2bq?f|EgCsnwKTiof5QnIp1(*<7Ql zEwf)b&w78Jo%-$R7WK9*|83^w;Bzob$Jz1w6oL*WgRD83KQby!7@M9td8{K$TT^9d zcMp~HG;Uw#C~(Xr@k&v~4^7PGBk%61N?cqQqak}u5g=ws<(Um~_R!;FxYxg@N<A6+ z6Bep;GXJ@-M8^Z;oIGnS_IUPr_RS`aGAP9wl;WC`xf-ghboz@mn(+#zPnfi+pQCUx zX83nYwmRqjXEObu$dMjV(x%VKB(dnt<}*saPZ7$Z0^-)jGiGjJUBPybr|dG=I7bk^ ze0U(eKG2+5nr7+YJp~cUEQL?Pm-6xKT~qjRioDB}xAj$&=Lz&hn7VD_Nla>FFkyrF zbUMeX>SeB_>z{fE<K>+Dbji2m@r(SpIvD}0HDE;stobrq8A{g81gY#5pQn(tMQo#( zl{D>bl?7dC>+9)3Iahv*`FIEJT5h*YH=;l(14+ESobf}zPLP3}NB}#*#rh2VN+PyF zh!i}%{zXh$iEo9OI^C=_Q)Uz1_;Ph3f#QA(Gj(f#;-X|QIsfF-rERLJ$2<gkd6t&e z-{-Ijan~PDwdJ;5uAHnX?@i6J@YXx%&Nks5KUqAUvaj34cv(N4vLDt^#@n@7&b5IP zBlq?M>+Du;)ag&(J*-k5yzFEN7w25a<rul8VsBSDqvrni--K}WaBbk)F?qFEX3KBq zzU-!aUhCzbYWIvnEOOF?+Wi}5?*~=kX|o8i$HgWdwtw%16W`ci7T_5@OnyNcSNw1~ z5`v+OIY4@VkB_(bma5kepG%ngHS1a;8X84fg4x0jvW1u9yFQ{ex=pAdzFG?>RkuDO zGHuISs!kt#HD3;@ZW+WdT3;@z_d8<533<_I#7*Bh-tpmw?K*a$?u_R5^ILaBjMLN6 zc-d#>M>LrJ9(3*rd5)FA(PM}&4L9wA%wj@Pokv5EQWZbA^oC$)W2T*4*@(A6IKjXH zWZ^W?>r%(Z69%Fw;&UzD3H$tH3QwlWyQl1Z>x;*RjkR>~`@&O{-;IarJrTZ|8!uHi zy<f+z4`T;9e%Osk7wWMhWFzbO?U(bJ5F{ss52y1X7?lc;)dDmLUvAS7Bk4b~G0VuC z!8(-qco#fWQeyaA7ks8)p3`A)PK4khr*rg~Af5%fM4+LCeNS-ur#Qf|81h^?jYCoy zUz*=qgDcvl|BG*xpQHHldyx55NXzeZjt+DDFfWTPRBTXm;PcyO{wpEA;@nidhWK0t z9Hw6u=rCM{LvS6xbM#>%o;`nPLPM)aPgpNSBd$o}=&-~OgIkD;PNs46G3AGzJbws5 zLyOBuQ0AuFyhq1Ba|lJdb?gf9#Wxji^W=D)Lx7c*U=oeSc$J=DT8V~uz-bz>L>E&v z9Kz{A0ul)+e5hIq@pZ8j4=bm`aQ+qIyUuGGB19MCavwjxsriT0;LaeUrKjSV=S6zO z*;RMzc#Y76z>&&IwS)PB;9>)s8TLsB7?5hw31q;C964T79>~HSSvj_Jmv2Y~|Et2_ z$WIWqA3&fSBp-DX_aUP&tWPlWL3lxCK<&OS1rxJeaB6LJ=TE(wR)Eb)skynXX!)#- zWq`Z1*mE=M(3%|U=8BoaTcu;7Hp3EpW#=aidajjH)(U^dHpap|hxw_7k~H|*O-`?I zx(Bfrlg^#>X=!}FYB*!Szr0>+CHe3;#lv|XUX!z&(UxsCmr`Xf_o$@ovU=NvTo})l z_gN>ot|*1~-p@rP3ctUi2^>>vFfp_s`hPSZ$g}T~Ru@DA@M^ITpAHm*Ok;}rLUOV4 zA7zmsTYMpqzkHIAHNkSs7O`6PwPJ00{`F}_EFbqU3E)I|57xO~fGgr@T-Tb<%?NSs zdgmZ7F!yZPXN0kyX)Q*dhJQ!+BXb*z*p}+8$&Tvn7x4YDql##7UQAWdgx<sF>zKG! zt&ib6$QlOUC46Jx9L+h_+>2xlU<tpKV3EfMi`4J=tREv&q0K%TK@=3pc||goyIZP= z7gxnpcW`vc$yrve3)kr9cVHQe2$sQYU>W?nA<d*pUxBkn7yXdbzgJ~PIl!g|e-`yu zQ^`LkUvcki%lg+7mmcIjIA>#*=N{xF-{*PF;LO&Cf+w8Yt#()+XLB_d?!v%JBhH0S z^7moJ^XvIg`$HkO9{Sl6c0wLA%h_Az%D^AP+Hn|G$jjC*$yzoD_!*jr_#xf|{0J8; z&LO*+s}U2;9k}_*fp6ZgAjm}d|C1})Jys4%IhmPu<U;8@L_q1yLO|(WLXi35^CAxC z8l4Q6f`H#ln8lgKOJnuJ>D<aIh~sUN39T@zGmh{%B~6Dba3`Sycal7CCo#8Eb=tL4 z^^zJ34BMSFS4=x?zlNU|m>Yi;l7kysP{Fk=a^c1nYu5DxUE}hJp*iW)=wf_Qg#I2; zEDsL^x;Mm3#Q{+bFCtGkEz<1v)AUg(2nxhc+XlK$atH8M%@b*Rim2s7#-wPYIH3by zO=J>jd#{2hx~j@w<BDe5vsUBSGghnEvsH80GgTwa=~$q_$_DUE{Ss;WnyBT2>ZDQ# z>|XAPb?gO62H|PF(nZxG)Md~j(1oNB=^`93R)@?PT0~Ys2k^|T6KOlcsO6(vUR+X| z`M#$%MZ=F`LBfw_AxMg1!BCH8d0zNRS6qR;S~5-5B9gNP2xAX~IS9Io=O}ueSRB$Q zMHuv1iZJR{iZBf9R2d7a>PR$E4SdsUwo_d=I{ws2uJfxU$#&)znJqxNASA>1&Mk=4 zC~Lh*+Zt{)dz<p(;S^`_fobI<i8r*K+@<%@Rqyr|b9UV3b|=+P%sM&8*z++nIl%vP z*f*nOATLNBzDkHT7Le}rMG+R_N|C%8BU1p}IK++tYa;}`tPKOs6NZ`3_?Fr+T)J7M z;YWn#2CmV-$<s@qePGtycO{n0G0KXk;2BNuc9FV!g#9~d(oNIc_~HB(^64RIRHN99 zTiEAYbCWGBz0cpEmPL1Q<a_={DqX#mi%gv_pz|l!Lr&wMF>HA72pyq;!Jg~*^;agx z>)L~<QlrJ^L)Qmdjk(ie&NGSc3~2*9<B*uDKbTBt>y{5;iB_MQb4L=4`O%8z|D75P zaFdgVUug0gEhw(@ryBN`K*_9sFh@qQeT5_#uMe=a&v@FeG^vLhFU&;uKPR1EFP%Mc z6%xLu79yrzJ7eX!4Q#Uu7||;#Ore}_{4O^1n<Yx-j%~AHmqyNcL7b5dyu@mbj(PG+ z{MK<&{0&Cc1O!o$$!HFy64Xg3$JrxJ9H#g2izy+A89Y=PVw%e-{r#I0{k=Xz=STDc zh<PrCIq-Y%qi^lYqsM~H^~@Ex8CU!jt5t#qLF!&{;wGJsU$m|EH=2uP2}#ysL;Jk! z)J{<gLh)J}RHCp6hfXHN5q+yCaI!|LPci%Cqxqz@e-Ec#FR^_4(HyFFQKb@=O-S-1 zH8gD3As6>f=VMG8-PZ7$KcO7QkT~Ov4nwydSnrOBOO!QCppvq1_em%9(b|LJM+xO% zp!j+{h7|$*j}eE2B&Ok^XCj5NNq8Q*44sbX1#>`nA0WIaIwovI{0$j+a&(0u`XL*_ zp;-mOAtHIgA#GVg5|fnBur!BUUn1*V&Tao}zrfsdExKOj+wS+HEyCnRyn^Lk5tm;> z@eFEJqR<J4oR@!^y?4q*vS$6Z9uvxy$;+5yqy!4|M=waT&c$$594ZPF0Mdi1x6)-0 z8>QRozQjP^8toTnEYt<@wxlyt_n)C>HpAkKbbyuw?xjxgTW-5t+&<lpF)+Zs2SMK& zMgWy>nTw(RpJxilKPdjjzIFmasxTBjeMu+>Dui(cD3&DYY|W`I6mJC7bu!^lRo747 zG(E>$q+ynCW+kCqEVuchnHm&KnW<uXbf<mwX-+Ys$^9>jww14V&L0}PLbaq8{f#zN zPzizii54r=^zY7}w*gVVXdHD|$0$*zXoIBKBF%E;&2$plRi{`Y(N&XCFjBYTahUYZ z@xzACix6Hl-bJjv%nxE7hg5Kn$=p(PYu<uQ;ds4wX0-xM!r3-6b`F7iEQ89Tf6kT& zwZ2$f!nI=i$>bF(;jVZ#G!*zk1tbRIz@qxZ^eo(dM-R70-v(2$y>?U_rr%%g>$+b( zwRWYw9(~Fd%`e32QZ%6+-h6u*R$6q+aLJ$6eq^Oe>b!bi7W=pKLlZ@~?<YhoXf<3~ zeL1M1f`x{zWLhJ6A;QX_USXv2M1y5|m@G?>x6al!wCHy0jnsG#y)i@hIGf1N0tNp} zV?(t~+xhsI+R*GsY#vqLj7FG)-|$TNo*d*pOPwa|GD~f*dam?n=Zajg-p+nuqV&*M ze(uUIFx2G!`cKDe{rLv_`-T+S17}+#Aw!b!E4HFOqKOn1o|498bqlJwjCK)5-S5W3 znPw$*+YMawjZ#sOD<#&|w|ZJ0)w}`ak2;RD8EsnnvRiv<uFSeOb=klfFhgP^Uv8oJ z@9&Wn%v}9ePUBW>aj&u_TAf$ZU|DbL?(^;4oZRgAIn<oFwrtjJnrjV(XaAK5e*e=C zE%{bG){WXY-9)HOJkPI`Qp*wSKd*WeSg>_{;ai{L^vw3JLkk7%Z;eL7xNlBpRJ**& zEsF&^;m6hCz2)9TDej6-A6ZPrG1)}-?hV~z%QO5LO>HsRf^59o>eTt#e`+~_8kC^D zoZ=}iI&zj())#S?iK#76_pJTK8qEdsw)AeC!TlEfYq)JJg}<61g_M@%QLy<ntNd00 zs@PAR0F%U-iMKN53yW1Zc5l@GOdru&6_ye;&8DZ9GFwNPw5FE`@~D%JD4@xJzjm!~ z3C@N31Dg17uZ!4uLIw03?9&rc%eZlaOGUAU!@0ROcXfG~p1+Br?Ab%U<v!lg>?$=} zJ2-mX5tA)UhzE|aSkd`vJ*ASOr#f}EThUmqOT8kThX;<THM^RpqZu4+YKd9#jM>&X zROespd;l}s@(+C;?x-RcC*ORJCxs`U@Ek93OdYdwX|{77{ol&IO;2`j2m|t!gkLF} zcN<GlI4^mB*`6Lp%TaK?B+a+ev|L`6hpVl=hCjqkmJi2ak>65pe69QP3TD~;bk``+ zFKF=4x7)gSt`4n_{gcYXrepUGqE}bmf9quLr(f(#_wfmzvWxS#f5=sAz)p7y<olSP zd5q}g9~Ez@jBBXcZCkp)8EW!VLoO`%<FnM-&+TR(f6vhgo$1;=rUW0DUWja;qzYAY zkLf5^>#&?j%JCm2p(*LQCJb)zI#+kRcOKOX*kV0kbQQ(HtNh-!GQStcoaa-!-kLw& zP+v-P?~>^3RK&#g?&5A{hKV^9bK+coK^E7{l5eFuo%=YI-!V(UOY=l@a;k0dph1T; zGof1Mq1n$)h`#G}n2y`yj5jJ9U&CMQd9_Ac++h5sxoDk~ry)`)>q@1QLBXmm!Cv#q zv6D_Hir4Y9M9cXe?y~nK#HqzQXjZh<4^6r3k#`o2!pA*I#wcqoR~y^;7c~54clwR* z*&e5$&v4F<Xm<@FzWvWnx{k*N?I|m9x~7N1>)xsGhlXGIcxBZd(F&}l?aFj>J4uXJ zcNSqH*_o<kSH&21!nR+ID0q5s<4M-4WMW+g^6B_@Rn^IywPcduzJim2b{1g$oTI?G zO#e2fW@t30kmNpAz*@s<<L|syy)JIj=`Q7nZm}?$s=|F|X4jNR53em&<EQ|Wu}`nY zB}sY}_f$!9#L@~0RC<)!1Gl-22lTN8N7OTV>c2d3g>0R1?JGN_VCBd}-7_Qx&+DgF zU&8)qWB*|@OJXnBHtd18);YparGZI8{xeAnV3KcuNkSa4`W7{pr0ltyODE0^dpKMZ z4HF9tdsJL8M@4Sg%z`hUjW$PIil&<^HAwH24sE^K9790qba0hp6zYiy(Vy|iJEC^{ z4wXGh^uXmCndEpIVc6sD+M8T5%3Kk+ou{Nz+8klGLb9PO;c=MXUUzpM2+iTKv>}?D zoqq>zL1*q*QU0*yH8h5elk1Xbm(e$VCUW^he;%97gL1~U{xL9kHK^|2P;&&;cFb!U zBc7e|9m9F4%$n?LUm};8k|@I-Vms)F2X4_Qts9*d_|KG$HtgYYU0~7x8U51Zrm}2~ zz@KrY#@I6Kak0xF{N$QpWTDiPUeFTFZ^dqAnLI$+OAUNk(@=GV(>;tN5WkcK@k>b% zzYGHL%Vj7%Wal@iiLknrZNW|urA%c7k;_tTY>r-Nc-q1Ao0021&Wem?3|VF8(Uwi- ziy=0%vQ}434_x!ItfFcUR?}MGlCnM|OxNpr2YgZ;^y(MagBbb30{M`?s>IS>Q_s3Z zY$Hr5c)C>LNz5Z;6glSd3n80jap3X4d``||!Y8{JBnbEA>NbTg0-?=}K9??j@5FE= zn)Fpic{^$-De)Q4Ovmhqnq<nb$HSF~itLQd43^@5yB0WP*t4wXSt^%|a_eI=OAT!r z@KQc((vV^mn@h5;4EJE=Y|uFV<~DoOjVg16^^Q;eh)Ips(~z|h+D0+a`?Xn+$1~?_ zmWo@R$1|&4izui|wQ^}d_v$c}_224sgvgwlgJSxhy$rqj;{<l%)Q|X8>$uRq-uZ1w zPHyFDr#7wQY~JS0$7ODx`b*4}E<JlBbX%C}NM&yyM<t>Dm&Up|@sW~VLQ@acdPt2e zj5h7I{FuzbXOwuk_B&q&c3VZKv~xQlX>Y5ZJ%*<p>;+ssnziRp&mPxt+AP|ohEP9f zcHS#%p;`vMd}k5lIbWWlP;vCN$WC3=aUh#9qny?KRk}%q#26RfI6b~_-HynL@yhH> zWMigN)$wQdpyqiwqv_!VsL_1BLRhHDrswvJUge?<>3LQi<d*L9h35>X`XAc%1_jP8 z^(DIayD@KSX!9T3m6CB$%dj&Vw;8Tj3q1+*{gn2z@*}DH#sT^~=oJR9`^RO6@n7{; z>G^n?)%*rNbTl>G0qFs89uh3GpZB^C+%ug%gg05j-jJVV2@G0s8LMH}Ydg3r3l3xn zKCzGaKJE|()DFa!5AX0-T41<s=2cfwuBr_)-~YQ}(IEUrdvQL9<ahWgXOOl9cKuUf zCqF{F2y}MDqF$HJC|kWF*xJ@rg{$ICU!||*Dr23D(1&{+CB1368ss#(*+gRZ`xGT~ z<ZXjT8v2;hYpF>;w=>On1#lBfDeewi0{ktL+4@kqWcNe6%Mt@wqV7TFL)qb|(lR?f z^<gI1=duCAjA8*e@5ctBCVy+C+V3)D4$ZoKf014O=;|$aG+?|MrQn>qo}h?R#~AH? z{5(8ge_(6mf9kHO>{CC@qlcDd2gCKPF8hT++PeVM>Srqe=J|C$3D<2{>rs_$VZB}k z(X5?sKswvunflh&EqkK{w#Pzb()SH|_5@3IFwTqOUcw*Nto1mpwlJw(U=n>_^z6}) zb!Wo6QdlWb?k$2U<}tr0R8%;y>q%>y4y>eB(dSe;5b<xaxF!KFCi<{<X|DJ2#|)2H zj@0wILl1yn=&qhG-Ai7O0jo{J`N!B1>T(Cq1^M(!hwG1glQfN=tAwm|1z#$=J2cPw zQ$y_*{8rNJ7Qzk?hHZxDd4HeP9jgAP{(SQ*3^}_6{3~;fJUPOKd1amR`Kv}trdNW> zk6&8jKw0k_SZ3<OYcnjqj%X)`A8P6wE>O4>jXg!Drqq=uT!MUL*qH1V%-QN%>O~yK z#Vv7rhy+0Io7KAhwlSm#;9}B&vX7>TjexS*fwHxMvdch!LhAeJORT6sk6sR$X^nCm zY>=M*W;aP)*kx8eNoS$0x=ax&*!iMT$)y|mR=CD}U?}12S9N{)hvd3LbWqo~<VeB| zWe%iMb%)Z!^IAIKAGy>hipWWODw-W}E!~4hSlx?Dr^wKuQcn2K8A@5UpVL47=9Si| zuZ~x@n>%6_?D}$FAuUD^Kd?rFw3S@Y*t1AFnTw=P=Sw(STx_2&3)bNrXX=IcD6#e# z9#+UyBroiKYWPsq#j3{Q8jS&6H2X5`$k{{E05L7lk8$bHLivNTcJ(pdSypekINR1~ zIZ~UdCZ1p|@($0kC}-icbynG7ewNRp)QM#crcCGc)196TdaN?NF&+OR1=)?Y#PG`c zw6O5T*yr@?^bjQo`IS;mOU0FKv+I;IT;P<Qey&c1ro8e-)q0u}7JeK`opKPWcd}h7 zvAlJw#V`NlbUDf!tyDDwRX;f2!?=eY7MJo_q0fd-6VbRQuKv0nEr3SNL-ViEMUbvv ziV%Q^F@|TU)2?iGy=@ql*2<-8Ix5zy3<fNs3`pE&j<34npA49c2va9#!;tc*3s~28 zmCvL)gDHjmCnzRu*>y1zseL(P0PanFInS08!pf(p^*70miK}7in^LVuglH84QbrR{ za6!&J4H`AgI`&A(GOFn#c3WL8YsbzY#&DqmBIbk=JCxs38NTl9#M#F2f{E&!T5z<P z9hj&()VDN1E6Xy^MqP8moqj;ivo>#Y+M`@gJ5um--R)WZM4V=^NA;PPG(TSkG&qoz zLx#`}Y5{t?_3rUY_)&huFhjeU`6Z9_(h0g#Qaorz=AIM*xRkS`<{5V1-Gg98S!S8q z){x_AM2yQlDmt%ARQy)&7%-G}T2yA64aH~g6?m;fjr6)SjL*KAeAg~Y16FJWthg1R zyRerZWWX%U9L&Pd1byOj@}eufiL>v%TGP5+(vG7x-G0U90R0#Q^kXq6gPhWLn@IV- zpQ0wG-Sen+;y<}RO>&Xf*)8eWUrlVt46nYC{&segRxt5fE5NtQb^!0Q@e=z`)6J0T zF(vDU*4Fpr4^6Ch4<CuY)a*<7IrNtw3=A=Y1#8xi_QO@p){sU3A`%85qBZ~`>Hr|3 z2>>D*(3gvP4hH@oVBjBshC{%>k8!%kY2|Z?<l5pLrCafGh);0V!PR`aL)&gTno3Io zE%hatZ@JFu4}SGk6MoHARA=y_;d)_v6@m66au~C}#Ft@ziHK-_i4b&uiI{VLi6B>h ziKrSjiE!yQ_(r)|A@q+122#T#qsk(z{Ap-~JPD;=f0Q(J#%%Xh#>L)jbC`P32aXZC zZO?+RCaEAaEl#2B4-FqU;<huYtYCLY?8^x)axA6r;@j_=zg4FvG+NE>qBeggDpnZq z_{pBpIi5!Zx4gdnqT=yT8i0PEuKd(U9=Ej;TJp~n(Pgsk7Mo}!S2W9{9%u^S@T}%9 zwQecdbmVK~xZO{S5Ut?;*^g^M?iD$|&&GF}{zqI^<Y@nM?)=_zSzLWX@qavhby!r- z7q@gTwJgh00uoE^BCVj*(p^i#A_&qcC`i}Bf;0ls-H4PTNGvVVAxM`+iU<<#{e9o} zdH=Zg*?V{5+&MGnocYX|Ge#6OU%7j#J6+8C86ZK%5z!nOm~b`6?1OPIUK8+I>lGnI z??aS<(NCZG4i7z9#zgRX0A6eF(dT_6(_gt)71~v?RURq!l%M2WpS#Em*<RP(f0}RW z{F41k<Q3<MYuhJ|$@LSmkdaK<)yEEX_9>h<p#`>;Mpj>}GoG$KJ`<!#duF@s{^EIs z&#Q~aSIOdTbpdx0#v7xrT@ykf@APFw`~}xX%;Yv}k{jMU(6({p^@Fp##E?tgwq?kE zK<hms1Hykl@E@o+=JbbPaO(+;b9NMSE{TW7{-WO!&%PeaQZuCVAT3BKKChAT^xP_V zOU;nqgNqUC<%{H9xD5FduGe$?8GF>SoCVSLI#2jHo)Mw7cT|RB^lS6MdYFz+%#ING zH67Evc3&+0`#YNC?(dckqOs<6I$w90UN+VpDnC|T#AuAs*rlNyBjJf)fjuJPo2}-j z;$F>cC#8rgzEY^YQp_+PHDWl5iw`DSoNb4QIU=P(jN5Syz=V6Dk$9*(qAOM!jZM)k zU%I^H#Qe_YetJrIC;QUm4?Tv0LA#W%3@Su>n@JNntes&Ww(KH=co+&SYd!9ThIG$^ z^i+yNge4w&Mq&*%FOq$Jj5t$ByJt7dhDuD^?YU^ketZaENFSAW0Gvoc&C(gmisBIX z+{f0Z6~y6W=^VwL3C6fd3v9aE*F-ZU8pL!aW;PC2O7cB%Z|0@Jx}a9Fvp)&1+;SC> z=m%?2((?f&;82tVVuLCrY~ccf2LIiWTCmQ0tb<f4v90<mWg_WMpHSfr_wS_*1Y(Nd zNl;m;^NuB#qRuhfZ_!k$uk@gfAmDB>RT%hai+js4zmS$bj}+=z#09`$QB4roX85)< z1?iqLZ3R4u^&A(d^RrpMi(7mO7q}Q;*-71(n5Ww5)(23e58#shN)A6;*hCaVOWf$i zmL5h9bb{M(hW(vjGMt}-4OSTfTWgGtX?N6+?$wFp>wH0$uC3{{dGCV;Z*dt-Yc{;z zlEJC{aS_2gR(8I1mVKup`ga!2iK37k%*&MK^xfL#b)BGb1r}K?;BMg@)s^Yz5d2p+ zcd~yZpj1H%tMMd#(obcc?U4i-@(eV$YtyvF?p{7Jp=a~Y%r36YshqnLX`eXHr;XGQ zgEP6tnmtpddmBZ;OT`EE)QH?DvuQTgJ~a|qx%F9Oh3>PkM6VGQ?x<JENE?~N$9HiS zV>YuW0PspDae~ZNQ7f>Bwu{iW?L|ygcJKjoka7B(HI-vv#Zz~|^67sN&$w6shi!^J z=2}!Y)2>MSov(x^mYr2%*|#(#KkQdh9&0S6voDVx$aXA(=d*spR(W+8NFgOp#SQ8D z+-O6pZaY(OCPv}+?hU09_t^pPWWUlm8>Wap27o8mAvqf*DR+^DNr+C&Lw1)U`e#rb zH1%tFnj9?GNM*jU@n;7fMvMx(JDYA|<um}kKG|d|>wK08@DvY$Ib<m48z_wL	?Q z-jm+jbnEc#LXO<N*m+dqiJg3!s&HXwvy9tmg09>+f4oICRvWY6(o4Ri19ixyFzTFb z{@nkv(||LTWP3VU;SQBH!s5ZMHubR3>31iL`bw!8H>2|L|G-i_0G75)KwX8^v=FEV zG}_cNJc*ZB7r#1#onsr%V&MK;ZakF~FUP}-5oC8gB855?ag_rko&tQWZJYq7;lL^h zD^4>x&QrOG;#o`Io?~+0QmnCzBK`@{qzg}qxB}1ut1Cbku?D(GrGZQn%~ubw?pPFS zVlUF5y$h8~XS8m$>4o85^8B3?#_193Era~<Ylh!b5&jM+rg=qFi-GziQ9I7uGbuU- z?Oa3;0a}+ADC`4Jn1~f{I>1=;^Tghavry|wk`u`$1E;3hB}X<rx2``hxEgmnEs8O* zBdEYWDY~P^`##ctMLdaLisv8h4ns=+z0E+lG$&%L3h|&Vn<W$0BJ5O|01T)+g{}mr zVEqCL6(NO3d9+BuuaYBtx@vkQ94a3FzBMPI>GEg!i6$vPxh&+svN%^#4x$&yc$}I; zra7@RW{AD_>=j|tcE{r);@#6O5od~B5hpCw1)RLUvJ>5~@Gx;?S|nWz`QIfmz%n|? z5ImEseS6@0GwKB%-u(z%A3WahZRu7xMLl||u-$D-DR0djm4s)>cQ4}FK|4ov05oEO zL4UFL2}xIRUY%l#d^SG)Jg1Pi_U?#P*Ia84z%vtoXZo)_x6stpRKn@B)sPV~6DnNO zpwC-kJ=}?K%olf{HvRX5N)$WaT^)hJ@@PgSh<Lw5FD|^Dux)-Qx1CV>lRzvqGYjn; zR|YH^yow%Jcr0rX(T38A6Y`?Pi6^+a|HDBz<HgUW=4}WWe@l%B*N?Fye7Nd+*xydj zy%&*ClGb{M1etdNytHYevGRDkaV+K4ZjgjdcSr=}W#7P2|Nh}C15XV0InY&-(1vkd zGfEC@%@g2;({CEW&rSFG-tV;y;#Ly~yCsf!N1lIFf<N2y4c{B#|81`PdyDIW7e1Ln zmV@ZmIN7Dw1y=oC7|Zt>D&Wt4Xny#Wl_ggY=fvciWAd)0v-DdTvvIAn>5sYNi1J(y zCZQ~?3k`ZRgR>vfUH!9DA$*U}k9u!C9+OZOmU>N}2`u9qGM3BKRlw_S<xPDKIVb!` zGOgL9t!FG;pP7sJNXZz3_Ut7W(iv*I=F|2@hGv?7oYM()HxbJp+ncEJuaNUTYu?RT zJb_5PD(K)nw<T)+MtybC_RrlX?1h4C@8V?(TkhD>8$I2L(bxXm*tw+|#^6iA=lkRJ zSrfZj4dEE?>#^L~hm-uFG+(Ok29Mpul$z|$?usbJT>~2jZZ&W?gh_`|*o1CR8&Ur* z6_dIZd7lYwGa~lexDZ-w8zg=k^&>0a2+h$hww26kP6HO}B(npiSw#0wzH{Y2J0(x< ze3sag4}*+0bJ@t_S@h2FcMvlt&zl0@|NZbjVsy0KKHtbHUmp^v+l2li|7Ru)y+w1j zgZKyr-1*-FYv_G|JO8&?#-D(%c))W%WZVAHcjD*rOjb6=`6qeB$HzXV!>)zd-(*!W zyzf5es>bl=8wt$SassCD89@I%fc|cPeo){kcvt?lO07$4&<iosVNdxI{h$xQL%yI< zp<S+XqoV*Kyx(UyL&8t#d_jiMUwtdw#K4NaGTZ5Q`lO&!=-`KE5sU!+8p)z_sTF{0 zy~}47fz@)`U()(xhj|1|DC@OP1bs>k9Cj&Yy9wxq@%d((*JAEKE=M4jPqL_}Nd2L) z9e_9AKhrb>Ji@kY1hGHRA%K@u_<3%tl<dHWn7mJf$^ILIrqsLx(cJcUJ>>jXnacLP zwgKO;;}5XU1S8CZ^XD|gzRQuF2>r1?PAQm(=OXI(bMpfcr7#)*c|!rnTbfyN(;|Sp zrFSPinKg1dy0lr=|MI<R{aB||HJ=ik@b&pVKKNWQ=IDIB@Ygd6*6u@?Kx>fiL*%$G zC4P2FXN8Rv*gc`_cJ!UT6@Y)20QmP8@C8X?c^}YuJ+FZYb$CU9%IU!|oAt~!<m@l+ zKHvCLTK$dI4N}`3!k<UOTz@XM$o~cVBnEZW@<O*c?%_p7uJ-#?n+tyETD`rH-M0{v zz9`y{OyHAW%#!O<Uu_@)CMH}?{}_@Hqx^%}-_jVV&)Dzb5pBYMUf*4gPjKJ$D;PVw z>gsp+{bxA1<EO$pW;Af(tKJA}SKa;#?mw>6%jV2WbvFB*@n7e-p04yeJ*mFu-ISHH z;gPsqXKZ%XgI92_74wgwSCIYaRltVH)=NUn@B=##YO;t&0Zb=<-zVYfv%y&d{?FsM z_ok!o3%>4?8m%m``AI{YT3Gf(I~Eql{y2($WCJqS1DQYb^Y5+Kw1H2)c0-<LlI%Z& zAIN~(f2djsbNsAl_Kt3Uf6sHn;z;S~ilNOLRoh3LSpuUQUmFU(x9eKA;9u}sF0wvj z7lMc;kJz$GK04a&IRXrPzw6A}qE^?h@1jD+d3;~lHRzQuY^JdU{M)((Y~P4`4UA<_ z0h`17H;t~DxvQ^Ne$G;u2Ki$%fSHvl{CSYkY|sj<YUoi#!S|5{xR_YT?1pcFSZMAu z^QNZbmETiSp`J$#9Zl!{{wwduLfn}!e*by|@1TXd`?5aFjz3>yVC6##c4sdnYN4IB ziJNQlFwq%zYu_H<0^AS#pIA!4=N1rs7J%pecnq-cyH5VuU1q?VRoAOO#%TFQ>Deb; zJDY7<YLwS5)i)p_YsO)36tNiKJvIV(Q|Un0a1_d&l0GU&<95^sG?2B(9wjRYbkrtk zf_JYSg)U4O4-aa0Z=h~_zlAgiPJ0uyCqUcAzeQk@g7<npRbrUu!)MLm@f1KR*%e-4 z+Vq@c_S1;iPKLRXfWD>{EN&~jeUM$_bK>=Us0P4%zXxyp0gwlmKT02}rIVAk8K1{K zI@r0V(;2>I1OG=C*2fF$`E~j4wk|DxHln2EKVP6sy3m2#{@C#SEJ~gGdU!r;5I%O( z@*~D5%m80bR}wElWubj8+#ai$misB|bHqO^F2mf*^E%epZ6F44WBR3q-oKaNNZW%| zqP3+01L0hmo)OlH{*FQ$?Zr1r-H796jl>i_q=s@1es^|DIJHr(VUYSuL(KZ&l{3Dg zT89J_;Yb3)ijaU}>PV7qEm5*@wf>{hYU=Z}1NPV);u}}#Fm0=J_Q|Vs4h^d>?Fv?3 zVw&eC`m^B2yuQ;94;!~?e(<dbaxlq|b1=&Y<b!3P`4Abx`$Aq(f%{^@c&hI3^|DpE zwU$-74csc-dfh5rN%Bn|-`;_ADRk}Ega7#hd3iE)OJIsTjYk!B9`x=GcYW_3dRq90 z{qN3@v}?R)dHlg;fq)df)v?aOtE#9`V4bdhX05p0G%{iR8L%iK4J?YZn@0-u*1@cT zX^kU=dLn@zvBtp>0{cw-s#@*n$b_|rG@{r@$#y7cc8$`jozyIjN3xxpt*(b@6HQZ3 zJm~6GwqP3^0o8@@<27Y~<(4(SBLX5}QK@JdBR8y9>jHSwstMk6jxoYgsru`$@OBC@ zy(eGEdh@_>$2a4!2*TPk2w<HBPnwW^e3A=<R&~5Bbk?pKBE2;hh6&zP0Pda8vhbIs zZr#ctuYn7x5c9eQkMe2-kn&dmq*?(W<uWD!Qa%hI<w^4ZQr-dN5NcU4%&T0tLR!@T zIr6L<@F+xWOzWsX%pAr6YM3DMam~VwixdFXC+Z-Ui7#3f7=G8`GmD?n@HmFqNZx^@ zPc#FBef)nQY$AZ$+bIGd?1^iWYPKZ+!sY=WtkXP33t1E6%Y<>FiI3(Ts{|_f0Fd<- zAj=3KD;ub%e+wXs52y#(1dv4mkd@f5Zlww}Q^pujH~{FdUlkrTSps40`wBxHL7zVH z;%zsH6vFGQk}O_&rhFA>aX#vfr+tuxC=2;x8!`Or#y8=n<WkmoeDJL+h@7wWUj^jm zv2tv{Yt7ddnT@yWQN*!np&mz}+XfVmw_fVq_^5m9g3jwzKtxtA`{<ar5xu;!Thn!H z$1WxyBP*Tipi&v`_~36oIv|SrQ7$}<?4x#gEP=<cecX}{)V_vo0L>LkVLD=uH8JF1 zNzyb3b1(#Nw1ZV;xKxq4ng$U@hUpuA5s({r!gsuC%&g#TblT-S<i?zkS9qShM+&F! zHc#FM!Vxc2rO>^XV6bmPVSY}kM|^;3H0g;D<1J=;KOerp9e#R?`a59GL%l1>Q24w$ zl}=uQp{N3d`8}l`u>#YW<Ts)0Y19=l2|E=eZ&D<om#V%!z{hlFp*8|+xy3CNPqX|| zotHSWB-G_dPaMAPUda`a|1N<RD~H*uFS+&AuU}e64m+W$hLrmu(8Dip3s;DF5+p$C z(!Kd>GxE>9m4_=o;x=9<L7#ndA<3>Oq4V_v+qWiR#-<D+Udd@9mG3>ECX!^Z3qvXW zo>z~00gInB<Ds~4juLY(33WgE%;Iv@{MU7m_qUEeYT~mU_=;u#aoe4v0PuPcP$iC> z+F5T(YU`NvQAeOa@PQGoJ{ehRgbhf!vli6p-|7A}qYrvk?cl_&Dh<~QX5>*Nh|x5N zvo{3Ww}bIeE>#MYrUBMqw%*oE47L4l)<*DFPvjFZkw}Jp=cu&|lz@$E)H)7D*g2~n z_Y@X?Xv2e4sAMS(tR#$46C^)GlW^r%-tIMG>JKcBApUIfaw9sgnGg9)r=fL0oGaV( zml9KN)(g3fIt?{&iGM#rQ;h)iU7(+w{b-=D1WH@8X~<%rJ$pQkP~{=ubB$_~!>MFf zra&1bQtdiXhKUI|OOHY*IBP-*^Vf`8B4<u6-ZN`)^@PZl2HuXPrrkz-<dLO5LW6kj zr}RPfwh=u#vf4+T@Ahje_N;zwoCd3eas{0`UmJqpHp5(fuCmmJD4=07`p6Hq5ChL; zwGS0R=RbM2Q;HJO)l7T&heyfBhq&-BWFN`gjs?OC*vBdRK-U}D24uKnDUyJG>R`x0 z<d<;_P4}`oCMD+Idbv@`CZ3ntcdKF>(XSwz=w%9W$V>B~$7If2b1$d9g|?Lm^svJ< zy``^1EiUJUpDcD=HJpmvJ4_+m-MneTcROddJ+rDB-*X(VV}1)B(Hlzlnv9_kT}0rw z|8}?h6=U#VaF{Ne2qR_&+?{)(f+b<sSr8HNg&}DRGmLC`dv!leJKuH@GS|!7S}Oj* zm+F4-=hCz(bh3ARI?6_Qv!+BeHdrf;V(Rb2LRh@UiHhy&Lbdz($FxUUtlF7<0omNq zbvH3pv(2mey%O+^n#m{sz@b{cil<o{dXGiZm9eTmLyc$<k#I^Mofa^@#bpzb4?&E6 zDScq;vipW(FI5yE{%Oh)`#wWv!#+b3Mj)c!sSHlpa8-f<pqniM-7E==&lXap*wHY+ zo@@AWR_4_(Jo^z>n4BbV@jb4nAc^p|-t_^bvQX+l+x|k)tnJdV)QqglzO*dU)sa=p z1F4ppEk`@aVag3EWp)GVIeOdbZ*dLte*G1C>_@}zz69r9PcB?n%;|Kmxh+^Z{?e4; zQ6;YE+qpZJ)HbB-qwb{-f`f**`d-LVH|l|ue*(<s-$o3u0?fAn8Fi)}t9xrQ1SJH+ zV~NE8es-{l-%sniwMz)6J~B2vrxpdmn~d5=sQF}XRHv)O$fpiH9wY-kmIaV~dLWkl z5&N)vKG2O;w&QOqAcy7jK4R%D1l}K>OrWa{M2~0~<|?4!hL^Sr#8NYFAwCAlQhS?% zc=FQvpq4c?%>i6VT0vMZZ7hZ@qnKE&Q~<wzc;kAs`lixxFbVf-X--liV9P<KRpsUb zzFSa}j}4nMzx?Yve&>;jKfUO!o}ur4`lP%+|0ZaX2Rw<wWTBt|u6I6i;0{4WDdhho z7#zA$3~qDk*vL==N=2lm2=M`T><s6TjSrd!tR~UxWz0j6<PudNk5iE;N`dlArmm%< z4D(E>dJq;sTII(;T93EdJm6-DUW6qN!H)Btek)*vR-`~rBvb9eQHH|CRP=U<7UV0# zkg5k8S8h!xflTKp`zjRS*o=DIHY~nTi3e#~%|hMO{LY&Bb}wYM=UxpZgPV~e_Sdof zNJ3xaERFdqI=0Gv7NOFpUvk{pr9549)Dr!Gw+F%ao<P3~yQ)kX&x(Y9`dU<yAsg+? z@!?fsrqRk^5+Gk+|Ex?36q}~+lVT^4DJ`KR^9QeoCH)O7Dk1*omyT&L<%kKf2nu|< zRC1Mc*7j9yL%ARc8t`4<BZxhEp`NE}a@rtqS5EU#TMeH7WSgSVOo;X%Nbl|HQT-WO z4V(-}_A5Ec!-r~I`#%JF<=OG=HQN&X9CZyU3w0^b_kfJ5KpFmw%aMKn_&mGCn}oXL z6RR%2^Y7X;zslN?bThB~SvGg6dL6_*C+ICdcU9IQ%ne~JJH?g}uwGAfc0Uoze<N7& z-T>Ls!^i6p>pRPNHCFL$gz(hxrOt1a<<$3wSx@@2bVG;d7`O%3->@Brl(HR9DuY<+ zhwa0D_zW?N0MaRjZJ?b$mV#r*9!q4%0pHi;=41iwzQ0e;z?Q0vjr1AvdI%!=nbk-4 zZwt|DBb(S{4r2V7*#~}qOx5zgI(W8aKDxsxy|u91%jv~XW<X#C0RjtN01Gr0n=@lH zdDzI)ZI&L+Ao?U~EdnJl4(NkC6d~KBdfZ)Dyq5(JQrG29j|Q$(CgpPqlTwp)%i-N% zD<0xO2_<i19_kuEvlXF~geTOa&SCLLJsygkCwK5{Ep)+i28v;IfW}oNq5o5Pdw_}Q zPJ1ok3ino`M0`t6YB2E_x$Uj(zDK(4@Kw3pIAE(~le!u;l|R@nJV|M6p5FHGo4~*w zcHZog5^(d0)+)=!;?zjr(xC9Kr=8(rGxT_BcBJ;aL70miCDKHVzI;z$;1T;#KyeB9 zearEo-0V2C!4P){n^lkMfW<dyXvy}7<4Vymrz|1$)6C_cm!_6?d9oc5SPD{yYy<X_ z@0!`7s>IXPeI@O@ZHgd@Il!KglYN91iY1sEvX4^*?3oO<W7YO_Dr}WuKSC8tsGV6% zmsTp!s{+R&jj#-Esl{N{n%9QQE`s*al;oLa&s|v35qE5-A4l0Wq5yLt%Dxaq=r^w( zcMXf5(BnZ~m{!mz3S?Hv?yzz*5u=ME=n_om)@vy-)Mm-4cG;AM)ET~WcTf%Evk=RU zv*`F)QDZLN-?Q{RUVxYc#)LjQ3ADI`F47-t|1t?fJ>xU-FBSzI0OW%VE(%(cLhb;_ zhqow(#3^-b$~m5u+hh2&s-E>bh0X4Ktcr2(%=crB<<wm6Sa90*OZ!wdQYcp}7vGS5 z)R7O=A&-p@DijMJP!B5I6NwL2;S`A_F4=mC0n}k<1L)R9=CTo>m{kD9oY@CW-a_;! z1B$uu%k&SHXBx5F_uBuZW*w~5k8s(R0v9cC(E%4da50S7C$jovuf1a{2NWCfp&mRr z*epQr_?X>X+PrK}FqYbS3-RzVP`HM!V6U2N;*sKrY8=N@2M+NNiy3=aOgvpCfPdTl zDC;8LM{D0a=WA*j!t)euW=*-Z)bO+_M=3ySzmA5nv&kMi@6zfz;*|!?ol_&dvtXx$ z#qm8_NcX(0v7NbGW1IxH5%eaqQ4ezN;ytsHI+6`|#ytp@F3n#-C$|}*ay*AWjGnbR z3IyaFY}-n%8C@?YkvE4p?Oxgx*tt)rf|k}J(;xomUUzswkP~O=V||ia4~(}TrdMU{ zyz{mo3R1w*cqsb_dM7scIIWNFtojvQ+ZLct67K<@-wJ%b@OJh_#+~D)y>d1Nt1M-# zD=?N#08=}K!D)}ngyf*w5L}!ER^1a(MP8^IM06RZ7uzl`lO0?7oSoF%mE{gB<iW<u zVcN?|ZY7ue^dU|09F~vI`G*VE@^Ei?u+z4cZ<;m+yql)S`?4cDCk(=}<S36&YV;f? zxt=E?9g?#`9KSTtBC5nE$Ip!c3Dm-NOs@ojuK+gYJ;0O#Y|P-7$_f~OWIcf7Xtwe_ z!`Gje3~JL=R=D5qw56&H{Yz&v^vhCS+1q;ACMUb~Nf#9KK23S$5a<x(vf52bBRqYS z0D}Xp;6dj<+ciOO(^0NI7@(<5LCUphedIp?O-(AR-Dm(Z63#k?+I6I>DctkO><kWA z2KuGt_RE7kzy=JErEXFN@%&8hgT61diULk^p}){FNF*>!Un`q2H0q>$W9g&P&D$n1 zRF9GpdetcGY~!2oo+6kPA{1t4uza6Ao#222#)U4S$F{)i(G@ATen>K4ics8cGvuoS zPww<M;Yt^ZArY9SC+pUaYEa~@E>W2GfUb4E^HCQUwNREq{$7&7AsNN+b5<Q#YT|-s zRzg-{W1OSxDp7wG)Oe`-Bzw(%!6=Z1JoG4+D9?L<>PQ64TN6NeRy|pN?UsikA8?I2 zcnzpo10DiW*E{`>05w~j0yUbYjzO14kdK<sIYd)3)EX;dO^)pa6Z*byXN#{3^?kQO zbMacu(f2U18`MtG_ervowAYu=Ndu~jK@RJ!r521VEdlQW5>K_xX(PnV(*L;bc0`|C zu}m>fOo<$&m$n*L5}@2D*zqz|CBzkez=X?x(h?OcU!S42Ofdg~zg&df01&r*gzO~f z$`ZN+VDi$Ggb|)Jh$xc-aA_gEuup)B{cKr(mGtxjliY?Y6+3cl+8}IJj<N~pOLN}^ z2INF4xLTdvg?deKhuiN^3|15B*aXFsoeH2QS}fnEsBYUTAN=Hs{+79%c<DVLUe~A1 zTR&L)y;rWASK6{k!cO;k;gRfktEMj#;B9QQ5s+<rWdI22o#3bFE`7^hQNBUqe`qOU zlMRNL9meM*bks%!cycC0qOoF_$CF~NcQv<_^qBhh$|8tAn7k}bPW8oJVZ)PQAtnze z^hm&0CLa+GNeD=*Z};D3Qi0Y+K$VSO+Er2-E*JZZvw#G6DG(;{=f6w(>1_nNlaVr> z1=}(`w|R9Z?<J3B9sWH`yXo@5(ce3vHr-%wstL2pq!e(f4zrJ;B-A!jjB^o;LuivA z%eS_LiMkdHF)^x|W3dXnpr(iMJY!}X(35nT9xGm5WQFW?i*=O|1^<ms5{0e+67S9H zBval`kfho4w~tLNJ^Qo0rna|wJPSzBJIvis_?oom1@BIXSv>rYAhM5x7u0Bp#m}EU zg(E$gHMN_R<5^mTyu*lqgoR(&dHLGw`oV4K+3V#DWbIt|4Tr<|jO?`>1|usIOpK8x z5MTtHK-+F>h>1u5YEg`583!`^8VV~|zc7ub7zfC4;dMgS^b<g?ETuvO<~atp>fQi* zQ&ohlvTv%{P9%G}9lusy)=PXI2xg)i1KQt;2{8s}_(gF#_otbZf4^9efbSZAL|Bs$ zlyA%4R5@CvOn%bTZq$fB*VK<^krF_zo@q1<ZOZZTp=<lWuIbtKE#QRfx0><i5vuVl zrojJOT1|ToM$@zHsu+xf4KOiXH==``Q)TGi1?HOC2&4EQoDj0Xi+AT#1YdlkZGwq_ zYic9S<Ik^k<B7D>F_WQu0!U8=;GJ$f%K*@bNJHU^&(6Gj(6W9oPe!(V6a!iI$-!dF z%kEb9y%|{6i53sHTk`BpzNFgGpr-1HWf@^ahF~GOh%jbbki7BdYBRq0*7gJ9cg8%# zS`xkdQn<q^9iCtxqd4akDhVZoEf4qv7|>?G%oeU9ahZHm&JaNhD++9&k9i8CI5g!d z*6N%j?{UTHcTSP_Y2k?5Yv?9r^IfCXUZDi?pG2+4qX_St#_jPXODG-c^MGjqgWZ;g zU>~mHm(2`YPjK0`iqpLd*jUOE40er5D)TI*^bz5(B4{Cf%r%T+LXT^MRxQ}@Sopfr zn)8$Lq$Lma2MLD43Z;Hd?;l0<5&N*By>j}PdLXIletL0<pD964MlfIXE$sG<GC?p; zb;PZcn^?c><5fq?LV5*8*C<R13JL)X2!`mmOVL?!z+yTqQs<!-0&Ki`C6&RIN_vGs zU>eelg0=#p(KI?P>4OdrF{6YM63x>I2QumdujI9Phyi)nmIqsZu8Sjv*3nHi4FaOF z7WFr;j6OyYwoX(D6qa8_AF&84$}XXgF@aGuDsmM&GytHF1@6!vhx&Uf=fPoW%B=$? zZn;1AaCDus<eWK@y@%>N1U3RHem{3%>&nfjzZ13e3KBrIeV4NN;_DO-ObHalI+m~l z_w`AF)fyshU2TtGiXG0T$rWvJ`oAa1l|6C9e+8Js;*-7_9mOSGS)Awt9J0-P(oNZ@ z%T>(h<Pv2UgAyorjk3={5o(*n?JXDqj5g;1GfMU%Y<LJz0B?SBz}7cEp#B1UR#<V3 zN<O2cXMf*}B3FR72+IAT3*vA9s+>>{+yCGDTon1cCsD--D5xAjCqHj&u|wz#xvj5D zR6~bE?;)Be_){6sKC90EgGLUM>gW|7IY&Kpi%!4SiV7T@BR^Pxt+N9&vz6i3h7#|* zkBLRTETft96AcLZoS6<u`OTi)miwcQqf4A1$9v%r)YIezC1At-1sE5!mL97Jvxiou z+#&*V%LRnu=zdR=tJ>lazbDnhRw(KHm$i6+$t)vdoP`3wglQOsmnOi3f*JB23Y<Q0 zIA|XdM=VnfP?roKMSuz03d{@u6P8UMXqJDHN{xCv;OCOPjcPmu0YX5tSi`s$s_3!o zFngKOlv~MLy4=<0MU><mm0=rQl+gXEu!E9Ex1an?q9pgI3ERk|gnCrp{%Fn;H}avL zFv3-^u)UfvMo5s{%bc{>Myx7qt(a0kv@&eHlaeqmEgUNJU`U7(Y3z{_%Y-!aFd^vE zW+KkMO@!3eH74k}!=$fmO3(*pI*dPGee+y<s$jH+G4jhDCnj>fFC<usfN;GpKp+d5 zO!*rws_hz92Ou__Gq8Dnr07ufy)p%&w>qxqX}dDl!Vi6cKfwOwuOQx!pc?L&y<ec{ z!UScCiN)$T`*X$?A!1H;N<3L?Z`Qe~Y9hx08ztT{w)b$Lh&a&Eq(AJ<qQ%tloM33R zx)GE^<^|(gmkGVYm~yPr;ZTwO{Q!Yr+=f2k{T4yY!)H7C<oW+M;7~(18)buQ4L7P` z9u&QXQ!Z-X)rYRgf>)|*vC!`dGT@bGK%M-tz4M>uAckE7X%C*33*0BN{za%L{r=(P zSJA_(Fl+LO>CC!g$s!w|h={tE+pa3HY?OWWu?%Z*CiL46zUz}G$%4Bez5>+zY?@(d zrmEDj6+qk(7ogNvK+L0B<#pdS6ME&npjaiZi6VU~KLP42HcH#ng&i#e5;Gu{h{^kh z-k(GfR&7)S9t*?<7r6o9Ynj%C?e5Ib{RNUPx3?4+(;y&%2Wn9+E!!OhL=Hg$4ephD zyF4cx*nJjeUHJEg<@pbp;UMCJgXSRGe*tGN+Mc(Ko$Y^kKFkZ(DA)A)cb{ff{)3+0 zQ$3Tjk0f$qV|n)fKg{1w{1*2T;lJc>O)pjp9+{_-w2pFAl2Q}Rd{hc$qTAGaAbA^F zP6|Gm3A3+<p|eW}F?+A-NQs+g!Z779#F#!2)uzeAM7inNFiZ{%p>0A$Wn}!ZK&ghH zV5~YkI^J~MrDE-Xcm-16cT}*YX|Hx<^Ny2m!6ulPms8i?8hsnh3K5u#B8i!`@9C{> zv~zGodo^+kx1%{A_kUATxpY#pQ{Y=)hL<0v#t=s^+lOxiq1V##8|~Iz(2YlTgk=KR zAXfJ&sW>=dq9r(@e8wAv(|t30uk?WhG%?{rkdQDUkA@235lh0_)*BI-Yu|&%HLk5c zL#uCE2<$>yqkKHdyd=TOovuQ{hxUBJ2rEh|h-eH6D>IP##J)%MOkb$ANfsQ?2nPo= zA;Etdxxl&(@<Pi32WacBb7AXvTS&Ye<j3{V5>sf-@I<vX`@uo3CV|4RFqyzgCK0tQ zWw^G34yAOz<;4j>MDF%2e4S6&`V$4a^M5QBphJ!pwnC@@2$3jEe0f!SpifEXCO{^T znNCElb@h<w=xn-A?;|bUZ$UDFXVNEzLu6lIEEnMH?ux4DJD2h}ASnu{qeSiyJ4*J& z2UFR#KkfFy^Xnz}>Xz!6Pgqe!x9fw6Osyi%@bmW^R_eX0r!RfNFlgD22>fabr}IgH zWXIzAXQAuL);Gv#ro~H0&qyx>U)>8i_(W+5nFF`F)rz>uqHPv@niT%M#y;4zbkCsa zv<;a@gXlYHqXZO)m8dz1xTp#UxmXK`xX5e?xtKj+=LGTkCPuCf{(RA2KXHZI>7ff3 zSRv3q(Iiy=`XX>d_B}m4jcXfTcR8I#l)7xB1750NjovuhHweqgEUk+PUaQ2>z=fZP zdN2x$x}We_ec2Idf9q~<?y@v+JSD}6(OeQWmAa|ji1j}o9Q^VGc6H$O*6|1Hk1yX@ zkp~qI8vdp*9Cs01y|_rga#9J_9}T6nUH#5tdN656AMy|`XPZ*`#Ui(F@@Z!AC|ZTn z7(32Ct^MU+y`djV!k)6`<hftA=JQoctf&Khh!0$@VB*Wv%31pV(iT`^$16%R-=2Lf z+Y^UO?xq)?Xp{;43Q>c<OH}<0OtOP5_SB!R+WeQ*3I1+=fO&25gQtjUR#Tip30VH? zfC%si|L^gtBAQgI|3@iziZnB8EwSp13tm2<rFGek8GKgzk^5rC(638B^Xx+-*m{8b z0*FP{HI&BsbL2lF`!pwm$hf5!RR1G`Kco00Iupp4^&gFB?0A-@Po%Zse^e*|-}`fj zCcx!C61@QuJAjOi|79G^EI!GITei#kFC(WBcAS|7$Y}6iM!%+>W8TiU2j_TFAw_zB zWAD!!RfHWq^@986;i|t=%Jxce!!!Z@{}sEAPdtI}s@fQhwF4hQkFPY%U7nkRlxXU! zu-hh%m@Q1%%rpJ(>9uv@_qW6DZXw8OAmKH>(j#}dOA7p7LW{)jEyM1v4*&fXj<5VC z)nV-}h{`C8llxl{jN-2h+?}lZX=@Vvp3ZsHc*mkqveIt@*<=vRCe%Q;47vMODNM|S zkSl^}yYf!K!e!wGe`{eL6#q%Q{G6OB63c0?AxqfTBJOlfuJyksZ`p|GAC7L@JYyii z7g4g?<We5#O|O#?VwHdCAsIX}?S`QCb~Grk-56BrEj#*n^+jQi*cmANb`K5|{L7fK z+)GV+Z)80fWg4%6dHDO}%{y6W+S&^Nry>|63Iln9sYBC(Rk1ZaY)C5#QV}Z<sk;>= zDf{xT!z=7TW<+$gC&=Ymw2kcPw~YWqPHu9m2=vn@wIx{M&bmD!Co8#C7W!#aZ3 zIS^_0)=%L}%zyWb9W3@ieGOAWPCQ;GLSy5e*G9^L?&%_RlGM%H4Kja`Pb^<dzt+EL zLQYSZT3cp)+7b`WF@QJ6c)saK+PJ#5Kp~os&6pn0H0S)$!L^*W=$uDAHo@wei_f%4 zI0kWNTf^lXc|91+s!U(FZxGjXneKNF8D+ak(IrZ<3Y5R1p>`M}rJ}--DK;$+v1GDz zM604a9GX(@WxH&NIzc;PzoGI6(i_T1jnmxgYpaIK5o#V*Bq)U0sKAa3OJA@^bK}EN zH{ls^vgx;Dc#OzNW9jiAQ>X}X@^_Y?hE53Os6>=q(6*l7HA>YGTBuA+<R6E52|`lO zaZxGz4Grk)tiVm3$@?^Eni@5g-D>CP$Xq4*@fI{k2u<awy#_uVc;}6#4*5z*nQ%Uz zjTWtcoZZKHn99*Z=7jYwka>HJvXqVUvF?$nGIYf`E_xn@+02NM39RB0&3BHA9fYM0 zlr+3BJ_?C{1Vd_@hb-oZLQh)+H7lrN%&y(>V!gMgdDCj@-D<1cs>U8Q>zF5ATEJ#0 zIc|3Mc(x4c!cHPLhDL17F13d(-<<mUzY@MM!7h3Y8O(2}jXS~+778~qr({2na{X#@ z9l3&qV2BG#kGDvPOpzlsf6G`eT%_6C;wd?J(=X1x6J(xUs4T_z{bi(v+!p2yC@8yF z*+o0Ul0<ETs2d}i)%!3AO5+tF(!!_P)HlTPX9l~S)qkRMoG9okvON}ZKGIJiRTE&d z0bau8*|z~NqsLy?rQ0bR8Tpzxo=U^>rj;X3o~e5)Dh%h;_!htEx6G|)5$Mqgvau|O zyQSZY6Xy$b=<Q^bvX6Pn=eGWVV(IT;%XPazD=aC;J~!NA!J|+r09qOOn)ExSE&Q2` zyG*e}fJ;J&MlAve=hI_%UP`?Ne#R=RD8^H<=dwjDpSY}K#?v<W$uAJBtew3+ZAR+0 z-VBuL+zX0%_2(C+lH&QED{v_MxrN+O?vnp-i*f7QoeAswCz8?<rTw+Rx-H9@G9yk; zNM2jkVbzIqDiYNx>34;Ad{TR(6G`dwMk=Sq_+RxRiFgBR3x5fzp2v;5S8=zgYEHCi z>cKBq1^R9gwICWb>s#)h>J7ZWYKquLTx$yN=)4H0Hs!PVNDNQ~5OKn25M)L87*>O@ z(o%IvmeP;VAk{pwm^@{I_sJ8rc&$Ekzb~avrng0*7><Q|Fy#x8e!PF?>eJp@eVM~% z-wQqi%d1h`y)S7`^;vsiz?U(=OD+E!*h^}@Hw*j&INb?fP$uC&HfI-081ScBy&5~X zY<`-HEl{&})NyRio=N!e75)?3O!u=sb&j82i}J36JaIEd9^C98Pwl($M!V_3c&`^? z9J7wj!w($EBt$ZLLzt;Hg*nOx&8M=t3g#BaP3l_C;lGvzt1`|d9w?O|bUEgU^6WZO zjI{q+r1VsaioCk8a#Rknb|@!h-2Ranq$Ra!iTLK~@+#+-m37*7>;zXR_{8|r>w8}$ zC1>oqNTno9LL;ku$kvp-AxB2Q2@r=e;|Bpg8Qi<7BWhH_hOob;CPofAqSFM;#(19A z5&T_Cllzz&^nKq9{B*szWkHR{lhrQq=pZC0f&>Y|o+Cjo2uG$@iz8{VB{b=FxNyT- zDg79xCxY1Q`8A9q&Ba@VXkJpy#+irkOcsH@V?oCtiZ3s}39M*!a}OvsiVyT?p$^s@ zNFGEtq6$X&6mF)ntQmV4h|2yRX5@=gUuiD7UB#^GFCrsbs`F#@ysLBH%;JK{VO+_f z1iA>r;ap(j)?C}nVVZBc`19f_N;wP0rHanQ+SJGP3ZG4ORDL6K_FfBi50fjHcI~|S zC0xIB#9<N}`1m5jPqI49FuTZ+_-;!gCNmQ`HYZNy`*v)=O2ikTH)=IDFHTGKRu~uk zj(c-6K@_HApOQ&0JSUE=vKTmAKa+DRdN0Lcd-LQ~WWB}CMnXU+hk~m)tl&ihTs$WJ z-Pb1Y%3kUtVo!e!i|o>x+pKB+Lp@-2AEb8deeLNYiSn9p&2YKnlsA0QPrIePBhE&6 zYO8jydL&Fd@$;N@{-5e-I;hL5-!0~R(cTrs(B%}Do_6eLOXc<st^z?#^-La^7J@%e zrx&$#_OHDy(lW`~8L<MX)ff*hO+@ehjjO)dtVD>()%J8;_V2xXVqwuMse@zrZ7^q| z%#3tl5?Umx<{p)JRQ=<8A-2mF9-v=(-k|r>Xq(HKYoR75f7Vg3*+l2HFU{#EbVZI_ z>*yge!pyR};qA-9ZVp3geH<=muT6#8c-B(c_g_HEbz;DK!BquO<Ihqir(Vj+3fHDO zmob=2k?K>3w8ZvzR!f-W8=B>2a}&koG*5zD<FeHiPP-GvC6rt3g(BWoRRp(CIAY~s zM78ScXhPJmpjbU6#7a(@O9NIOBaPvxRw!?(>v%)DYs_zDWMp#P7+du&p0CT<bLeE> z1bf{A-<{W#HYq#jwE;Axk{Ki4Uv676xC8bx-`Jr>!d2R7VYTGH?D<VKcdMI51!v?z zs!^b4FY$qP_C_7W-Od!ix?EG@>8g<gk4Kpu<8mX4I!MK~|5cC-Wpyt?x&rT&K5-#Y zYpoKDWX`zh+{hx0w7rb&zF=nRMrLE5-#g9#j<l?SB<;Nl?$F7m{9SeY^76eyONPnf z#S=D@Q=K3+Uw_b`@zpbq-Tvy`lwf(Wj}#m*Sv-;BpM*BD)ti!h2DjQ-BWxY%NMp0< zq@|=oadm+!=+u|dn7yoBZS9PFv!?XlP2TbFhzu}|tAVBGENzRCCK{aPlkByXZB~C5 zf(AONRWa@o-Ss@+G5L^7don1l^oi=TU745CtdwTnT4{<=p14oUG|FQ=vHHNn!_4_2 z(m2w__a2g7fW2q2VdFkA86cT<0m;OSk%x#1CRc_yb}3v`)q}uQt1wacrnIE7(+|sc zg~{SCS_KE0*UsgCn@Tb7uTASwoAHeot<wKC%!dSxJpMqcIjPo#!&f~}ur;Omx;O$+ z@J1J7dFgCH-|6t&=MWQ)bCN(+|HOz3+BM-?(>%BBsqX3#p$1zHU&WS<`+xqOmw&M; zSEigd=FwVw=w&VG#4Pq>WkNY37f^9pv!Pq>B#z;_${{>XHJVy>H({PNnfVdN+0A~) zt5i0lx)H{T&d342*`R_SsX|GzcdK>s?A{3;nNG&IzO%Dv;r?7Fd(*UD^*t`aK--|D z>hkhMmDSP4K$5!88#b-mO?PriQ5)kcD(u{V&IWJ#$JytB%%Om>F*m%;rGKsa^;ySl zD(UxzXg=vgLLPLzBUtEdqJ3GWIl9bI%5=0YzM!!a3EuzGkg50GD&)0oQ!%00Fut$C zWp-oW5XR1pHW!h<y}+#?l-BD*!-X!=9uM8$?dp8<nJ0owPUT2H7RvGMWwfQ-qs@4b zY+$vrX#TgCvAc5V0||ouvIcGw>9_kV0Fy~u*==lwj;u{m*2`N>`Tp|kONo7Wd^Sth z3|)j7OvzhA8LI0N7d?Fp{mF>5t?p$B@|j5O#^-gv>*v=3WVbI8q+o#cK6FXFPXd?u zo3~#q_{_xBT_?o7?#7-bFVUOMD#=^lDrNUWSBcD*`jqGCxA@UQwx6CH9eBo51)bNJ zn{E4}7L>e1#+AI~0X3%V|5laiV9O9VWcPL;+h!ru+C2b~m|8&;6dNk-T^i1ih|tHb zla3&?_rF^9zkdDYV&KB+UTxYgN&)ipHNu!<Jvh5&%s%eE#D(@Uve!;kZ{Ids*Zw7l zp4#}=xLPomu&uKoB7)Web!0FKRd9I~{n^54vn5k@uUejz`Rm&;<)<QAC9(BG0;GGz zli+7hSQN!XO7`j;sh|7B>Wb86geDo=NhP_wiu?+Q>!{2inJPyYtCRwjvAZ$X@A@1% z&8B#V1D}>^O({LFCRyC8Q3iDh47}j%2rN<NSoy9GJ4nw+8-DBQ5u1Bl=h@RA>)-s^ zTkFM>sOhO}pZO>XjvNG@gV8ClNZ2<i*Y(hfrNys%_thKC%YX8E+q=dgTeiI+#Tu<% z>sw8v%=KRKsRiB?cA?hoHj)oXJxJvzeimiuq2wetx~ku3o?%5t_3Gb(4~`$*%?OTf zQ=F}0_B>fO$k0BL`s^;hRZ<uHtG&!O#Nqvgpn~c0OU@rI-<G@HbTz$`%w^2Vsn4Y$ z4%v2{S0qy9YzB^#UbHL9TC^*vSX?WNt)~701j6c{Du2(x;5V&|t<RX6UC12AEeJH! zSW=$3+f-X9?)Cm*I3Gbxa*4Wmnzl@SjQ;icIL(Uy2#GRuK2-Chzl>_>V2f%g-1dW8 zs+cv|i<38>9<l@sGq+mGNjoG2+t-u@&t9}#*0exws!xR^Z~r}R@sl(PTca^|GkC3o z7h3vZysLaT^2gNVDx(3Yf<M3e%?BM5*>ItyrP+JT*6H10gCLWJ<>m1L$i6ZE{)5Up z;$1rCGx*Ud%Z&4SPY;jJ>wJz~#KQe6g}6`2&puQSpTBHVXEU42*0U7<)Nv8IF`BB? z#1WCu#DS#(jt@C$;-K11pVcpL-B5dO8uS+Ly8YPrN_o%XJit+ssUf4la88BMlRf(S zvJwzD{ceRaZc+#~aaLhAV;VeQT{)<+>1Qgp3YsmY*Nw-GUi{10Mt9bBe||{u2PS~$ zJzps(d}T-vlND3s*(Gt_>by+MV=f5xmH2oyl@=PXXxNTyvW-5L=KJ%FP7J}maiVgr z>&$Up=O0_$lIfvcn|6@P{9<cQZKW!4`HO(*F8rsse#BSOk-P4N)Xz<<14XBcBsaV5 zyb=WGRka%zqu^4n5Pc}d*2bPF_gZOtviHXR+pBf-df}@ECO-8>Ar9;wLenB&Jvz#? z+XCM^76fP9(+e77eV6^>UwZ{i3fpG+r^ZPsd`ZO2q{3h=V3cWgtUmDl_M6KDH-f7r zk--`IlQv6HQ>+=yw*7T;=Z`NT4IB3qqt9-?YK&J)5D3nT7YH_v7myl9fyo|oV-u!< zb1tvAR6FTkga{;TWF$b1S)~k?6I2|4LotQNumVy$s#D$w>EiZmHiP?}Nu_D1hA%$R z5-pyqGYh6BS+;tn>rU1k{t)x^mAB|t`TBqM_%rVIj&e%9?<4LFm5+lGAikO4e3(Gb zU81r;kHX+@ZiNt=xr|)*bZkiwFT2|wlOm6Hwp}}g5%pbQiqk^sW?u<!#c}cdv}>ez z4>dO1e@)r`cP@`gPQ}YARzP=;u1|ygjhAMuTizVk3ybeB6Po?E%QPvDP|3c6w_k|( z*wBzC&1M`F5i3$AOGFih-jf8Dl(+yN)&AbwG)>6%*W%NiyGEQeeY}#5Ugk7zRt7|5 zX0sphl9GpB)-+S?MZ`LAZ3ChRgONAP4c$Q&HGG}VC+V<*u--!zW#QiwTOU!O{^f9v zSwVt6OUcGV6pdS_DG^!2%tySI<l&(b%~YTb>#q&hOXd}7gBCet*IC9u6zs-dT6szJ z`RY5!QBKE3!`Q)Fp$AKqYwjJHbAr@&`INlov&o0~ry8t-G7ThVnVOMGv=ptgs<9*Q z46oH%r;#iAOpB0)X`uo6vY~*m-DdeO+2lPg4&2cWoYSITtXmsgk1E7u3~CgK`TgTI z(m_a|b*LT#b9H-mA1~8btK|tU+FgGE+){WJek&!AOxc|&mL_;I@w1_E&(&(ii)s-u zd|xHsrY!;{Bw1P<ULe1jjtCtFahCcTeB6QgnG6Vap0AgPD+dALiI^jM3g)`2?!w4d za%jK@r6)X|bn~_=TFqkP>K(PannWFIFU)C;f6c2!zq!`05P+_J9K9%EfQ&rLpV6Tj z8ofvpH!Z5=7rF};_#sQGe2sat`0x<#Rv1_(=$b0_!{b-+$(qxR4rj;Ch#TowlTQM+ z9B?+OPEI)Cd7d6g!s`WV`8(~xBI3kj=Lf_;xK8s_Q4>ZP^Y^vv%c_%(U)n`;7z$4- z$M8OLwy)y&vQSdZ$Z7a{ii20#Q>1x9ZPe}etT<U;%NQQzt|0F=@kV0q2UN%YbtZl7 ztT<NEVxZAtRJb<vU&1A;m7z?vGO?Op+(sh^$u`ABMdm*=K)~+PXd7$wGi|PsWJ^rx zJxoAR+NFrO5UzdKKH?j&2e&VZ#VMyT+MLhLp>@4o;2kjK{rB-#gFwr~G~GN(jL3gt z>7n&+q(1ExwFIt8&>~PpCK|B38a4JKj*YGQ7wRH6Ui=i5zq3#k3%>XJrTu#j3v~TG zNVoiFY5fQiQq87`hb$15%4q!5;g_QFk0-QuJ!e^u8+I4DMXvf=?ii5dIvPT`lhvt) zH~t2`Z4EvUQV(=mVh+s}e<M1sjCfd${g_-~suAh3)@CGh`Vte(AujC7EK<$jw)*AO z!C4p}M<)O|`UsGtnc~p)wk~5@ip)JOJVyQzTGX+c_+&7o-)*hMLrS0RE-N&tjq$+w zGi!f|#s=ZZmoLYXk8K^$jP2CFw50N#xKktb42JPpm0R`(66X?`voSvFwmbRkt?@Nq zSc_Amn+%2rqAIuSG>v_bl7d0m92ryD=9&FwU3i_ymTd+h_0I6jWbp=ryg1Fy+LF|0 z9w7a9AA?<9=GYQZ+Sp=47}?#8d&ImN!7K54)pv`QFF4N>;4aj9cRuy-X!suKPu;)& z@~y<an)s&Kx6?R-nQy!r&0dyMZp*0>WYWAiu`QmQn>sTMo_0YOYO_0^1{^hf8+E3v zYLlEdSRHD(qd%%}jio0-Ox1HDw^Mg8uS$c^f-Ww?@y;8~4GDK}cJ_B7Gl$kN!|F{B zr-a9{Bv?;?nyoZW5NcCX+3drKBI3<F7_e>BSAG}j=e)Rva^P<d4c{iMsi_5)$^Ko3 zHZ53Bj(RzJ$~T?V6iiL2`2x2KNJ>rOD0%^x^12<vKRpuSq*%IeTeY>(W{RygO@h{y zR|I#DUMCnDp!=wsL+qP5Kv+y^8IrF!o;FS|Rf3g~_Y*!)L(9Rc{W*klKA*EGzSx4L z1zDS{37J#nWp*u2jh!`s<4o4AFIE|B4Opwii^>`wiW>@NNyL0x=Nh=l`)fG-*db=i zw^8Ld2rUeJaH8{u(}|r%$sU&r<egSdq(|ybk*f;h)&7`zK0d3ZLIc*f>otAyfM3D# zc=4~mIa}~c3Fd=4pZY8{v^I5A7&$kBMO~y;v~m&*QZ|{so~Q%lsi-hoALRwClWso6 zf8gc8(`h*D!CfGvnmIUv)Sbfa(vCfT7mf=}3s?wu-SWYk29sD^@#wxK<1BPsU4d$s z_tSu?hOd{#l$BMc1g+T}5!~kNy7oWoKXY*gev8s~aO<t5=IuN#Qu~@Yk+G>e8!4qh z0H0ml5}Y>@HZ1SpXqi$XPhzn=K*O7ESuw0K72I}#@M+}Whzy^Jf;4hs`%=aNx$l1F zMj^|olO$-5ULQ@SIyuyq%0k6lIQZtHp5{UB?Q<ZpdTHH>k5IuGm$=fS3|wi)UtyQd z!5Ru22y?u6SCGClxgXrY3TZLWrfwis`9Cy$by$?o7w=aAkyt{yrMnasL_)f|yFnU6 z6l4*JW$A8Ey1PM<UP8K4Is`;mas`1qzk8qi$3DEzJfAspX5M*+nR7npObt3ujLA6t zNz5Q(987&Py*HCmX7p<Krdz$=<0o`{X~+0TdJPyjpY>8IHwe5~fu&P6e^8ag{?0Ng zPpEu$BL72`nt?ep{ZV_8Q1D)&0!nWR?L@R#LwTy8Ons~l-o29YnWs=a;Us3U+z<Jg zD}tc`0sT|s1(v_AzrnP>0tg9!08_A)O428z^X)!0{aHHN?zBzGP7wMfQHbzoQLEG2 zsMj{WH>8}e1lt3Wh42`_#PwI++WIKh;J4+=>V(PPYaTYC)5=c8Nv}lR3jv>%?i8BI zV2XAHyo~qE87M?41#tmoK0SDy17PsW3jKW9oSektelIXcvMH1+<C9IZj`j#CHwfxa znW=$FNlerXTmn*4RDXLFHHVZ0Ev6{@l{4XWV92Avkn=jxD=3>#V=$X!wp3XpDomJ8 z`C^05Hh^_hMoPJ1(sGIx|FI>}lQf1$imbtm3F-NAA_&AIFCD^|emhF?6dwFI6{vz7 zEA~LakpBX8%GAOW_h^I2y}+bG)L-@1AY5KJSz-b|9IOi0EHO3LU^OrStAPSo4S;eL zV0xtxtK(}8v&L9iY_I=A9@2uZ{;4>Zk<E+=hZ8xuGPR|U%KnRVPA5JGpl1VkMQbA| zLcwJT7$`^4c@}0QOxe~5%rJ5=BJzp5R&|AKModohsbGQ+Gi6+A#x@Wah`y`_qiGLD zQ+Wz)qcBBl29~cec_A;X<LE-->{z=cOX#2EXwniey9*1A(`lTZ*)00XoVG3SIXV3f ze{ECw6TF*mtT8rmg@V6Rgz#=aH=y7vc{OE5n=C|#V9IQrc&|70I948ai6OB@MIn-8 zV<?W*AV!fT2i^;`>MShslFW}lF27aYDyRYDPWtB$ua|m_VrvvR#6_l$jo|1*YaIEg zy^2sVoC+-NNw4Jy<9ZTblV24)Ilk@T9nbmn8NcSeoNOCO%+QG#7fRktuO0>ELb00Z zZQ@0jCif4XNJo;t5zFSEd^Hw!_|t>owkIOOxsxcy$<|TtO|1l1+X8Kh`0rR1r{56O z&1c<Ro@{;k!Nl4THokf`l%y#<;^Ax_Tl#u$CU~hQk;|pSy@x<*RP8ouMJhrCGpUF7 zXAZeV+!9Avs5c`UTo(M}u$>GiAW&eXxG6|P1c0?qVuNkn(#My~UEC&9L<ojsJaQT) z&q~u<@FXV<z{c~3{C$a2rhJX>`V9hXqtIzXa|Q;EOXXjMUZPK9zMOi?DOMm!aB}dI z*SAE95bv1uR?tXn5;or&)#ZB_`XxrwiCJ1BM<QwL42?i{+4WRIF>ZFx3+BCmAYjcA z{D0P*+=OLO*KGm<suv!A6#i^{N+_ut*J~%8SDWNy2#m>&Ln>%^0SAu;Wg9$6!F?+% z;bkju@VHa8A(nxIhfWvu%uHC`k#j}(O|U<Jt_@Pr11JJO69CkGn~$ER4Z#key1FQn zR+~uoqLYz9oG&ylka*0FzE0cZ7F2C9^9p~~V-n8tR`kT7d|9<2s%*bE^KtxJt$JY9 zW|r4DQPIoJ&mbx0I!5FkOhzX6%aNAIbo7#VP?VLyLki>wCSMp8jv09|yXRthYS)gH z^TV_#P8>6OHg?Y<LC%g~j?_MOq+((Oju|G&lU9y?c2B_(jrDo~zM$d$NK8I#Zjx5B zN|p7~TCpJR7-1AyU#+MlrzClm`Z|+QT9<sCWKfi~?87v842~HT%If*T=t~!J{yk`* znZ>iHPPikOhbK}qGR|T7N$cZgc2Di!O6xWY8C~|)h7Z$Xe&U!BT(Wq!Eznq&LdpBH zXnDd#+R_<3P6hbE<(VtjQ>p=^#ccPEj9%oy{6{L9aV|WZ?Xed`?U5H+;^7wpZ!6-? zjC@J6Vq*|>^w?Ln5`2G<AJ8Mu=XxD;j%><<H@(fC!M6R$4>z7q8WHBi^nakFANat7 zA6daCxf&C5AxcSd=p`sNw`HwY$sUv7<%rc=(ki=WyAs}Njv{vVtwh)uRU)ikR}S>V z8^y(RgGTsm<9;H$7T${EhhG#<N>y|5z@mo<7)Gy=N0D*%0k#sP0X7o&-)$wxKOjH2 zo=0DZ{vtl~nv|%1>;?-z^rvl)YiRYQa73Lz#}fOqP7fdVq=prLy>{LhDiS!)G9u}Y z_Yms!?@E?A#^jU4y<=RJpX8P{!IvOu<vCYcub-3%8kTOs<fF(Tp)EjY;+Q$X{>i#x zY2(L^lQT?8_8rH^IUSR<a;&m?3cii;FvkzsR40GbpXG`lF7o0RgU6dm{?+X~4{+6} z<k~-TWX))Cb2;fMOC53hUGe#6u@GK(5JVY<iSgtw$2I$@;JNB{!wJu%*TSzX4hih< zB!A7$RkqJ2o+t3f&_i&_V>a~@dv_dPU$K1K*?Zk0Cn@=Z?NqQ`ed?Ohg00TjH=)m= zz$LNXTR<pc6dBI~B@9Vgn4(PXn(HzQ2voA#n!P}vonNmzy4}l3ew<@F)rOU6ZrW0& zb4YYxDsOLjugOVb%Rc#w_RahyiVn{l92l6n#++4{lu*9xYt6K4v9VL%-m-OJVz4Y{ zaSFhfNL=7KSF>rD<c^4WKd9`=cg<3VN`OZ2#(WIqP>-Z}*3`kje&I3O84KQlZ6jLb zXszG)vBhm(W3HC*zvU}_;6&n&{&woIEfv*Z<m<kYWc0kyQ*ch!Gf$jm)=x*2-h)Y$ z+#_F<%)>^M+N0i+Wz}xWvwf&L&o?P51l;E;vZ?O05>-VOc~*ymvN-tI9<eUn9<gcN z9<ia_KGd`2n-s&2V#C(lQs?{ApC!H$AyVY^ve|%g49V7~(@N&S)Jo-%-%9IY(@GDd z^8)G4Kza(0t_q~T1k!&;SCQd4+LF}kEC6K|fHDho9ySXk9`&^%t9G`Q0DcGH=5_W? zTWXa9-TOvyQ5bdfgzVtb*lZ5X1tEGteI;vUCWh`!sejd*9$NvNBPtFo=@}zRg3K8S z)*qe~z@3Jop~Y;^#nKZ;e%WX|D?n5YMLW4F>xGa;Jz*VG92gyn#>`5j;)Jq2f99&> z&{!*(G1>psP61mriORfE-Qm2JEkiJ7Ho`gH+BzTeuhLhpj07wDXUxb*JB6^Fp=k0{ z*5^x<Nh80k?4A`wO%6rVE3iH<7Ua=}m>9)#NJ}2XDmk!FegPUmfktLPBNWhxjRk0w z4m8rqKW!G2N*M2Mmy@LMCiyF_rm?MRd&!`3ObX#ytN6#jBzZqD<?^C8dZU5B7!BOR zUvDi!XjkTuZ%`@-@%EWKA&Y+nr(NM_&RtzzX5V~!(yJdeM==6nAWJEE_3N!g$_szG zt=ovyB0;&{z8b+BVDLO%OIzKbu!pVB(uSfhcH~E;!!4~XCInDXKcX^)ko?@5_XT3l z@A!F2Tw-PZO`YbaqKdwxqOcIDi=vhG1O%@$qV`O7H{hv7bxOT`ai6c8sk+~(L#{hd zR*GVj>ePnO?TW)Zu5-_5OdChK4S2ol)Q8chI>YF4o!&me`(h`moI@Af(R-hDDmS^v zzb5@9vL+52VX2F)MfL=LfOJP7y)L!L3uvrKG44Xujm2BgT4;}-))ajOH*v77OMM}l zx3ADg$e`(*f#_ZmniegJVE;(srvSoIoxfK7t9ji-%sB@52Y$Q_p3=jvzvs`tZyKhi zHKN36=j(;4U#Fv+c7#W}Z}{h1D`J$q%!eu6UuVFxO!~)Jc)dMMhs9SW1yD4j{w4~w zro$Z2*Hpa<fXSdd>`FVKSB)myBX<+;cn2gkJEi-s4ZP!J7B#xdmrgFK)uc(VL8J=) zo_U7%4LAuI)w{zPQmg0tz3UW*#edHVc)<o{o~xtEBX7m*Ha-z7ik8X}5bVcC^@?q8 zgcB5b=>=HnjllU`sH6#f*7iI1>q=4u%?u4=?{^0*mVBYr&Ra#3iX@sNPB*}`eFw(k zQ|b%KQhUBfP8o<l)NI8)vQ5!e=^uibjDSfhg{8Qsw<+RT^u#l~f~JvvO5n0I7#0_w z*nJ*@0N`(NP0{NaAKHm|;Biagq%A^K%4+YAT{7SzOG0k#z;a<OjKy5sXxWIGLKj+Z zqGQVqNAmA94&!42#J1N93ED*SWMS30vQD(R3{93VHD@Q~c7W+3OjoRLPYfWw5VZLg z$~uW_@2y&rt>`O~klZ6cw^IlfeXC>%EPz1`8+5w^bXz~Bukx<>I{j88*>y_ZwzW(a zT74KF;v%-anM>ejR4(hp1BB#!7}ruHd47x~W@r6{U@;vS-*<n-M=gqNTW3>8?4$JP zYyf3~oDC=l7!+3d1Z}`ML*IX>Mcpu?q*ikEizr9^kjRoVrBj$fp`Gy{9<B-;D6!NL z%Et;m(7x=epbyz6CGEpLlN@u0M7?5k^|b~F*UCvo><S-n`zGCKtqx+!2z4`M2(6Di z?B~qB?SK7L^h$ld7o`FmQMR<0A~v+7*fzB7MSY??E0JCct#n(Sp6vY_Afrle?{`W? z>Z?(>hSzE~ruv3-bjZ4ObjYT5bjXHRbZ<`*B_gIokO14!hL-OgQdInRoL6DpxY;j! ziV@{n(#w9)$CrJg9Pb81Dc=F%u5n%q3shU4wY>e^1Lu?okWoHXh#(8^XOF!169ZgD z8(K_7TUycqaPRtnEiJi5pQtPF)`*IdZFzbvnOQ;kG9UzeSrESJbO?QQCdA2%`(9Gf z9uPorZMW1hZGUvuOl9h%XLrd9um(kGjy`FnRABQoPXE$nZ>#VyEhGcSjL(79(=YvV zS4w|28aJPkE{BvMW|z&ActmwQKRu@lS^G3-xTgn`k0$C#D=oh2`Wr#<pyA$dOui2B z$eO2N+9+jIf{W8bitc($zQ`RMGkoqRtvr=#>-BY9pv5o$+o~ypt(qv<sx_g=?5s^- zI<RC@uuZE4+cX>5hZMd2n0$CyPg-4FHP+wMvIY$!=g=}uf_$snR<QPnnuINNdba+o zsr2*FIIfO04Omw#m+4|hRa=1K{XJXsYqT+vkSw>jgBj^5(I`;4K|v;!YRxwtUq)U~ zf^*if9O^f2-9xSb{iluvGaIF=YO;9_AC0M3sVzfclLeCL>rxgZ;wW%W<t~(Jfv5~U zyhF0`7$4l(Zff{}O_j`rfJR=iAT-~hLS8vquN1R@lndz#{~^FsIu}*b5}6}OpkIpF zOUmUTj+<f~F4H7nl($4#s6~gbSIYNj&>0F(!A-GBkts5&(xOV`(!=8>Esxm&(w_k7 z##%cj0R<du`v8(DQ<R>oMO6$Sx&Sf+AS?iqUwL}^vs??OnNu(F2seeFgRC6R3;xoQ zm4}$)&LaQx+sy0#?2s2ayfj{AG;lni$<kfWJ(y=vs{NL?p#R8P7x(4NCoBJ6LhcTV zN8)v)*DZ$om>5f{I8A^5F0md<{}=oj0;reTm_jWTBlh(fOQcXLj+&UTV?$VrTt&s< z-@NQpp<tIp1uy+6$}ypTt(!H&Cb{=ND;P0vs6XnUM7Vm=CV-*cD2X2V5n?Tx%ScY( z93CS}y}2G5)+$=fNFVE@M6F21vS!&iO%!}ZErXH<6fWpLAEf1@2=wE>U6+YU0;K`_ zE1VIW**tO8tSRe>GDWSGT2$48FLEh)_CCd7*T3GVr2X0d!uYMH1-ZFdygXrvonTB< zUcX`1=vyL&D|eR>RJA(daq}-Cqyyci_Y%>Q=g&KMcU?HoU)M-u{`3jY&mj;zhg^tb z^}QG3p3x=q3C_riEh#J!kcK?&uXunI6}F<RSP?<u7mW#+mz@bm>9h#ym%U8SpD|Z6 zIG|_aybxkbEiRP)&Zuj=Y|uMi!^);sYZPbdH{LlIibD?l2S|KR+}Aq32H@6!#n|C> zE?ym}`#xj0n?zx21p;5B@o%1Qkv%>?AdBJgHlWOgX~n0XQD`=e^wRLEZhbuvcXx4L zpKf^xhEfy3@dqqh7<Ba~S_oJ1DMsu#uxyc(;Y4ncwKtb{5aY=tML#9S7=mFGrI!@L zn01q6jxRr`Oboo@{6NJaJAT<&iB=9j{cBxjs9~)h5<yZ4eG{3YFjlLwIePR4=H8#S zH*2$C>Aa0O9Gz{`mhikX-cMFGEcM`RwcZXZAD2%oj_wG-#lyciCF!sm#HBJJj^rUD zl0w4n7d7=+CUU`Kc4i6OhUp@!{_@k~xqTw!2fEGSn+eQeMe!~dN`@UJ-gaNi1B1-* znI<8MJqv#%``;d>?l13<8M?-5)=@I73zb@&P)<F`@%Z-&;7&x~PRxgYu77PC!Xvi8 z7{%8-oR4s;Pw$_5{b%{+>K_?bciTH;l<)>Xtdbvt*YkndXANQq_mqp$)Gp$lU zV9=vUBP|bk4n7HW_`yb)%+8kPx6QwddaIYBgMf8fj&y3#B*eMowklC$+~t+nZV1wu zoE4dkf-3|h84-K9)x_fvl-osOaAjBr>Wvknxu{B1br(LZ#3QkWG(vCN?bthRv+YWH zJ{r_Vx${OnPyCE?BR@0-yD7Rv^2z*~4Qe`pKsLPVbtuRg+oxw3SM8mr3R<fe@!t#e z^_WBIyi{dr#pj7Au7vt}u_3@t61=S#t4`hHFAd3C$Y;cFkQE+K4*1-eCa?0@N@0IV zO-a+bgPMp(t5{A@we0zb-T`?rmk-T!%GVGlm7hr3qCeKvI-0f?rIWMM*KBp>vonc3 zFX@<kE97|9VZ3Jwh{qN!|2udmC)^kNp!&Hx<ec5z2JQPEfBhcdHAjr{97K%L6}|ZU z@FnzJGykP6@t3OoVDqno`JFE4M~KD*Z{F6*VVH|I=h?DKZ|5<w;+Gy7V-_Q>C{k6s z1Z%I-ogLL_OZRpijNmKhS11_>dXxL@LsV==g-vr(&xWT@va%_JAy&YcBw;<AjcG_R zyD&n@OOGUBmsw**&Q7#AV(^r>B$R93(lqM%)3q7)UyR+p5`~l{2z=R#i5??~MnMIP zTV2;idJwKH8=3H7P(X%|7k^%srgw>gpA;|scu+)zy8DsxmhQ<!`k~7v^@Ui9TCD-A zZl(4ReuXS#HSH|JwCE8gH;8b6Qw#8<#YR{gmvZ7(RdMMqCyzGH&b(LKXvHDP7O;*? zVjJ;P`B56kEDAq#e&wkS;ixWu(3>)0wHd;OvYK@-8l!lr^4X?F$8o_>Z0I(O4O)K6 zevqvo%$Q!m2twXMt%Jgh(yJJuyCUQREds<fVY7_E?qYJ2<_O9UzvAKiMb^+@*K@s{ zpU0vOPLI3QAbII|jQDuKzsLlst26SE%RZSpERx0i4i>67uu#o1;@!R-RJfl?dhpSI zdoMXq<oIJ50%s7DQ12O1Hf7|bbY^w<jVu&Cpz?V=LZ`&He1_@^&i60lA6?$q(52<M z*$C>x5kBq1;Rlel#uF@y>J9?JgO9o>Z(jFd`vcFaRDK2K)DO|sTL>!s3W4I-v}%@{ z{gs+6Ugk*Ho0>m+WKxmwmp(QRxEu^_oCD2K&*{jxZL^7ncft#BY{_nhrG7rE<})#{ zVKs(-(=m=HvMa0nqhwFkcb6cZ{3G(Do|->}jM!dSnv0te$W1-fz_s^L<>G$AQSn{J z7^~FMfW4@mslAeo>oSeMLfMCmEHIlv{@M{Q3lpP0P#eX5=YY$svW&^Bx(rC>%lHMV z%jEsZ&2G`WZZ9bfm);3>G#**YIK7OCK=Nqe^ZXEkD;K$~{!DG=q<_!h{=A*f&D2+a zsToz@c(g9>w2VBPYDPE~9SMDT$6*&_t>tCN180}VWA@cuD*k19Dsax}X8Ox~sd=)Z z@hI2PX<0rF;Qs*pDtUvIwWoG7no@6x4Xra0ERSky96c#(blb26Q4QawnnSLN+$b0# zztsyC8eI}xlZlw)^SXoNXDe#9zLy}jgbRvn;GND?c@Vs>zE*~Aka-GE2_ou_d=+lv z_q1<MKPVnv6ciuB8WbOb42qAE4~j>?gS4peeo$?Nm{Xz1g{6p?(kUKm<Ku<;7Cn+_ zH^E@Es0$*hS$Y+2=>D{?LWD@A!1RSJ{`2ARmWGy?9U|%Z{|YE+9t%d}gz`iagt|uK zhSEmkhnht{4i(2I44wLAI+hMMw(m1tn|Kv&rSw!zP?Tu1ME$9qwbfHQ+gDHfmW`io z=Lh=jLHkoM2zHY(_;yn<=nc{^oGP8K^^uyrgenHYLi#t)$FtEq1DPIF5Lk>ARIBnC z4f^Z0Y3FW8_}IkLHwjT*L_!0i9AbT<l=YouX!$-y`bJkbr%@<*DufqU&gMF+&_#=h zJ)IPXjOcM-p}Lo^L~L|MUl^flY$Tv}hemNB_dIi3n^G|szGzJw38#MpUi#9Lf_zk~ zRkw<O?Qt(KCyQd}`#^bjYZM1>2BxrLqc{xmLoaDt33?@9I@2@L7kC?~8uots{zD~V z-7@Mz>t6++hXjU$MhySg$PQ?vGwz}lZC*Lh+X-xEsHoQR+KCr@RFZFRdFEtKri}=Z zply7qSWv~ja-bjRMo<n+dLQWwL!n>$jA{SrW+RcEbij6Y+-E$6ejjLx;;v#n%+fKs zsq&@h{_1fH3|d=eoUWQS%%bUi3SJx$F&mywvf#|huFzPvx)-AHeY-Y+PqGjJ%C1ho z)wK*s4@p@15iJtRu9)AF7C7Fq)(QRw_M=+nh|&H?7AW>s(t=sEs?C|e|7=KtI0uo| zvcY|5w1`+ljFsLK8ss1gG>ll;l{}2SZjmyzZ`bPeldLF4Wmoz~>~%$x{L<#e3+Yb< zC0|{t+c5u1>Qk6}84;6b2sFA=c7<7IfC?6%LJ*G1e19>pwPt}0yzBoq@WNmN@3sc$ zn^#PHsHV!bQag%3?xERDg(WG&A<WKOz~W%8zrU!+;r0pU;-tFgHjLp~4EF68=ZTV4 z*>EU$LbUSaikb8&+>u(wQ&E=V3y21=h18uW$-0UIY8xSl1_*$lJT+yfS}XWyBM%Qa zU@YJB7%}~YLv1?41ZXFS@UVsZ`WYc!o<_1}w39@Hioz^0wg)}dtyQr-i0UmMRz{ZN z(&=$8C#25PMAkKXk_g*TxDWXPh_wJ>bNOiTG-tKZMqb*okNKP)Bkdv9t@(`P8eqRF z0rsoqVXdvjl3Fx1vt8ZX!k#D{h<LkJh|m|2$Quntt&jEwxDuWAU6>N0cM;?zC!JXW z-S-YXr1wcU&;RiQo_!$Hn&y6uAF?2AxRua`_E1~s4Fs(CfA7O|ihj8-i5x$@_Xku} z2EalM6rvS9=KmIOxOd$y_^;-X^Txff7R`NJkQllXGK0Lg&!xZ9#8BV5kFSnOD{K8F zA=}|eFGQqvpW50~CQ>NyvR_(&y<b{Tq`zB$vESNSE4sJ;A0;9LP_!xVY-#Dqk)l&Z zu6%DJopxE!ezh!sc`ej0UB}bkUAvO49aiQL0_SuHi5YST2~l<kiHdLtL1Z|{Qa5y5 zsD=b-<_FqsV@mx?$$N@~%zFTKS)esk>tESo*U28JDD|Ai6}IN;c`^@bdSpQ8?^qic zV*(v`oFW-0N!Ho>88Sc(S5PAY)DY<xf2IdK49CE2(E02Qw!j;TK&Nf`4wr2wnBvz6 zs%@JYbPG7--WR`Bf3c~wQ6Y-gJ?}*x`9l;hgv_z-<h>U;jj$Ivc7|wF)RJfv;;X1C z^^whwoXxdx<<K0?3$T<(^%-+?L^KFA#trexiuGG}M|mxH+R_qaQzGzQ_HS%3*wQ-v zyYnYoEAuFKt|+Uis`4P|RDdPLC}Hvk>m(h2ph|hX>d<M4`dL52LXQq$bHW^NunEwg zSn};(iu%`NPd&-Y?y!tImFnVDC3Cg%p8L+s3WaZitJwgeijpdQKUNcMb8d50Q1_V{ zyur=LwbbBgX#J<oHl000ew8F+Dg5+T5(%NW*90)2PeG+?Y^qPOrk_)8A6Bne8t83@ zd<+sFd(?}0RbPt6BX+N@L_y+u9(MQs2H_}0{4>eki^A*?n3gJIbM<EpJWpV!3=g-M z);0#Fpxp?Ygh;leo*S$9b#uXE-oLhfM7Sg?<MXcq);XV`oZ`IxQa>KXEU`C+Wp75S zu!&~z4}9<fp;zVBW-bD10+K}J3>%rCiW+_>@_ou2ya$u}p>^8XPM$z_y^WFC>^AHT zhnfH<5&2q&DK#z$kNZVMlVsrMBpPx!RxqoyG)o;94^bt5F=utao8GinMsmL<1u5Bn z(LIjJKcc`TIVTL2&-h4C$o`|z^i$NcThjG>wHwS<beQ3qR(j5_s*eoXmS{n}@+#^Z zlYe(lpW4?}A&&_kng9l#*NEuEX+tDKn3ApPz>l!G=-1iU7xC-y^g6V2vSG|0UT?gP z@~t*_a8}~3p@~CJ!+|8hu=jP&CI7P1m-OR-iSD9~@mjbo?_HVY+#wCfM{a@v5%#67 z3JS~Iz23z?PLOJpOB{1J=!^R)*&JG)dQyH%s<Cjtc(mu)Q)t#?*@IM*(O+)T$MdV0 zk&XQGVQ@m0koVjbLgqzvt$*{(>;a>S=lm<qF+kLj2cab|%$f>~n!cOL>Z|@MKboa3 zdtlLYTp+AfzO=ki&WbGmKD|lUBQJ4%Gh9%WhlwHeU3`k`i(XoU?Us$pYs(@AGkSx4 zaYEfQlAw5cG}TVGL16UmLe5wrxLL^b4PfQ_>N%sZo_-8Q8Ik|}%N7AyTQ`XE{Vl;` zuwh)5`@>m1KvCQSL<HA}Y{{2l;^h{r+6L`BgZLUB$mJVN81f=@@U??1(low4bnbzh zu?%dAWcg0(P|AilldYzXO$4t5#XU$=nADu2`~bY?^ob5z-hAaR&>T*Dl1iC~`M=8Q z#={O`o91uX+j#iGRKFx21lqM4$TP?Z7?6XMNKE`KlN;ApNUmty`OcciYX+iG`MSFS z_+gIRL7-gg9fr}w#>O?jPEZ*9(DEP4mUHW!a>pGa>`<!4z*?!5Bck;F<;I7n`FG=h z6B3}@aPn%(RXkY;dmPXX)B){)*X%xD@gO{CQtj-TVMZsND&i%x4cpsXQT-oym^Y^P z<(td$-S-Qp9pN&yB84FLUp07mUKp-C6$>6D3d19&n!$r`VffHgDtLI|OJpP2!pTGA zir<ft$`TRDCmO-yWXkwS3}1hzM64*u3<~f$H%r~&q+a+U%(&e#@l0$>^WdQ=o~Z-o zEu2qehQl>-|H?{^v6WP(FF_-Q15;NfQgq4|h>%et_JK*}6J_IZ<_iJVWJfLzXFg0r zo-{a#Q9gM*B3#B(yf7R&7zR{fFAP7Xp^N0ebd-tY*sG@N#g)FwwTXE1he{j$5cK5@ zJ*D>JN1>$JWA8)!TjXA>0h!syilo8VK-5`pxXcL$0DYv@e*EQsAWfiRLp;-uzZ!Ck zzQp2K^6xCdu!0SxrR{cojGp?EkjfdXhGBKo65uj1ANM}AOvlF3{%PCukYOh{xb%0N zb&!?M$*-mQSx&WKI9c#MG2BK$&N|)LERtf?Qn0?2ho}9kjU0!Xpg5@mr9pP_wNw=u zKaP@hX(2Iwf>5MnV!wdV6c0Y&69t&!7353BC-Z5Ja9Mah{(;#L_58U0cO0{KvRJnn zoNp|J6P$B9WGMFf9u{fTYUM>eYEvpB;W7A?@5iTofT>FM^>yViz`lX=QSWLMjk)ON zMLCG6m6715RmhX>Jx);*(9u9LFeq~R1W)3cpKIHael1O@Q~7+=`{te8o`?l2iCVmU z0$L6Ll~d)hGz^9?5%$LCEZ_8><**Lcm$rZB%CO4ei7H>NNyH)8#-)oB{kiPDmRtKu zpmiYx?UAl*{R%98wW7qXr@CYOW6k93dk^YxO(T=eK5?<_Wkn^O)mp&Skyu`oGX~bS zUd6^N!k9EHF*e@|1p(y>FA8vtr~w(o1du@hKZZLhO)WBWEoV-6rbVx%H|2GLu)bq$ ze(NA-q>apX@l2r{E&eEH-gen|p-9%m{#pYtj<Km9L8MQrS^zV>g6bM}`_8(>`F|$p z2jY(zUVTh?C$&O^ThZvh5vFBQ^b_J(RBCALA<8Y^Mbq=;gqV1e=$$Gxl26%o0T86# zDP_hS{a>aA$|n&}nuy&Wv&<0j!H2agZ=bc&^D0gfRm&&sLN=WBwjdjkh1!4~6-JWi zumNj4kAiIIHJ+<NHuTT`lam!SHY3}!L@&-w^$~upvJgT5X2AO=Pi8yo^~L3i^4brL zjoR5bya-CjYF@*0J3;b5wfUU?eB4z_1UmJ_tMYfmQCL4<c!<HPw{F*eVdFZx-zIWm zoq4kv^F)$qc`Udef6v|4^0LG0$O*mb{zm$hG9`BVI1cL~tddeEuKW{aRvZ~3;^d`D zY=zxwWaRAeaFe<*s=g!$IsDl^q2`5MLVhRDvvcmqw(I_{n~gqZioj{x$)gjUA|HmL z!$TZK1(p4ND!g9sg<1<=8zU^w*~&VddVz8kY#IMPH40@G3LXC}6l#+kCJ_C{=(VaO z=a(50G4e;(`2yb-i12~~H7Q75-WemMTwV{%AC3lEpcElTkCDj^mTx?Bd#|`Urk}cV zk*~m{S>1)PSv!6`X-Nqv3(&O<P}Oa&B7V<WMfJX~%AtC;p(n*o^7Y)D(A$lY=3jxN zq5MIhRfFVs8|Mb$P^;K5tr4=`^rvpZv+bX7vHf+j3yD+K^<v-4`3Zj*huQuCXUl_v zYf^($49p4@<=N@YiUl1b-dz*F+0LjAgUT@#>tNx{L>Q-8M_h-(Id-B(E!0r7OUEg~ zlr*3D*hKvN%?t8B79&yd|J)$Nd%7&bI+4#Uqxt+c<M3coMo+7~!-Opwjr6z{^H=&5 zFW`wwJ+r6;J3j*f=0u($_Lstm|DlpTGnFwd4sGJvTa5nYcmG04{+KNcGqWcYd_oQI zns&U0{4`$%ed@>wIWR?fa5Z-gz-npEHT|oB;1EO$2b3|Qs%>RoysFJ7WtWmnW1DEz z<BDJ+-kCO3ljw2AK2NC3pZL)7Kg+6i3O`>tH%+ZFKA!d50}0imQc**W-5nV_?7v?R z=!fu#{r1_6<t;3>d;CzdG8Rz9QyDpnj&OMmi;;PBn@+&>!|uiSu8IRSqo<<g<)~tr zm`?s#2Mb1D3IpF~9$?MPn#y2+IoSQrGHGIfp&8?<TZ*}?3dv)I)9w7%t#SWL-WomJ zMcN!SVD#f*cWmXLz47{4LU_*}6ES?#Q_foSi8c>y3<oq;o)mk4IWmfb7}+}%p4eY@ zBCYis-_&;_Cl)O6Ot{F!@E%XTY=PeDS}W`KMzwY9Jfa}Sg4u68Jo-xnT!;Y8?4(RI zj@#)R3wEbj@@bUGI=)YxFt1o+Hop`Kt^jt{Pcb=RnxCwr-Nu~NQR9ib8%c#lD8Ub} zN%`}k79k8QG3(z8wE@pBtYy+%KpgNlzW|cb`~1WZc0jz^D&5}D)>GNalX`zJIFD&8 z`1xQk%GO~nZAvsJtz0LaY4sbj#g|o`ToZ;;*S@#SLK^dci1z8<{{J*bg>UFPJxj=T zga~}rNr+JpNQd|2;%=vdwm$M(bVKLt(_n8gIWG$YCEveP{yaU7tc~YHsO-U!K!KN! z9gw1EA#nZ>xMBF88LFyaD6stay&!q8BG~LByvW0_J8$HXakn1nq<$O>TX(CUaQZU^ zYCe+edf#>K6}UZ)YLAGsHvd<ZNn|!f6iGte<GIrU+vg^hV&HV|`nu-yf*AJwi*C2b zuNNe+>to;d=4P^b&=cjqc^cO6bziwWQEF|p5*c_)u^h0%NRD>XIF5EpLN5KPHok?~ zh`2HNqOa!mwzgq8_1}QG`6K)X_A~eo&sTXSq6-o4VXVUUzFV7o+A&rMh1xvHLSa~m z4%Quoi|J%jnba?LO*~tl=VT(9oKZHHV<5Fa;_Uz{XFvAVyx!c5oo@Qti*jO~c%d&I zfXv4N$b72Q<1K|RjFw(#A%vNRhsDmi+X_$ME2r^Y6yDrm>;FTG=>ld%Poj<Hq}S%? zmnRKF5hHs-!)<JRefLN!dLH&3fa#-dR4XXRBT7k3ZWfJ?N3Q^f3n?HlJ1D6pI9Z7B zale4XA=Yo@7%54B2nEtGFCYR9M92UUA-xZK<AI3RKm-pEK@UVc1|mQ*mJgwC*uE5I zQP#ixVHH@r{!D##f%>go=9`5n<?}AAJagUVyPPF4gXVX;TL%Mt&OLiD^FGh2r<}iM z7$vXf86)}4<s2(z+#nfC<Yv?I_P)T6+YJ1;Zke`Q-i8qCg(^mJNgra_;^1xXKvj~- zesguXmkg+8w)~Kn)SEVGVZP`}MkjHtq+QalcS)H<;`$Ws&pj}*KstaV0`0f=?DjOT z`I>_czn0>Uza8vRpsTNw_MRb)6ArZomlmOOtAFgu(6r`7yxvM+v&n<3%*eex(ULWD z1?GaELKhkRXM920mf}0obdn!F{^qH`&taL}Ssm8F<-4-bDTVT>l((W_DtS8DdZqXX z(sKAB?rcA$GMPyA4Yyw8N8FUW8kwdE#k{3o<odVl^9~$(k-&FnoeA82`gu#~Ol5a9 z3cx>z3H*cFc_0v2%S|m+50%@i2LA!F3#C}c0-zxPvX^a2N3i0i*p$iG8x?Eu@)(vv zAE}i4))!aEu>Pvn;{3p&7xo2rR)!z$T+mV&iI8~2p%=_Q*$`hr8bWFj){Q&cLj-rW zEiH^>{}jfl7rX&vh054dmTB=ugMKKemitEU)XK1m=4<^`QOkQqt6NGQ%dJPl&Z2*= zT>>UJ6<o#3An#c=C?5mm6rkM9kd&)iACzn5J#*DBB{u**m6n2|kwBTZJ~M|>tX~!K zbSm{r@ejecT!3+*S0?+uVgXmF)y&iRZCDDcssN4am$vg2z99-&<Atku>gMUJXqDp6 z1D~Y}sGzS*Cb3Bd%8m1M%ydfeDL^>|DBsJyMx_1_yLax3)sU)0TWFC<t&GfA`FjR1 zo?og9Uo>50yB?mOMKX{nuiH4J+=uRj#eQ(M{v5PqR8w?^aRK5W7GH5TQ<lQr9y!~7 zOO1TjIPm{swIspOtm~B<?TpT3eJltl`k%whH5Uf8&4m^_l~E=+(r|Rsf-;v}{4;#8 zGsmClf5=N_i?+dFHL}Ttx!dN#V!^K(;0<1vQJ(SBa9BP7F<>s>tmzKUnv|t6114O% zkH-P06CR|~E~FKP4U9P{K})^`MK&6!$yYe50PclhFKV4ju0dTIqYL0q_aMpZ!9hA^ zLRuX*LRxqOpjk4yiyRCo$6QQDNb+WM(6el)79ImA_va-mm}Lfu5nkt#RzQ-iQ$YF# zC!mdU8Rf78Ncx}#w71U1pN7ozkYY4>$*CfhT9#koyrbekQe)UJfL5D9t1)ze1}IrF zvY-7X5{<Q%gl@D`(q0Y>bFz4N7Zd$VC~+&|(|wyech#Kr+rnU#3Clbkm_cFJiE^IF zuho5iZmvjYUA)V;*A~e4ksGdTX-?e@>*^I|#nn}|%upqh=c(_nwUJj*nP??bdv%{C z-{^)wN7kp5m5)eeXFhE>c?O&Pw|RL%QKWHVoWOpckY61sfsP~ptUIuNs3mMATJVTm zqarBg(0P45fx2~}c&r)`;2f_IH&*^64_&x|wR8B&UM1N|bFXWY`9B?_d`3)NU=)lD z6HuEYlC+;8qG=HB>o$XU32MrUXHUcnX_Vt3Y)YsFDnVK+)0wV*FJVtlHHaClJ_e!U z%+r{V9|qkg+J1K@(uQSc8wO=BeM@G{#kCLUS2-?(9&r%lDvPHIDo?_)`Y@;pf>pQw zQ_9N<BdVMricF#I!G?iwTwq+R1ja=dU|bY~plX3}(Wn^MEQ6f8CiEdZFyc7maF>FV z4?cFlY?r);@X3TOWa<|g)@;fsZ`C6HH5P*gQEo98Jmxb?f|ahP9Zxt+qeu(K0jx1E za8usvfUjE|eBB+{f{~;3g93)+f92H3-PBU#v8U}Ok#CL91!T#m(>Zq}O>ZHO<iAC# z6||m)T1<)&d1UNU9lh|LP1!W3;amxCo_omnt2#o~OPK`0j|6^5xJHnFviwv>8C$QR zG4M3&BRH!6e{>xD^sIDsGI$u(evu#_+)+O`Zf$JWN?SEfJ1#4-xcI5%^TW6sw9U8c zC35l9C#9MjO*~K96XiP=(&WP^fP=n`1qvcU$Z^PjFd=n}?TnbB$jKFvshJ-}BFL3h z)k(<Zv2*5jGk>Jw7h~m!J$e7`FQ)g`LgJCfY?<>qM(k0ThxQ3JAw17qljZTU>?Zpi zI2B2sv&L+EDnuD@IYBi*1j%y{6Hr;`C(7>RlpW_h%IONI@<)>)B$`qyI0iNrEUmmz zq%C#{8^t^(tsGI%YAlLp9ZGewKUjPDlpAV62#<L3ls7Ogn60D<5ncgy{<qH$388OC z>`a&59A%;{gZvs`6tG?PNWcAd97zh|9de7TS_H+T5tp;?)_eKRtC{(xzD_;#7Cty? z4`F7ayWhIotf`1r@CY~_bQOeKu5mu@ri0}9mMh0>WP};DRw<A6SFOX!)j0lC#D#5i zh=swi4=CG@${tu6lm|um9|ZXNR>mguld!JGY_w6IJTXX36&<SKR#na3pBD<<{LUsE zMo4Y5QO+hzbDTUd{_RuRLBWPZ6p&+hKnb;|2nrE#Uf&G+z(BuRZd-_|_Fm`G7*N26 zz_SD^&sw<e8U<x5p83E!cqAvY2~Ygqyy)61-ubULRp#lmQIbp?LD7HR_9$5d?9=93 za5Gdn6<J^cVdLN9t=81IJ@m`f6isc+$M4cGNd1322>TBI1oF?rG5^sWgS2K2X&Cqo z|NfWi%zN;Esm^HmusLFd0$Mi5&w}oA>aOq`3QS16?}t0)kE4&MmPy2)U!s?;B(MD5 z?Ud)JzsCr0^10e~@9xGS-223jP2frCFTR&OFwddNu}7;lCd0vqW1kGy8hi3YMTrAD zK9uE8`4Zh_^+i?F4R+kZ@y%>`Q-?{D%+W+mnW<}F*6>*4_p0#UYFlEKt<kr}OXa`i z>+}_$FKemoO;*PLhWFNuQSg<BS(eT;ejgm0ZK?Y7+sx9DYQ&<n$YT#LF3DJ|fuhD< zD(p?UubJRy$4FN4X}`8J`0#`cBl7LXnM<aZsPg8A^&~aFVR@+ppZUdi9eKwr+EZ^0 zMq);w6lX7axCQ+;1nSS=U)}0W?D%I^>qqU_|4bXadbb($ncwV@PshoxobSFx>5Crq zQ}q-C6hKk4->?m@f+P8NU;Ny#?DpESXP^3SyeU0{4+QZvEJn@O=kP7*i&v*F_9CA| zWk}5Y?b)%~!_!w<j{4o_zl=Mm(cT191j)bXhZ{y5_#@dRQ4Lc2mx}7MK20_HQui|Y zZr*M_-aZ#mO|$&7(39T~Vb7fvye7yG@qjJ<ueZt(LmyMx+Z1(Ou2LzeKfL7^K-0N= z>Lv33bRc*6U)=Fp^CR>M>}Kul&2ZwU-Tr=|{I7Lw#<v9~x~5le+#s5(==VSGnpi89 zd2tV@EtCRfu43*BmM!-a#toYcX46v9=$QM4Wy|GFu_zb|0xAT3T_g$Hy8m<O!5#XJ z{GaT8lYezv^)6Nujvg@tcHiH5aJhF>{I$;isyr-n??dC;8XZ&Do$2G?v-Oz3pGTIv zHe#pCmvAq>4phm{J5dG@P2BTc<gRjAYIx{R)6eDKKSgGs!j8-3?@P{Sgq!#cMWBsP zk-2aBqdwyz&`zD%?2o;h@AiecA<k~UEey?Xf|pRucAa8lye@X$N8=x6$d^Y)Mee>X zTRu*hRllh6`8mxXqz&2Yr(yl968)jAyrb))I`jo>K1HBO=};woV0P+TJxgVEv9^3U z+ea4ytqiRPhAK5C%C7=kGV_MRoEzsvgoWoNEw9dOe(--srTx6qBUDYpjj6FKv*5EN z-AK(sg_&#}CLrBsRt5(i-Ji|Pm7k;ci>?ey(*C47dHmCFk(!qMW_a6Pb})P0&m}yl zQAN5bP^1$+Y-g+F?WP~|l?aPXAJ;40h_lZ=cm;h)T+oQx+W9g$u`o5Uuu%B0f9Qca zzkzV+OJ%HRUOL*B7$3H4ex-}d@HMbhYwY-}y!6`@_)XVj&gX~~`%fW+K+)&d8#M&| zfX^>)8N>tjz@(Z4l@bHhxCrXAjKaE4L)3T~`E}uC)KM9C?q68XZ<kNI%Zr-In-o=- zA$q#YCZ<2ji=!voXqqW!SO323d9>p`U}H4YH$}Yoavm=-K|&1UI$7hTFt4+PHaG+} zjbe4&#kkUrQAH2?g`m*=RxmzFwJ!M(oJAJ_V#|NUcCi&s$_<w0%d3TM4rNeE@S_F4 zrw;oKha!QiM>+3*?D-4nwaf`a8x5UW)L;Itb4sh~{2StaU#lxp`duxy6f){@SG#;~ zpfdC4cL?p9Unz=w5@$-hlV^i_B{0;3@l%d%Cwh7Dp@MXVGmZ_fY6)k}RJ=EM;y;)X z2*2%9S$e;f5$2p>KT=QDIGK*og76AIQg7b4Cmq90if&xq(qBxXw-b6}#NU8K?es0D zgM07ju~vo(;=lQkdhy5E{Mpm)2{H4B5o`zldw6&{Xe~$I7Cpior|q}>TrBQk|F-tv zf_Nllnxf#=8HcrRwZsE#Z)xeE2)}Is&bXO+#yIif?S%0eaoqG&MaJnf4x3l>NLace zj)eCS&WmI`T)cCj=&IlL6nmWb^{z^sXcDtvfD{KiPJkpwM%S#XzW&dtSDkCgm3F#D z%m4CCC}yGaBIR`N0;7D;`?rbO&phyW?$w7fb*HiZ6a^m5{+i8SJ20m=`o4rV`94l) zz;H5gAWLr?&}Um=*jqiz?)tYY(E>r%d|rMY*Fshkn`3psM^d$Fn>c%;)_nbm(}3aG z>;ZGJalnXZg(i9-)gmngV23=ys(gh>#u`7Q85<l6E*}K!8U=KjRS;4+ToB*7?W2tJ zzsme@$(e=Hon)vZD(m*co1k=OW$NrOcdBW#M<xUYh5_HzU%J*hrNuyx>V}E~pv!N& z$g>EHt)_{eyNWp!tFw>PZ_jKo4|h8+3NIJa?JhJ9n`K?5J5EcUxrwdY0_f{$;wS*E zQ)lO?+n#ZZ-?3^sH+7yS$N|u2Sq3B(>NjQ$(X`HszO%)%Pu7Z=s~6sm*k@_9o(H`s zc@V;Tq%%Aom0CZma~`bz4Q4w{zvs5Jm#vNsbDL&#bTi?lcN5!fRA;BH-xjh9XDxBY zX&=yOHX%4}a!TWOTe8hjzk%6LKe%xd+y11kNZYud{uBDyb0Kj$JTEm{0V~$%M=WjZ zQsb~-)}^TXv?RN$*m|KlBD;P+yabHJNHNJrAlM<DwZtok@P00z?!!`}Du34HFSlvQ z0Dz4sTJ%zqTAp;yaGVyza22y&U(58)6zEQzF6>fFttUZ9Lyz99P5tiM`l^1jQoH{t z3rhE9xR}{}`RxcD=;V#`P{UTXdzqVCuE+k%K&MxCqwPzXj~?wpZ)RggA+U4lp|fRD z=&Yf{{6ggq+VgIP_cy(7rp?ZrF>Y5m{Fc8BF0U%PSPf#cj$1}mE6N^?XE|4-&|Y^- z9VtYpt&w<uJ5l3*f1e5~Kc}mDq_BND#pf?%_rb0@@`#u2LVC!*F!Fs(d0<Cfn}4~& zp1zOb$9|aBYHgT;KC(Z}B}>0xV0UU}#%O+OA)a1X!Vpp1pE{RZGaAENb)n8hgB&)n z`ivBVeQ|l0!CO08OxL{JI82arx!^P{`OQ_#3e2jJmi=%nC|$!uF$uZxn}hn*H?>Ph z-exBREA*&-rdUF<&MC(aOu#aA1P_3c0q9gQi2;Dtb^i}q1)$@uOFIUCv~4mj7f@g1 zZ+<uKe=>*C)h`z_UxK+}k)?q?mGIUGfST0jzw^4`v9B;+d6)HW(PNq(+jVI#R~?(S zem@@E-Q(4lnDR+I^&@5dq7Cz$k?V*-lsbAdBvB+j<tWeLbHQ=SNWZg1S+%-U*z|(d zXAPE^ajEe~yt$WqRZS4#CSl&1@`#Ec{o`Bh7AX$AU#m0ue<*M&ZsdCSTvSNrP&ftU zsi{kEFD-5?hC=mE|1t|-P)29I!&iWOd9+nGWu>PGrSuVmD3~jSL@Y36^*_8-T@&FK zB*4J$x6keNh1m&6e#d9Cs_ibVs_rg@nC_Q&h^bvQYx<E<I$RL+R;}8H{x&^Wl2m}! zpKm=by4!PmP@p1}Z$N$md*9ryUH@x89<t(FW9Kv5-C)19J7sT+P?5r#?0SEk!ee=O zNNwSKzoM(Ed)0i;TU+)vh8z2ZE6?qUhLcv@T>oA<HRySTQ-Gj^KL(A8)Q0wxOgvM| z>x9b0+TZRTDpDP1e)}a4Rhh*UvF50_EX4$B=d=naER`#AW;t(Ze^U4Uow|3s|Fq#) zw8$6XRQ)k_Hf$@)#WbtpfLf=g97Z3-V92RzOI-KN@{nm9Q8nn8d*m%5F%`$x+$=OZ zH%FRo{9<rpda8PvN>A6)QvavP)f?X7QwYza!uzzQ+UgA~NzU65eMdFfBfOX@AAM)6 zoZ0VPQ+&uWESt1VGxGPGW47A+gOj)LKZ|Rz<!-MzCQ9D2@CXtFK>CYvx_!?BYk);C z^KB$$t}G(xI0Yn7unJ0e=;QmZTEqMg?#$MgR{?+Ugw}&z$#zh@?jw8{<Hs=(s(9=S zdG}YzBXfykWfD*8CWHa&;8ZS`UK8u!OfC0;6pqlgY@P$L1QzvkrCgkb3+~^Os)YI# z=ob%t(km#|pBo__$iAg~riUQzeM|Y=41vQel>U+R0s;}^@nyEWIUkth?<mtn;63#D ztB^~-hjrksk^8_BPiVXH74z6*q20z;BpTn#gcs~PR;^}TG!L*!E&OG2`3A5Kywq|f zZ!oEA&2t%FVp7-X<_dnp%&ax_s2vdh-d#Tb2Wb2KU5&-3akKAhm?M+cgRXQ6BU9ER z0d#C;AI@@oZU*d6QZ_X)11>r^XfKC*c0Tcl6a47ei|2VrFwC?a&coD^EI%S>%t9O3 zX@{2-d0z1tlI`1R*JjjLBQ!5mY?<`;baaKdMyNzF`$MOlzDeJ{V>1oS!R(W>#;2BE z<rOBGmQL#<H$`;$JMeS<1F{8!IrYeyjf(oD7H#3PJHrPr&r@qv`EmTulWWHjPYkv? zwWOM2C`y;U<LS9AVU=GVJk&RR8&v+e?UijTu29}_An(xwUjDZ*ti8o7)|@teV&rYP ziL)W9QD@Lwyo^+5G%iKP+4rBr5LW|BVR3UIG>!{fVxaej^z1*a7o}mt9;xDVoH*s9 zU#8F2M-zoT+QuZE#%@Ja=h}B39OWqNt!A<M_avql|Iz1#=<|DBjV7|=+IKWJCA<vd zvTIg3@^YskG-O=)(6F6!%GzAi+*H(2Cw%i!HNgDptARVU&SU}OvdT{mb;oNDAAQ}S zyGAtY*P68&%58zRH}S*CKcwk(xv~GM`FGlBrrs@g{4-p>J@m-T?tQPY_vUCcF{X71 zyG5wNtYYKLc~Q`<-HrXyZQqCMtI%IJ;WnE(0`4^)s=BPNj+zBa1lguy>1kXDzak9p zG8M%6UwfzhI~)A~m#;-N{Eb4jx$PqadqM(7pP$W|-PTj@xdf(`8}Fp(l|#Rimt`7% zNh8?#02%RZuuDpQq5tJz0Rl5~H<?M5IJ|&F`<09|UTVExJ{uP)x25kiuYdUZ;7&#V zx@qF<I2k`~pTd8s6Xt0`?i|5}*QAmJHz^(J*(>FF7&|C4id`Qrs&{RkwR`R_j78u) zvQgM?#`k(;E59FPl;Bw}@0Y1|;@SK*<<V8VcIkRQrhm1xsrz}~Bh|H6^`VJ{dZ+5* zrG4&smYc`jvitoH%K1B11~So$(ff+!PQgd1Fh(V$hF`<>Vd?(*{GC8)N3+T8^7rOz z<k#zix$9#33*II*KJ)0tb6<^=^kV<smk-|=-DnJbnh3&krD*FVNcfiRXSzxMt<N{- zCllcUv;MSwQK*E0(t=}KC`PKXs9j7bbF-4DLq#Y_2M3)+q!ENn*lG7FGr2bnbe-S6 zyeqLqeayOUvW@4NSR7nouc7PL!`)7|*TKV*x`*N&&p2~#*~m^}l;LW^`ph<w%1AY6 zS*Wr{8op80s6K>upIKU1LzC<Ctcsl1z3`+B9k_sG*f;8e(y>m`mKSOjjtn~wXt2}Z zt*fem&Q8_5Em>SznMmF(*?>QyzboH{2DE)`y52eXqpyy2omR~(*4q1D!Z&=<wgE+^ zD=L=LPu)y}`?_DXJvvd3QZ>qsT2?-_s9rMCi7bas?K#l3EXoCaReMr8U>9_)M*O;K zW@-R&B%%}ey_x=-&#wkW!~=gH%F`kO=F5G7U7GJII0dUm=vA#-Rrq|SCHKkCHJ_0$ z@`Z_4uWSj{Tt;>fo!p#bLy_OmQYt+<g#<rum&J8oF04%*F_0R+Z)(<~xf!!=^;dN< zzfi4lK)>4CUR^S?tUR6U9Dj}w{6BoXc|6qL`#1hU)SwY+?0QQm#=gXaL{avvGZ>7? z*duGU;%yj(P?;G!$-a&?Yu<z59kTBVW#6-g(tY}Te~<f*`*Hv7KaPi)Ip@5tb6wAK zUC*<=cuge&;tEeuv=@G-CRpf+!lL)i)>NwXuFbKN>TpWH<dn+1Ox?vAv#}eNvU8>U zK`*}tn)SX{EyCCfj>w6|XE^x^Hn$e21|=p2nsr929zWyG(2<s1FFnY3^H#7gw|D+a zx$ak6i>Y*aEj>1a#I}UG$*S>}%q64bHu1Q^OMlabcQmlB9$AzyBSl!HK9*fx5mse} zh3M@V`0%8$>D|(LCrh}?>w_wgjb{X-uyRURAQ*)eQ=(PCD6Eu%M;+w_vVJ54G6H~O zK9}$GIF`~>N1c0?<l`7iMv-0Oad%6Gkv+_DBJjS$2bu9Zz1u7yDYu3Lka@BsBZWm- zEv&kp0;~dw6^c=SQH-&&EDA)KTQk*Rl%qUG#>EA;^TR*h$&yrfcgdx)nJ8Wsav>!l zmp4YaghJNh)l(*WT6Hyq3{mON?hvA&CyI+ZNi@}i1tWHf(lfsHl7DmXecsC|ih=VV zgFazAH{Y!Eae0oA+hB3^?xW;R(YPb`VPq>)Tx7`@vK<-M!P<}1{2el6b1>gFTrg&Y zg@!6F4(eb9n-v#_^ssblim;t*+Lfmt_uniH7aZziAx67gCZ#<)9fc3JEKZFTSAP}A zk~(>JpS+XJB=EBQxQ!K>af5#ir#Wo8!RKsST+}m{QuDN%8;`U`3IcSnQgw=p-|t}6 zFS{+BBbQMUTzF&1MHKRNUOjT!Rs!A4U5x8+>O0w*oiTFvnYh5%F>;@B93$&6vWq+J zZtN(s=USXdY|jnJhdS!|aY4cgi!wG?sWzZjg=a6KHv%tK{TVEFWv|j}fzhAW=9>}M z;hQ@_87lmtY5@h^Ss^a2=@~le@uxHVCO)<;B?$~*;3yM6-2PezLa_6kNgN*LsuTRM z8SU~&TwQEDQwM6dkl5X#x-*^(UJSN#%|2K#G9*!l-Fo-h;*edyaC7~AkIHcy=0T%9 zck#1OzGt6LtuNd)wl{yNT++i%x}@@zqN)>8JAbb=edl!}cxi#)T6Z{a<;UBt4c-?j zYx(b#Tt6$DnG}H^am=E$Qa-t5&=bCulSb8kz3V#SfBZvK$3|*Cy0e4-d;J?>I#RdM zruSR^Cu#7r>iM9K74Hi><|@(3)=-BQsk4wJ+uu5$8)_mZnKF`ah4qW(Wp9|8OuC2c z>Sji26ifS>C(T9%sjuzoZh4uM9Cs8&MNJ+P_xgVPyvCyF^ik6wt<tzEA3l6hJSHKy zGJ1Kx?3x3ydFu43;q!aH@0^`!k>Vp2Gkts9YagP-r{BsP0YSOQuott6;`};C4C8ot zh)q+c+X{?v=ljN*`*Z5WgS8ued_FitCz(t<$!@8A;%ENc?>v0<hooZe)KkxAn9gq% zdxsUPc2Y0Xej(HqGEWDFsc!q_m&k+P?F-UX^nYF6FoGeF;q?e#a3Ga*gfKgC7C43v zV_L5WM8QWf?HmF$_y~q7W+j2nbLqi0(1w|Hv2^kZFga5!dzC_-{|SKAYwXImaz|dD z9|~jd*D13`&+=~**!J&{G7x*qxmuZD5Uk5NZ3!)i*ya2-@+m@}JF8~Uv7V-C;oRet zOQW}NfzJ!a-Bn$A@@VptLxwAS4u$eg-}Ao2jU0W&TExD;HMv!9h_oO6-Cx+|9NrMi zUeJjQFX|}QV4$%mJj~D#1}tWgtikzm?Yu>|mOv2eXm;NP0VdWFOqYy+HtQIs=bS*% zLXcqIjX{V2BCV%RzA;Ja-0)nq23iU-{H;<WwCtS1taW&l%_Zk{#c*1PSU-L(C<1M` z(*La*8v1EjX0`;X)dJL^B0x(U!%%N5^an~d*F9S9pTh;-lt<3`gd<A03aloXhp{;t zp-K=1`zj5s+H+<bjAwXvBQ-c{SI+y_=W4}IA@=HXv}&+`H98^8ihTrVB|y6ks`^`{ zBM9H|L{BGw&ke4EHoEWz{)+{jLgB~!Fz43&odM+>A^9`BvVe?Mok@{t3{k(<!cb${ z_jjk1!14Mhrd3cNYJCLLPC~>^<hS9=KW3j;1$p*fFArfBSR~fTM=+|x3fknt8$!g5 zYZxvS^f8A=m2fz>yM`BS<a{|t3PkLY%e6A4f0LLPV5^BjF}~asBmttgE7Pnvy4sIH zx7?p7mDR|CG60sIsG-IL8Fs4BV2C=W@UTEbI0~YGd#}N%y$l$=4MA`X#60O|m_cjo zJ3*d79Rho(ANP<E0rIOm`_L-LZErNYtzgF=SJC<~9HGxu&@D#4uPkAlS<cAoZJWhD z1IYQ`K%@c09(Rsbroe*bUy*d*_PP?jBVx%}ZTS0v<S)D=-(@eAnRn}>?<I{1H|hQA zC5+>P>G$iU`xA8N<DS5c71vkeyz1X-#d`u_<TKZ+8|{XKwSXnRMnO3rC29Sxsdn-N zf)IB^9V=S3!x14O#w0Bu2;4YRm_ANfs-Mgm<cF?Z?f=FCG%Rl9#;oPPmdGBwBeRj5 z51x*&8z^zw{8?%!;13yIh}JN#JX0ikEj%g&-XCprhF5;K&Pv!OXstgd9y-D+l1Z(0 zIsgJ3ugN%sK{?gM3Aab(o!0s>hJml;khAgtKI{eER^dS<oCSSL^l{urhln_y{X;81 zG;Gad8~Ii%vueS`0Mq5ICg<QNn5X6wa=Px)mqspk9+eMf9-gy3La5|O9Wt(d2l&f4 zr|`8*0~@q5;+OnREB;@^o(jpxV)FCiY9jmYM+|wt<O{-g*))U53L6*c55t1?3isoy zj{glgUNQbGjfmxWLs%JU0mHePqai2D6Z!BWYppCP7RI7S=fjUnxSU&=!nNHmI`=@s zMcv0z7c1`(1oixucb`MGELLS4lAu@%QropPDQmxiye?J!^6nc5UuDZLpID2IZf8Ny zGOl`SWC@;tfnJh^@By;|F5VVRD)(D{T=6qXFS}1jAS!K?Ene9i`VC&%xGU2;%eKHb zbP*9^ryljNALAdGDz~~r(NN1<Tk;Of%IyAjFEGbs*{7Oc6!oi<NJ@UVZ)dEooZpYJ zJyLjeyT*&7pj$9Jh`&F^FS%Gt(RQ&oW%Sv{-{PUZ>FO2ND{{W=HgEJ`f&-s-2yJ5m zZ<-cJJ%^fW0%`0B>Rf)@UOEJ!I^P0f9U}I~kN_D&sIyN)(X}p;hvOx(nFhQp+}V@_ zr%9D7z;7)+r*Q}_AtwoCfT2&>e5;gwsK4p$_}R4@iq>A~$G(Gx2Cc~0zlUl?t;jeO zK(SHFG8iwZ*DmobB{eo4{R)fn<~Nn>U(hr~-QW(dXbz&zaEuKq_w$|ro<3qnrrGvv zw?89~ud;Ei#5GNV0KMOYlySUA5Jl?5Z&|irJ)<wHZ}zvjg)`BQ;Ifeav7p;5JV^ig zEz9p5=l}fWJwKA&SK*BesRaXOb>WYg$JVO13F@5Pn8&G=wgh!P@XhD<&F=jAnV&BG zs3>{nXvBV0=#J|`hM!+pxtHCB6ll;N&hAtZD0*y6ptJPj_BJK3v-xpX-6ue9c7JKo z&+eu#T@d8#{=#aI+;=sOQ6KO%`VD?|z{jdgun;$IcyqbGOAp4g>~Zf(63XhSB`o;Z zSD>L}FuT)Lpy)J5OYxW#N9AGV$#09sAYzkq+VDs9#R*>?+PO*|Di_ISVtHAV0bOfx z{AT^}3X>}wS5D)=C5ceGk2#l4omRpg;2A}UrRWz5_9+^|Qc0ylFBIl<QBiWMRhk4L z?7nM9Y+qY-cSw4<+o;p#VqYeD_VO9t?_i4I)?#szZQ>fj?63@qeMD;83v>>z`fVBk zb^6Gc@DIJ+n|#F_I>H%VZ{sZX6EGn_Q6+RZeYm_Tla&wE!Y#|-VxXM0i<qFk%uix} zHUFKz1L-Q8eG#%>CGp-iOXyaT-9NQrb#06(G6>)r*ARB)?yZ_2#)63hIRViSD`-p~ zR+>~-v%$X7Rw-bd$fU~Q+=UINsa|rXR#iTi%5hzkG}8F~?~0i~k+n5}T^`JOm=au_ z|81*2>)t1prDD*Uy`z^j5Y|S2Ugb(Z`5V1~a<=6^Ipxq$rezuXET}NknsI5kA6cfF zAC?*XNWw_tB&Ta<)k(qrwT6}wi^3uc=*&aKvtYZMdW;`;#T^2Bw=ef@A(WH2gqdUr z`u(i_1y0R=_Suk2s}B8no~!-jf9MUkcV?=^pYZ>*K7YJ7Ak1*QDg(}?V}YB)XsE!| zGefM@&%rW{)UR*BJo?0RlIjXKj^>@iqhi^e+d&Bb9B(UKa|5sq8G)$(3DcsnJ)l89 z$wnFo7FD3gRK`cArG^ZTz;sZphVbS&g}Fz8Y}eG${N<KPv%zX|^EU-(HXtI$0aVZb zC{U&A(0R$$%?S*~)?J+WKvD6WnZbGbxE~L)AVzyZN7F-?)=+^c)qYHmqJZen1j2+* zYY6?I;C84w9g2<H>Pxsh?{=Yv7Li540fcP}qTTve`M&%hM}~|QV@VaDk8E3<W*>MM z;yA0R^t!%N_)ZE=!9E$N@SFlJM}t#o#e5qHNY4m@^@l!z-OZP~%7Oq{17U;ia2Mii z$XOw5_iNpu59Zu;C!D6w;Y@wl%q*A+qSRdiowXnL_edzVc0~pg4Af`WVwn+kvFJ<> zy!@#)0}i6_@NW(9is&qe&%XE*{ef&N$f|Ma89#1WG(q+<P`Ne;471vQT7rC4{&bXq z$gD8W8BPNZo7%H;Qu9M0Gy~|Hs~^)!FQ5%bUe6T)QTVB6?#->QIImALi^*-%J63rm zt#APZd?>p+AF+ol)2dkk^g>r4s$>w;?kYeF$!o(a0yek^*kB7S1m>*o@lhe~;301e z5)7Y2c=eDeSeHJVVp)QbcU<>k9tPpdU8Y6K2GJZ43<!diT+o(qAB6IfHu80ZKDh`` zK|D>B=Gx;FOB*gS!wTgnz+_FZt{Y{tHQv(Lj*VS~I2C<qHyau=|5$b#M4%xJxS;C- z$7w(=9uO-4?GXaBYzRXQEKQQS?AC*gqJ_}FtcY7&B>yO~ojtCDe*{UT@OOXV8zZ+x z0>2!LYlaFaE%!U-K-H91`kktv47JNL4~wBA`ej;*uh)!w=Rp&tTzkH~0uwB5@LAxJ zTSk!W=5ZbC{YdJPW66(JOgfF<pQtE>WrQyGV``yj!P9{ALGHuZeWC(P?xUD4n1HtX z2&RV%p+9~wvs>yrky|s3t)qn;IK%DBL4Cu>cJ;W9kX#D>mkLphrF%rB*~x#Nm-Ja4 zcylieg49_Mgzgd434R5&<_Om0;<o5O;1SE)@Qgq>f7c!?i58*+DvPIS9=O84>H*<~ zkW@<`Tr@75#t%zUEZd;#)fGSh@7nDu5EPQ9m8pneMHaUu_#t9{*brPFl(gYLgUVn) zY0qgPL|6DMXIvzF2-(gb*8v|xQeW_Q`=96ad7tcN<KOoa5P~&?Zy=x)ort}o2Lw7J zU+x_+1KS7s#cRN7;K3y6NU6GNV(|1RxlbUDae4&Vr51O0dJNga5GN91M|;6m6GR-T z|Dj)OIdM|&5nui3O^q^ev3|=tHBhxrEB#B+P=*%3ju{2CZ2&+;z?|x+)uy*CR!~QA z(MKOk*Qp5e*2J=lDZ+eov5<Tpc(YTP^Z!KK;6;;(FNzUR5?J%SOAwq{?O!T_GL)^z z04Jdh@X>Q#Ky(^Dh0X6@C>r&ScjMhXGQ`roR)Bfi-qdNo!5dSbMZw1a^>J?#Y5b2! ze{AayI9faueE@i%9^iq%eOMw`C(7HR84$551#NgOpuJ5n5m!bF*#wYlp=k;_!@mXt z$c-YYW&C<u$?3d4%jtjAmo|{i+v{7PEiVvcGnU=AU70E$K_?96uuTZ;Il!A+5g^!) z$4{8iFP$YVbCPMp&UvzmDK7ePI9}8L{V|DB%eF`yQ3-DO_kAVJv82^k01;B6MdASj zzECR@1^QQMTf!Ga>=UGajXd}6Jpv@YhS(T8X0<7M8>`N#2$Rvr3cXf@$==1vnko`y zBs(h)_r3iLx01!`X^w~bGd7PZgYz<>=;O71Y#0b0t1`2~z&nH9Z!bV&9l%hHOBbY! zYKXzAL*zaqFdtzoA^k3&b|uIKzIe8@39tUU`4`v6HD0Ts->#{d<wa831qP>Ey`ytI zTT{<G?o}{Cr>fh8QZ0f_|G&j74}?9*fUsV%61@RdsK)X*TdL=W7pfQR>sr-Q{eOJS zLmy04cM7H+sJIQ$vAHAWTMTrjt5(R3V6n0Dm1(>wF`}8i&SP?pXwW!a?Gn$YwBhqP zkD;Y%CBY3WOpWAWTWVJD62!FQv}*o!uJ`UkP!d@6dTS7DQ{j^7304}Lz^Y``N_4p- z3Zjgm2z5#-eI<9$_-n@T!XG?;4`CWYOY>@5R!8Vf#c9g=zd}zg|074cNVZn#!q_hS zMLJ4~P=%R6cTh-`YxWNovJyIyBCZh4pf8e5Rjy$iI*Px_l{(yZ#gUCcfe=vOF(@Ev z4hmR-0@k2_wD{S(?xp+}t8%@Ksf#ZxJFr0=e-BSr7J4VN(LUam;uAj`SW?bEzZ<xa z73P-|p-HoVzCc>5T*DbGWa0b7Z7*fUOTgaY@<);)_$|z#-YBr-gT1@(SLQ-e1id<_ zxw6FDxLxd$506ZagML@f3t9Fmaawy%8TV_Mqr;77KTV<wr7MmCl(x1PwkChSOIv0M z!LWIayRvyrIIwO1=n<T)8Wp@yK@+@CIVpI7@=@@@ZoS%Hm}bQxso+w^f(h3MHI2CE z2mc`%fQ>eO2mi?oJqQcaI|xgNIS7kpIS3<ruG2x5N|P;umE7oDnGjBFUOy%UXT3)S zXCKo9XMI4WUZ7I%LBR`;se%`LrUetcdQx06KabK6mv|2g&U&?j+~Xj37s%ZYa<>9e zW&|&MPf|3ZYb;TJm_MSkUU5v`u*j^~2mrflq+?r@Nf)^XBd-y%Kb+k7d|GK@7XBCw zF)iLI!`JICgY7QuW%wigRb6E3d>KAce?=GBK3s<Hh~3adQgzVpEu`8SjL9j1O#UK0 z7!6CR&2fN857yX{8j|NH0=IXxq-t9DiohKLEvXLbf67!!*+|6Cp|9!pqBDI#85dB7 z2>!u724!G>%3wg5WAKl|ZBS-0Km?Al<tj_+i<Y{Whx<^EhWL<&l4M8|Xvi*kBuOSS z8AyAQ4rB|NPO6ArL3cfB?UK3^8M~@!*1jxtrz3Vn(~LShgF3+Omn$APOM0EwB{}ea z`ZZd~BT2ic{Abzk34mM1hhpye?-kzn)xo+AqiPxd#U1QIR<u}BghPe}RQHL+_oDY9 zXv$xTrl)40NZSjDWz{@elHSg;dHvPQF91QuW>Dr80JpE&3oklt3i#i6QNEggSGERa z#B7WB=e_={!z6)qm>CP`PCY>NtQA1@7C^O4IsZHV(WD5DM;1-u>Q3SSq#vvSNFP~3 zz3WX?y1qREAPokO*tCQ)2O5J%oV=)KRYuE-&O3LIqp_E;4u)p2_m%gjKCB<)=aFf? zFB^An2ICuwKAaRkdWMU+=2dDE-By>RX`}tKMKiiHOjk&qK_c=@h}re44~=sZqW?+K zbcixzzR!Ie9DIs?WZspQ@^6&6a(qf>lqK_n;AUMRL1Br=AF)LjIb<44?sbuMh1iWG z7DN_pa})3<mySPl_A*BnsI1-2y+GKKh%7L_lY612viRcLQNJY3;i58=XsaP}<_8iD zx<ax6;P(-m$e$<E1;)`S=}(J5uE&=UZ&H$jESMj-z1J0TWwgA0r*uXf<g*6CJ-wv; zGHmUVH=lRC=AHH=W_DRii91(A4jXT69uQD>LYq%)%nb}eLrpz=<Y#_=jc@pM8{#SX z_3Q`d-`xb}u`$J_;X$jB$(-EQP?YlY>&R|2>dACsWZ#C#!0`0=B=R#db9B|B(7CL% ztTed3R^`%-?z^uiZIy21eT|Z`l7HN6Rz0jVY*wQ%b_x$=DE}6JyY~5{LaOfiFTWEz zBRJ=Z)8te1tF~bUzw)A|&p&^Vx*w<)PZV|J<+a0Df}2Ngw6q80D!PWYG?ZnRjkpy4 z;u$+Lm-ls8dh(WA@{8lV#Z}V8=y%H-rU$zXDaTeVzwVx7{$1+%q_E*Mcao{>=U1bK zUk}(7TZ}E!4-$!E84{<9&Y5HSpR%{yo=tAVJ6hTofRHAyN1DT}$Wq~<+9{5$*Q!`~ zJc!_}%W}99lxVCxt}i!pAaL&nB9l&=OQwHWQ(dkh`nEQg{P^<l!|$1nq^HwCO>^(} zuw$I8_q)}fh92GG%Wb<<<$E*=S1U`&?Nh8`{qYH|RhF7N`6<=0$Dm4I*{P?b`wkYT zCd!lECy7m_TZ)sK=gWzCZ|^J16(=?KYW#`Vh6UrJ)ZDi8-_xi6+(E;hxKp6FtV+5$ zWA>l8Qq;9<<)^~@V0=6E9oi*z<K*+O)QSg9eR9Q%4n9P+&E(v^&??p^_C%qXRL6EU z9M5h)oKrCQA>x9EoQROXbEVWB()qiMlB1aTj)wIbWW2QGs8ePKQ-~u)=mdnPFc6-q z$MIT=cN*Mf_2Nr$E4z^>CU^Pngbf@Ir45etPjRGLq4GW?+s#&>5d4X|q<`t<L3PBA zh8}RUhze{?e$0Vk5#`<$5)+5Cr^vpRRKg^xBueS@4q~%W$NI9}VTCvzxn8(%9?(fA z4!p7D>JY_+f<nM$7o_=8Ev!r0oM3B}G;n{&M|m`E<ao$ogn8Iugcl@nkPyQToKao! z8sGbv;EGNY-#DxGP&gu8W{P8%cU3GBLzI>1mBS>#hwT2c43<)Xbl4eO7F{ae7#r%e zF0m>HDc-8YD$%N>?_tb&vI9lPR8q+yrGutlH;#|*eQtJQTJ?WRv0%~D^_lCdm2rhO zxBxC?hZJW}_xtrk(WR!2BFDmxB0rEKK}rHC7o?iP4w_93vIg<Xh<2x;M-GUZeRQwJ zNm1|rNZokrO8sa58hiBgm~3><|8%U>xLjZEIGSDF(XdyAjHkegVR^7(3y_E)je-Qr z2WHpXlqoc~M*0v(pri26jAX}FBOK38cD*LM8h9lv2_?Fx^sle`qzBQ}4)@VcNlBm< zS@U-tvIY*!j)krHOgk_bBHg?0$HXZ+Qe^WbmF8kq5?xu`h^}WrVgTv4E7A1?q(fJt ztaY!+2HG+C)Zt-x6>ep_ChdcM5hG~&|4;L^0UBzQ|1@7wY|t?hRU}Y?Dmo9+uVPfu z21uWaQ8c)MUQK`^5Yp;@3+YjdBuE1D>wOt=9Q{UnCTpFU3gh$YcF=lc?cOdB6Ibs> zfgGO3$o`S^Q)Q<Wu)Y(peyU?vP*v=>JyDhqSpPM!{vzDV&QSB9@vUmYACUIJN9V+1 z{EoIgdq#8eJC64%$HTtB_y#*2gpaM<^>4)(EdRa%3>D%DYGnhs?}sx8COdXvt76|j zBFY-|$juer&%+M=$CP7`vJzXU^AI~E8{?<^h$1BhZr^#=ahwKf9D?yx_BjZDvU2Yk zgQa?XN^>NY_Y7h`ppN-vy2B!HJl<V!VJHATJ8%Z#-FsZdENM6N2%dke-WmWaK8S#= z$r-iu$*;-IIE2EGU@j--JnA8ZJw{Rq_q;<Cp5)m*++ZUE!1NY%Y$Mkl2F|v4cff^P z6uZgAxMZ(h(3w^^|H$Z)eEr$Pcs0#IU=+9yM9lt=X@}5JYxh>BnDZ0P6!uiO60WL4 z)Lm9=aKW@{5BZ3wF3}@rpNop>QS6R3$MIB6!a3DnJ09y*G17oT((yi(L)pLSK*ZJ} zNwTmt*-?j38p^#@Bj$XvJ%ybU2>7Z)R9^|0I{_8Ms-%}GgyWGJhHFJ7JGOgO#qwZ? z5Mcv3fz&*5Zkw6uX(h$wwJOPRbS^k>jEEv#21?BCI93Hp>;&#Dr$bwnGEN3K-`0Qn zTf9bUs0VdG1~Ohwb!=s=Voi1-vR465U5gU!Np$>ag;4r+RZJ)edimook=Kk&RwcY+ zaEs3+u;NXN81uT~j-rzhhmrGcl+~9tNWX5Yk_M-R{X4Gcpp;x4>8iaI4<a2~50~`2 z@}sWkr#POW88{~s`5{6Htz>>pAoXQ;09JH_{tIwKAXNe<)BqB7*n#0Cs>CV<xRX?n z(m+a2;Vi7Jz!my~qy*AIIWP}c>DnBDk1T@p#hkbzEmj<tj6&Fu{=hPHK3K5|nh7lA z9kY+bP}C*Bwt}RNsFK?8=ssYfxDL^hq^*hJhUq^x&qEzg1Dj8QN;~1gn<<VxYE}C0 zJ%LjK175tZ`|<Rf5AN=hI0|%1a@3K~5wu>7%tXWZDyAH?4v_BcwlQ%>gASZHGUrO= z7r2@dIk!){iWP|?3Z?eSImDo7?uy-b>C>3K<nMd0eHpd+(%ZP}`-d-)U5U`4o<YY3 zR8WXJQ9TFXBoE+3t~+`H$0Oef=Un8iT9O-GR;7Ws_9TaeZ0{??87JlNY5;7gXuw}* zB0;rwV?SY9gj^>6QdqdfojZA$oIBY~{(q#OXH5@mVBGR+l>X%q7!SJDA%_L!;LENT zC$-3#qo;iRX+wv>2P<RyGIFv$qUVHdkc@uANCXC9`+p{P>II)G=*86BPWr07WOpK6 zOpn|{3Q8L;3&g=CZ$H1E$1qU!%<~QO)~{f#)5>h;u<MNzNQHg}w0b2n{x*nk;|>fN zR;&DS!`|-gGBI&Hk0=n~9+dx4KU@s}%1FA3b=iX`6xt*Awj4#<lkdi#R_f<B(F^wu zV%`A12}3$lpulM?yz2-`t3+l3r{P6&&;m}QeGJCu^T~npQ!3|*+$3C$1`LF(V&!%s z3Vj0x`Wr>lSLnvy7fpU?FavrK<DXu%($ImJu0WDf!G&Jk4xzwJwhF|Y2X2!65U#Y? z(jlrU1A6#dRFI9FUMBDsUej<b;4PwyaXdb~aLyLc!$<z6Z|oVwr2moa2h~BK7FE*i zAG1FW;CK_jv9u#<9l%iy##c4wz}cbzs`CL3!;`4a2Y`@+igE`)=mid=1I}5S0(#Ac zydI%k|EQamm%76&QUwJB!I9Vz1VTcl3XD%?%s~qfgmyp>Q1%oE%L1dbEBYX{YW;C5 zJQNCum{1j~iaU{Ar$=tF042)*KLW<gUwvp-VNdz5{v0XLV#(13dC+CB6m>TsV|qta z$Ri5-8t_69z^MTl9iO9u;EH;gz;oM2p`t=uiR|6Iayanjs1gMba(Eqy(9r+NC<I%R z8FoNNp-MWvV)l736gpu^rFV55+Of6cWLa3M%xKy@QVVWnpbs8;47|^O08ex#vR_Vi z?D?xopZn)luG51RIMP0CKqZ#MVt@{h2X;<IHKfVw#qWYZ5{F{4k?Br|!SOu)7mk%m zb);Ux9@vO-r+41T*-d`vOZPfX>fwulyZVX^WEX9c%;(ub%(8Wk&wJN<R!ZkSE9wz~ zaVy?4a5X`IhC>|Bb_6O)RiQgt2e7+wIOkIZT;D><KwyZIuliV=)NIs1WQd0^dtI#5 zV(oy)G&>s6c<G6QFZ872oulE#cVOo_RKq$Tcg;YyToltfAa~_Gat^OiG!Uck5w!Js zcO^u;B-ku9vP|D1(~#hy%|-dV?BLIhrW!`*b*5=)FL{k8RJ-@6V2Mzt-ob?z?<@M= zS?xz}%|A4e4C*l{4Fp~44XWr;JgSHhr2S9N<Q)4JqGhWDb>coPkp~$0Z!{!uYI8jv z1XtAaps6kcxieX2Yy6ariLrY;(xQ?+uIZp|dEj#LP(eKaxWLcIbi%b3Qykk>t74Nq zi4d093DY(Hoc}Y$aN~SI;Xlc1g<RFY%n##vMT}En`<?Rup(>|G>cp*l&p{ol0wS6Z z_)-sC_^F&;4J&AR255SSv#(&lY0ke#(~1pY!P;EjGs{;5xzRm`+NAA><v~wv*s@5i z^hwaQ=8lZl_4E<I)X}I0Rz<z|LU7h%49?J^(47#9<N2P1qOITP#xsCA_hb>^W{IVt zzGeDjK6KZAa$>lhePu6$cK`K9oe@BtUH?_52T*6v;6e%TvK~bBr5?H2CIDP4k(~>4 zg|{eC8#!R=ih)3WSwv=zHkVh=vgS)ZbUP#`W&-OA$-hH-`i;`+2#|9HXpqqYcnIAp zUz8(Ju()?{Ngg<QEK$e}_>pu#y_CA~`+wT&<|~-Vm)^&b!-91670TpBw}V^#kA{~y zh<rAPMRyqlAuj5jBleSFmG8JCQL3?b@LSXSlCF3EH^1~1@mG>mYHafUxVx{qb*z+q zF~B0mmwhT$3fDLwvVH-5Y(S%b-BIggxAgD@xw_{P8Ccn;chE5dSowxtCL@lgq93jW z1B}6;Di-BHgy_Xh_$0gf3J%47a%vc03~~1rl8=>g$Q$U0m2JRJFp)wTXO{Vi|245u zN4{LL?-LwR>Q-`YCt=a&cu%1_%ofKpI0Y9zCOhieRq6k*{h8(~j#gL1jpk$y9R0M1 z%g<&HM5=<JU8gqJZq9&+Dm(i4d<Xrn4yA{7Ll49LNXd;MLV@#ke1i-u3YoM;zZ z3(&ZB_NrJFS0bb{cEX1TAm=g&e}Cn$a04l|fRqIT9jx*V_&FxhOO?*JPjh$>{>Z=| zAZ%pxNHMq-;15E9KWM#F#R|rZ!et<yD*_Qek=01AwU-`$Tn;hhL$~teu&B8CvfJ^Y zdsIN&yVkImN?<Glq4~V5OR_`-4K6U6lG}}_GHZkaGVDpD177)I4oVw%WpXbrxuOG9 zmkO%uUJj|{MYl48FzVvVUJPtfGawRz`_oINm-$;{u1fOxv?%BiLUAiQNvNQ8U=Icy zPrvog0}oNHpk&88MpdyO#Bo05_+4fsj;`eaq51Q22yhFnhB+(~j=t=TaZ<Q=VCP@) zzpMF@*KEg{kCuAP6%~6KrG9JXLrI9!hqe$&FDok4Qe<6jhZHp6O5`wHetbJi9qi7S zZPL*C1Tj0`Pamfu(T|r`u`(U8GM}A3LHH^Eej4~%-fA`lFVOP3qT3G=Je^R{X8<Xt zyGIqed|%li0gq+UQ^rL1`;$EJV1m~ea+4Kg^nwJ(f{YrFv$3zdx||_8v58(iNQhW0 z=VaM&;f3`}+|n2Vgu{R3IwoFc3o^b$!81I4<JH9p(NRtE>XC;OXK$-DZ>V!cx}Gqq zzo}@`%66W~C0*PYu%@bqUght%qze7I%0FO7m1Ve^CS&q`la7R+&1$^@xd|s$baOz0 z;Yk&JVjNJU=v;!42tUP!D$630R$<~C+~p{w46o3oLW@P#cargHqhM2ODV|{zY--KJ z3yVTZpDRoBlRX(zy!L+`d=R&KS93o0TIR6r^-pix7>lA>?1&@9_gMB`SG2J$R5`OZ z?y<k{=MguK7oy+if%k{qrZ*po(hz21Hv6nWZ?K%1<08tk2P@Djw-J@IntdR}$zvh> z0*`KaQ_;x;DLx;AXHX}3bs-=+C7|8BkYbVGqlPPOt{fL8%WwlDnmn#Bv<QZj#BqQW z!elORK!2D~SIb^`wTp4QM2XMgMKq_>)Gz+Y+wtGLS23hWkoPZ4<`D;!EH;-=&+(Eh zJV&13FeHmqe_j$3LiWDxzPj#ZLX{G`y8hUPs@{2(-^+|Dq;r-3F_J1vxSHl=>>S)G z|0m<wtLqhZRM&1`rBIH8iEDFV%^c7PVNA`!E3Y0Yh}inYNx>bna~4(0P{>VpQpF@e zcl;X*<itJ6s}%+zxD&nFMY9#z!S<P5u#zem@Y7@Sig}gu%-~8^4OSZLT;h@xfKL`q z#^{dEy}_dmneP@i7if&|+GV-^_t#4WlQGO@8+XAL_qX)s!2|pT@`V;q&$Z#~t*Hfr zPtM_DA2=%7;yL^S|H8yqnM|mki12%9P=(Hl@ISr>+9HzXb;r5UN&xbYD@;usL%?$Q zt4LwuIXMnguFr*;azIri=b}3`8%E&ANf*<%Yx@0b>@I#Zu3A>fW>t*I01fKVLw;u9 zu&~yE6n{>{kI-&Y*)6Y@5NA0o+`O_k)SL14iZQkT3jrMd^`K@0jsuh^rX~o0-W!+{ zOnK`<#7a0O1^Z3^Xvr0FGei_aQUn%~z-0PySQO(Rgc6chI}@bS{f!q@Omj+0{o>yv z@CFnWYJGLR(wyoF13*@SoOK5%fkJfF-&9!LJ{K4JW5p5?7bx0~&;KBvY-3#|xtg(4 zpW_h|WRvB32c9W4RabCwb$vS*k3L|&+sJ>Zpp*T7ww?)v7fsyBVn2I^x5_RHVz=O& z@-MyEnbrQ?xE)ca>ee;@(~^U24*w%ts-Wf7bssw_-QiV!Z%ZnBz*T-9YbvA;U)vaR ztr~4mb|X@5cs@vr>Y9*L(HX#TP%k<cR?GoCvY@iBiSSq5p+aIJYlDAYJyZOzUm{)r zraSH!j#pdM8+XdUGc4*VKYWQ7#_1{Jh<HvMv&Uhe=%0*HcmeJE<Bkn@wY>Y|PDOZz zynD(ID?!J+uZ(+(=M+8X!S+P_PsS#^01ad;!K=|g53UCNi0IYz2xtgE(-1)O0)Xb7 za~7}H`~ft9OXA`<7#GK6N^(HQ;d2QF952VO&5_S*3JX64`<}4jqN>M^6Z0vXHl))X zwuWDAtcoLwBNk&H+6M!EH%;Bz`m6VrwAlybi1C<-x!s|rmdAOPFTa*PpWZjTF;>%L zX5aFUa!+tw^KB2&Qp50i4uA6QCOCekb)M_ToFzxV58HXE!@HZZTOQ9(^ttL+-wU{< zb8O047d3anAt&o0I<0g=@0Jtd-<fK&0{QJBVtY?-2B^8NxfQRuuDT_EKlreGV5Da- z6jNSXZBcXJjKQ5|<<WxtE?L_x?Ao1HJ^K9~TrqP^X3gV)at|@GJ1r#A&Z4<EYHI7v zp=y59(&wQ3Cf7o#gQirb9MSJKlj#n9jZzrGx2>eZuq#sA-%mIK$Wq=Ui7SWiCA~9m zUSWGb^<CQ-5^(hHTWJ@^I>q+ILEv9=VJaNZWYA?$92TaDz-mpIje-JdTVGBV)cRJ| z0}pr4`t3FO)n_bKA2w~xa79|xeWWg6TBscvhs(cqGM0#kO`Y{cxeepHPZhWcV)gR% z;1@G0w9i{DE1D%l%9|j4r>yqxD~ID(yfb^j!Go*jrW@f`*D01%_28@g71mUt#;Ya% zDI6~+gy!%YM{5HEyGn~e8B-IxO7m>1%Ykk_YJDDCfm7ZBB?iiAZz8)}LLy_-wx$k0 z24`4)*~PV3+SZtH1%F|Uc>F{8hU$}!j2mbwbjTZP%g)6u;Z2ae62K$r9slo@LzK`? z*n){7I!|{T`vM=TeP7xB6<$kJSJ~ljJeCGRp3P~H?_QJ9jKo%;ty7;h@m4|3Qt7|@ zs^!f~lA%Typ?VMJ<Rt9o9Qv%^tOY&|?6W2pTNTBqd4>a^^rinReb5xh;lG@|Mt9d{ zmfR)K0;}&vB?hOl*Y~+3o=tmIv{H%upB{*!(vd(9d_ZOAy2`&Bhv)pXRIa1bcQYAO z>(qBM@y~-piQq$U-%Zk*y$5x!2yRohAYro}IOd}!eQhi7hL5?PA7$yY95-RAUY@Zd zBS41=4e<G+bN5U2ZL@4wH{~?u1)ZJ=KhA8s!lwL-FFQr*@ZDtaG^xUB>Av!8Fof{) zues>*tNc|4REXXDf1kgfx|6}>d(cFw?+EH2*tJ*+vhDw}tNlTkned>}iznH7_AF5E zmAbZb-N9eBZAMJc>w(|SYxmaH%SVDAw5U+|nd@7i`Syuj0<f5A$LC#Y#23@~AG#Pa z7X2M~EKQ@#g5xJR4w8lE!s-F=#O9)pK-Bwd4j=hn%V*3V)MA|aPGsxq&fg6BQe@M| zG8OzKXBt*(psWgP&vq0G3;q&U*BxwY_GMRazKFOAQ12-NJ@j^Z@j?x(8VYRAXFR(2 zIJ~7k<H0;M^v>p>^}Jy7oy{TBdAiGsQtmYLBfXl#_ad0g+a^MEfbTra!V5FqQ(jE= z{fK{BpTPy-p6RV7y}BMa^k3{J{rRq^wm!SmpujN!K8(0F^U|U3rm6qt=&Q5-5>K!5 z5f(nU|Ne5f(PjOMnVsc`aVfD%z({P$hB74y!id-hc=OMV_pKFUBAYU1^HN%OHf53X zMNZK+esN=wt_`XQu3L{cJ<qJmYl~mCuf}TuTspkNW3}%oV}7jFyNwKNJpGwEUE}H+ zl3QD!_dO|!p27Bp3gNV#<L2f3eR*y|OZ`sTtEU5Emfvf2Jeoz;`y~QGCok7Xa@Mcv zTnRvld&i3a@WXe)?gH=!fpD$`Ir;f!P0AyFGULATd?8*q1DyNG0_`{29V^PQgZJ1K zzj{h(T^<&dvUFH#D+^@$CUe6w!+KD9abEn(!C&racmdo(nbAo^#r-P*<ZIp}$dyBG zY46Ml(7%a|ydG>+oNmn_8PJ<}5q=poRcPoczpOb`))Wvsm1m`h<BsI%Cv(KTm?1D; zTlM^?w;poQZ_u9KaQ@@2?s3O=8{CwjsQ^&kz=!J})HmV_TQ1hO*(Nrwv)6Z?PVaj@ z)333PWg8bUA6kgUt3ma~mlE&{P+jHuFud@Ap7Npu2o~LT#V?;y6rYuX3c0kGD%3zz zBHjuxeXEeS%&;4jel#zhp|)^s8%c%6dynNuvrNP4+awbkr@@J$u`7r5QafQ=?|^v# zt7GFh0I`#f4Rl#MDm#dsK9*ESz|J3C*?`Bqdir<-kpAAJ@gwe~7yl^Rd`jYavlpXR z|H7&7FJ>D+bt9+uuYaX)ek$~skZ~p8$ar4x)&ec&3W$&1H3Of{5Ag&XX@j^C2sudu zG>iPwsDU(_@RZ}_vcw!&9kBXeG1E1x)6PqaKeOK1{dD$)#{0;dE@=_BShY85eHJ1` zetjkU(OGK<;NWNL*M?62v)Irz^5cp(iKqVQ0QiJW1VJjWwc2J9<eDY{$Qe=90|2$s zp$gRjYNbb&y#c6|@PCanY&TzKe*Xg*%yhi=Q7heL@46cCp!kDL-~;ewLbv<Wx5V!p z{@kQSU>cpM7t@&NE;LijG&Z3tRGlNRRiiLP3M;Y>n7n!pFuyKUNLYklR+B2*CXyxt z|L+sRe%6Sua5;G&2ITvUlh(o%Yid5H+Rk@R$4xXB9YrF{hiwyY0&90~j{Ux0_soo% zT5RPuk;L+->}h>{UTso(dEK*;qPVfzYYpG_4>ISRivrwMQ{0NJ-P~4(I^}8@wLiGM zfns}1&~8<GV9HPZ+l<HWG}5d6$i>M_%CG%r(;LsWH2ls>?>jq8tqB;tT1A^{dVKD# z>8<JQ4H=l|(N_>Z6}P_m`t5SxO{sOF_m|=3(uMB3n-zt-e~&gws#&GD^11TbIbfF} z3(5mGBRAs|i(=zvMzY%O2^0xTG^U?7+g_MlrRUS-ML&eDbsN2wQ<Q4Xy-g_eT!;rd z@FfPY>9mkhSGau~O;a6g=DM@dB>P$+ul9+jpQ=fj=N6soL-!}ywRx0mqKjwE?@RfH z>9#+L1WV)2!$VK({7<<4mV_DGmyFzG4Yig+qkAWLqx{r2q^in?N2*(p_U{dD#wL7x z<l(aN#rQ-wJQ2*xeRM!;TbFclgLydz3f;GNAvF`EH8*Z)MV0;4yn=Ek>eRE5jlRhm z-UBPERJY;=E<yv5CfMt$o{IE7ZHQ_ZMflk`>E@GcHk$l?j5~~2<+YDmDi+9UeBP2Y z-|w*A<^)SP2Np{P8L%Twj|gk@Nc;D?Q@^@rC~9g^iuDMk@X^Y^@bGxaWZ&~T#M!K` zWV2sCSyl$b^z=NsO%U(WIO^M6z$r!0$5DwVA(A^`KAwKiXangMt4xcU@Liyo?Q%~k zXr`jHQms;5^-iy~@5$K>a1}_nM>^ZmnjWny#}hI>=Ky(BSZcY{otLX8awPt>w)hze z2DQ{J8lSWo=^tz^t0{evNgOVysnVVyrZq6!v`MPyuBkhHJC#{ICuq=NJ2h-`(v;E^ zGqhI}fY2V@lpY&ywjuc!g2Nv_N-mBnnW<UYO|^<``OZ^Ly5rQWj>>%AZJv7TA<ovo zkd{Ht?1&JsnR0)tI$-gD<sc+JYW0{D!?hCB>G-J7Lan(J$~WV3IoLGC|Aa}YdFYg~ zLbSB5#NPO@Ti)<1P}^$la}g{l`)iNIXB%tNF{@t=9{YOJflK9$zarJN4e&R<xZ1HM z>EsUm=zX~;k)OA^RGd2ODst9N|HI`PTLP31!8;CNS-()wW*A-sG0qZfWL&M%$)XEp z<lV^yTlpahixT0Y>tlTDl@HkbSolH4+u$GzI2efmqX}>@vh<~NgWq6+4>)iDwkVr| zEy^bnrT++~?ukEf4e4ju=HEOwyilv5)&eW&;|XU<1Dj;;hij*CIrlJzi`oqHtyiHu zE9_=p*@kUx$7!G+fy3ox8lm9y&n#I(>m`fASG)%H5!fT#@MShkGAJT~`g>+P@b3M` zxdGE@-+Y=f(B;3~wkFO_Nq=gr4XAsx5X6rQ>gVtEWm7v}lCgMSGx+6%*oTd)cMR#- zFC|{!P>Hzn3V)9I4CI2!wf|_|NxZ57*|s$Qj7Hmq23ScWrf0T_Q;UvAf4Mg3y?<5O z`rtj>n3HtIb?&W(hs}k8h|lzXlw}HhzXF-1PCTd3I@l@4;7^giX+PFl>CRY2^$t%# z3K_FVRK*33C!z0#G`)_b`|=AbG8Bgi##-xTj~h;<n8%wxYM@*{71GZFr;CIujwvY- zh$6k4rN?frY`XgImuI-m>mkIiC&T*>evfQ#$zJpI9mpt5w7Py#cW)+7lftcpYH6Ii z-S_OqPXhm>CM4L}!~NOT7VlG2X{G6g8WZM(PUp}kEeU<Yr+3O-e`H=}&rtCCuyl*> zH1{AnPct|e+Y-24@#%nOh>&T~!n$vj^PQSUyY&*1*w(Ho*7<9Pe+!amyaYpD8&haG z%;Y=0f6lqDh9oSyU7O<5mdbo&LeV%}b&x>Q3G>FxK`{<no_tSBS>Tr@ty8_VUetg3 z^p6MQB#(X(p8*%O!ba6ufAg0?+Xt7osm{+vCo^)IIpTOtgC>%$XhS{S<z@Ceqr~-3 zDB0ET4}NwTvy26cU)NHquBq1;P}t*RI6DA;(BCWQ&+GQLGoL<tU6456ud8osCR#f` zbL5}NX{`Lm@G-+yw<abYuD_lX85vqx@jV+3HU$Q|eNF3fdCpZIXL=<+J*ErI&rweZ zxU=~Uop|<1dE+ZHvnNjKP1t+mox2>+?Z3lTPG#(<%+h+}?V1qlC%LKUd(|2JmHWnJ z6ctN%3D)c><MeY4B7T-8!3Ownr?tpyA;$Rv4gZcj&*HO9KL-x;-%%#F-m-#IJLLUV zw<`(^@$p=1cg{R1YfQOnE=)~Q7)$Hi-27NYZeMV5iO$3>QuN51Ce~*qWgatB-~8QV z8%;1;jr{SW#P(j8yq~7??$cV^XzEdrkZ4N*m&2T9^94TATBP!O6Y2Jjksu+#N5d@N zA6J3Q5q_H2On(%B%%ed<Z(w6Alx+);d1^IM`AMT~bj-%Srt11~nJUwD!mxW1YZL93 zwRvjir$@EFp9?Z~9*%CK?jB__I|YqzRw#KP3uVZ)<^x(q6+5b*c0x4`lv&iqOhaG1 zf_N~Gg+V7v=6qTLOXA+5(L$FyzJ|m<)u_K^9Nb&K_(^BSKv_kD?J_Sr^!h6H{aS;J z#?;&`iIagCHTUeI=MqZbY6-g4>x;S_w9$}zUiJAY=IxI+v?ld>85h33pgnnCB&+^q zjQpQQM=bAnjx2MqA`NV&rfi4P`YrBZ`J3`(rJ&BSgb3bm--P2JUiXx$4KFV`$^Q&^ z^7Lc8aXjm?0sjW(^37ufKiG;xUhxrfalDcxUSRb3x1Y8AC)?!(3rh`Rt9P!gZx#N0 z{+9QFJMWkA`@yS9cUNpP-}%f9URWJFmQyQn9r}pXx@_%z#mMi5l3H5lam&X{`EI2k z<mYiSSIK!wS-fAfHcdLh%34elV~~E1vv}<e5@{|*rBM;=P>bQ`UX-SIkwQiGTpffr za<sGT^_)R&-kiZkoB$KiHJ!>)Do;VK!Qq1lzH-;}2+QhE9Us++gZ)W^{G#?OCVbyl zXTt5iK6z4BIM8}Cl-^=|#4^>>tRh_Uisd-mn=|#!BTP=0M-Nwh#L}J3uP%wfWHIl{ zK6eG}Un-mz_1B%NM)tw#sSgWzS#Dl+$2Q_!BCcS#rmn8f`9V&eXi@2EnFTKEFZtmR zP2=nzv^IM8?s8%;TQ?LN?K<#p1}ZsaQ}Z@gecHqoysWdB?O2A}E$M5#^s<!7AjAKV zCSE3wlOtiaeVTlxmHq$B!+jqEeDHT^Ue>9UX-#K^bCX9%;cxyv{Qel`d};_8ZoeOH zr7UF32kzjQMb@&2!X9Qvk}CUYF{ovGJ6MBqFNSi&&TojO)GrRs>L7S;-lViN9^iD- z{QV?LwW2QZeY<J98V*^(2=vZf4jc0y`yx6u*<)y>)E(ellKAlGG<Kv=;F<1Vl$&|L z{+y4)&B>L~*xbU0f0=!cSP8+LA#TI;Bn{@qSj?OrU4!O5T6wOZ75JB;bhi6i*2aHq zH_ASvYY1DGQXljKpJlpVRNpGz2#J&3E;JlyF*D74?!$}yRzmxsoFhx84r{m&N{SC6 zrR?+OdYR?x^ABBT>Gb<ZgQR<VndIBp3|?oOS$Pw`<tN_C#(Q^aDHxIKWtXq7+JBwp zmm04lw%SnTiDte{?7($4tl!5@<#c8l+Z=a>8CRO4g#NIAqr)ph6uHFIH@^S+SyR7{ z9SZ3?+wTmI$YrK<IOjLm+3V>h<U&(X+{&BC354S}zTTdj(9JxhixlP$tb?gX+ax=k z#?l+6mJ1?PYl>%+pXh-;bDdn_##HMXONC{#B+72THN4(4cAZmt`Ay`K-;3H-#KIgZ zoq0PJhZI$EgT8g#zYm^~Zz{UD`X*8Z;fSp@R3Yb@O5s-D5b}LLcCrGI(eg$xB^N03 zZ!tSWZiQjKe#yvn7B}CIG}m<R3f-XqK0d{>16C9hpM;1p@Sh1q(<dQjOo7(M6!)E? zkEL%KPDP1QEj^^RN<*dio`yGd*_Ej%*68VJex13kuUD$?$ftCFtjX}YJ`hyi_aoI5 z$dP9_pjZy%SbIZIDeUz^jWo$<Bjlde{nIp@vx%e}BGn}IU3NG-1~fk}T;~7st4jNN zNRFv!3lI&MByP*^<3DVOg|8Wgf$x7Wt-l#<`n3}7`%pFaSK+Ux1+Qi-;L9T_k-1h* z4)<4sxsor#x!><D(J@@iSQeCNZ6}8_z+(lJTWRmjRv(<S5jqM*MD}wc?>5&2>g(*E z9GB<82$P<#UmE55|9eAM%y&$EO?su}@{8w%Y<i20ny*dR*mXNXC=-xvUC*cnCKxlg z-ZMPqiB=X2T%<!22uFbPu3Vs_wOP{dKi12ldxR@=nvK%9)N-NVxf^}Wb}nEZmkJ^z z==~m}vIN25=FYp}Oz#tNTf*1q&B<?qezKc#ax%JN{?_n_<tm7HOz$UaktIkwH{8id ze}3D*|6+Lfsk?jDwnnt#V>|Fr$S{qK-fz2E105n}%r?V3+}YV&W2ACraulXs0yzXX zqzqDZf?U33*&>v)NKyxDx!~aUVCUH&9{WrSlgb9P^reI4+~=QzT;@WXtG{R1BA!@E zqnmO_g8nPH@#!EN!NP>T(Ng--r?uSYefxt{mFDWl7SaM~1A1JUE4c(CP@7l~&n$R* zMDKF7t$5m?9;X<{_WaA_hTUC%Sn7-3cy49&Fmq+vt?#+pe9zW`E6p$w8U{_G#w_J5 zZz_S##;+Q4r82H!Vl+bCFFA+ZsC;9xbc3;Io2X#`zgQ4iPhTTzlm*Rz6~s85S)D_L zA3p(C1!eS^=mywbD4>P_{XfWp*>V)bF#=UoYM^`A3ka5Hbgl1&Ga=vPR{uvDRzQ7~ zG5O)%o#D;~IBP{MAr!Bh6n_CNu2=J_jO-XxSYab=P|~l*BC$V66L0pdu#!fXfa{E= z_69qrfDRfh2L0-^q%Zlukh2Y|kk~hWeb1kG52>7DwR74(sRW-f>sn%I(;XfWZXS`h z>8Vptd|=^V@3Dg=Fq<JsKc(I>pLf4hK;@fY)-UPPWZ?rovlz8JyASuJ$g-%pf7HC3 zS|!s;WDSWPt%1LDlw>h*@784Tbjps~0IpRB)-awNSv~NiWT%*VZt>>w)!u()A}3ZN zv~c#mFq4;QDwFLctW`4dZSJZ`7%1)`Ic9}taqeH%-S4vxKGE;(DkEgEa{6;Jw}^(_ z*{nI|eWM0iRN9;y(=gR~pJXQaZ&?MpX|_{2cj$EXo*RI**O#F@d0zO-t`5>Vumi7$ z*c&>~FH2n=!Tf<wNWz!5ye`^vY58)z^uA+#`K3PpQnZpK|Ag|ti4ms!^~$}8g!mz! z@nkpo?YsM=x=VRpLT&msKW9=_-(Gh5_FBgcM)^8R`>Itc!jQ>qHYXpRqAYC8;>76s zElopUx)6P@d$^;rvue0)r?dFOcjka>CX09B)Q_DKw^Uw+hmTz@=kdSCy1sO8?ms%B zQ13gJMPIt}f9?7Q^U{WYuB+|A%Mku=*IFMd2}Z`jqodxZ3P--?Pgd?oj2z;h_(2vv zo9Q+G)%2xm2rV&Qo}W><n}&7xUv#}=cqL8LE*vKl+ctJ=+qP{^Y#TeaZQGgHHYe7^ z)?{+>eBU|muXFZwb=B_Gt5#Q4*WF#!eXlAsxT32??l^RT)A3=iIDqd!Vk{g!cgJ{Q z%uflHBjbs2S4Db&xnFx@7z(s5j?*0!8R2iU;W1qx#Clp^gM5ul#5|yPCUVeSLhjON zcu+yY?$Ts<fEU=|nT~>V!+db)CjrKnOc)xra-MKIXA7jBHXR<;lK@N`50BbO;7^+j zkH|^z$$guImjIJfL>e5;a)qJ5RAeb)ibX+F#c5;;L%~bNabk)>!BS)vktQNbxn&la zFz`l3TrCsDpZtqmSQC#j`HEd=_Z>ZA7Xso@u;6eCFXK=qFTam5@WWtTERrykdIFWa z$0DO<fLa~Xk+F0@u8zsb7)G2s$5<4iHJl%gWc)Bl7fU30LD@j+ZIj_)O`z6m77AWF zPN5Gy8N)Tp!9JdZubv5czsI@o>v{s>9fI#%<RB6-qhl;G>;t&&*&iA5Pp~X1W&!Bw z8H_??iv5GB?fp4362g;yN6pAc@4Z0Cn2(H-lF7uFl#D~6A&cPBczDD>g74CFcnlf{ z@p^mrhiFnnWi}H5KtSUZW=>XZNK=0dwfa3G2m#3TP{P60PYsTmXmhQT!(nBc?;FPe zeC<-j138Crm>c!@h<&H2;AEoAg{>O_)a+@HrDIJ6=-QYmlvBYf=Gsc$WHBH&(X1xM zVV)p6HK!9I#&rO>>BO+Gjiv@>SxiKW$>8hArrYnSt_4P?Nc)oyfa_W`ghC$wteccF zXyMRQ&5Vtk0CsXoVIfgXj?BWCgk@8MV@pj1^f_E)h#FDQ^Bf1-+SGhhaA<Si3z)-w zTQ$T)G)M`HNjKX!4g&c00AfJkMsS=Ob@&3G$?L~O_SLmBw8-J$@J8DBYfw7lTS<`` z9L%0Ftur<Mt-45?qBF$Tcx7n-^BYZO@@<wL^;8>aGyw-Pv~H6aI*#maOd~BotI>3t z^~Gi7>D1e&icLAduCga~yW|SrG%>n=$e{vlO@=L}BYo-+ib_Y`A1NxgBZ(?`TwNDS zGzVvF#48PY00QCaBG-1mrgp`x8)jBVZW!jZSz&CqwiLGwEJrImP7>q(05#N5=BvBe z3`g0rUBQ<kO##!pB6~CPr<;TG(@MFy$==H54}`=)(l|N5$@m~@oE_kJe1IiR4{$m@ z1RLjDb41-=rF?!zEoXdKNK$7pro4<BCs%VkK8W@W%c|!I?MQsznZ%eae6Nn7#Q1J} z$k(#>tR8ITrtwkH?!=!PPAy1pab#$_E@u1Cadz8>;{$$idVG81qJr68a7*j0=7-V} z_;QxRBk&S@d?PUQ{Xht=ly7iu%XmasoIAb~XO)lvGq@%r!%TteT(glOXuuAxiO2|5 z;49aRRABs4NCOikfyh>kBDV5t3JO|2v&lX@8Hd0(khvxFd}kvg9)Pc}!HFPPiy;ln z90Ve~#W6r?y>F11O91q~fvhfp?>iA0V+-``n2n6b`@T0M5{8C2og;5f*I0%&kw{P@ zn1tRFN!Zz$g|ZMzP_Gz=I^#=FcN&K_Wjzw>0Tm<W{~gLpPzM=@vS3M2n|~k1kif4o z4Q)b`pr$tty+@ItMv+Du913-fwA|yDK>uwyJnSF=_y*9Zfdu|HfJUH!p05cgL?7Qs zCgz7BLR%u~3km^J=g8L^^+jm|m8ym#W4VD`8B>ulUf%%CLLr)CLfzXqqVOj9!9=rj z+~4nV9s(A2io<*dMuvI9YH}zpf!{M683PIQ%$SIb4+BDYnyby!wZHR&fftL{Iaa@a z!OA&-t4c%#H}Ps`WPHO@adL3fRhuh=1a8v9e1G3u`<0741|(txhnZP|FYvlv(yY)? z4U;l%VsOw&d!35}4w}k*{~!gxmy;G2A8(Gbhvp;!0Ae5&WIvTRDZR?oOpJ0R@_v6_ zkU0^*HZB_XlSB0ioY;sP<=*ya-LN2^#F@`jW}nc1(`AS1Bg!m=6@*zH<`2^8$S*Qp z#O@orFJ3<7lEa-V-7GI@?9@8z4^gvFQ=kx3wBIatz2%dHH7v=3SsH+=1XkrB8XPg@ z0A<fjWySUCl8kdZO;oprI#leN<mB{f^I}<wE>l(be7UQVEX%oOhFaAq7P93&s*${G ze+`SlWsPIxdG<I<sOAXtt*GXr!bX@IA0z+(zT|Lt(B}Jl{MsGsjBs67lY?W>O)w4h z@BL&?Zj3+_%TUagUK9D2f~!QxD;6{3eZTK&A%>fzF%zx~15ghoKvLi~75Iwgpy<pG z<NRnwYAj>=kqCKA+EkDthRHEI8H|dqt&~R!C!=X5tQ`ZO_WIAH#odw^T=TfKF=l7P zp~<H}Tohmb!darOF5dSdXC!AfG$-Ni2!z|_M%g5In*+AMC{Fg#K|G1qt-6{h%)HwO zTiXCZqrkzgAaJ=F9IpPs@ZAVT_eBWwLH4WhpU`mywG!WB<%kP0rO~ggTe>TId-dEu zL(9A8wSy_3HBl4bT5!q!SOzKVX<g>7$GlFe=3%fS2*MeK9SksZ=xb`Pag8l!(Y!*N z{Timk^$q4iKTRG2e-Ba~-r)Hg*wMpZ+b|yK%7&R}5*j`_tp+{#ea+v>n$&7&+Pc)$ z-k}s%x0ZIswqZAaKu^dR$0=lb@)WC;4qCd(e2;)w?)1KhHv>bfsyi!NtCz*Q5jM4a z@&3I=D~H_-a%K#-w3bo?>({=iXuf{4dh^-;s+Z^>=*hvtrdO%AW{D1-12A~t^{z!l zMdhq@5CG>yYH;Y7FGxkDC9qwSKV{e)DSVCP!s+yC<=ot62l+{InSk|(f|;}PttWSU znpNK4@3^b*pG3Y23?<65)O3xdY|&c7-i#vx62sX>JSzj{>uJ%HPQua#Jegx;L(A`~ zDn&D<sx_Qenmq|<tGq2RBh&>~1kbP#1Y@13=-r?!n2MPA<p({ezhg#nLAqqe)`CxG z!>oA&ZuyELpYJC%l3^-ZVuVX)oL-|Z{et|yY^-vJMsEu&R2>y$7~IN(A@v-UzTHgi zkZuEYW_&bkxwVbw`IkK|(nyM(BbQh52lS`uP(9bR4ILYM+r9-+eFYnOa?%A=S=DBt zrWf9wSN1q>$snS<lt3W{uKStrs{+5m)Mw|&d-32upsa!!iNI$)Q&9tx{8>%!bmU<0 zKj8pPfyA);bD|*>`#GGVDhZd8`Fvn)F*o<8p?W$nl-4(Q-dOt5K8SX^(g4S`x;q;k zz3&HHF1L%LLu8O&n2oUUPZg#{b{eg9eTJj^87Ku;H8|Mvq~Iu1=^*Ebjy;L1%V;_n zI9T4~;3xv=fx%~I5*y30`R{Z--|1Lrw6st#Hr1AAx0E53gWO-F2ZlAjwQ9e$PCK0; zm3il=Aki4fA>kg1MVRZ}E!2e7n4HLHv^or4y@m|O!Wg=c4C%Tn?lX^RizY3<^<pB5 z_RF0L>3%K<Tq~LJ9yYtOSCP|F>q)~>GEhOnrB8-gsaY1E$HT%}erIy&`&_RT`xW!4 zoE7`YPD6Lr-Pmi``E79D`M4`F+V|~5X8-Hn8C<b%|1GI1F)}vYcGQZ*>qFl_xr>|= zW0!yooBOt-m>e9YI2l&4&%4l36g;?7BBVR=t?az)s1}L$kJ^EY@b0{0)N@$(TQ~Xp zoKfH9dp-Ux-;nKhy|{f#@;dg-hRY-V$qy-pbF#a|TG5|02womV-n;cM*9bCD+sVMT zFvHc?cL-_1mZii;^W595>**<ZQqs(~(a>ml(p^gFolVmH86Ox=W4zi@wmp{pP*7=b z<h1GXw*FFbS6+gfQWuj~l!K)#qkx1{EiOB}=uutx<3`+c-0Uw{)#$r=Ljj5AQf!<y z_nz}#_Jmamj$8C}mv3W-wbt?3^;}jtM+)7SZ(F$70Mv5wu#}YFc6P_~n~K8)0h-9{ zJph^vtvqx9AQBG|j?J3w;8QeU@isj-;O{TVJFG7xC0TF?bddjkV4=R+1A8dfC;$+U z|27PeA0TGtF4mR~hRlW@&c=?8=FSYBCT6DUYLFn{Pe3V4>Q=_j0uLfk5PBm}@4`fF zb!01v5grgjzJ4sE+Y#`@YMdiNYJ6M?+5KRn!t$fCqZ9A+u*8!jhXf+p5}!A}%^FXt z_chZNiXG{dF(af)jApyzj;csR&#D0RfH!S?(OCwlE%FpdCdg1oR@P@+WAZEYJ{t4t z{@1gDr%#WW6}y04gQ4rWPmM83qK`4S=Ut3EAB3@a6C1%7%vrxJ+qShkfZ$bH<DJ(5 z=XrxJmE+|qokN_L(M!Zh>-F{a;Ki?M-JxDLue}UET=zBHxQ+51-S;vQUTC!Bc1a3m zd+03QozwC!zp;4Z{k327xo$z;Z+FvOjX!ze>V>XeO<=;m1`mv2nh%U#pK8Z)BaSlu z5?!9li*v{iw}~+Se7_<^ZS*X5h!M+=E+63xF0@_OKg|j^z28lBJ1@k8H7(i@QRq^% zzSHVa3`+@aGWKOukdu)x<w>Iuli=~JxrV@-(2RvIOR7un5}gn?3pqnu*t5Qi?Qfwh zE-ZZW(#FNbO$h%&#yOvF>_VFX#+G}7ldUWcd(BL%giV-PBc#`rnw|?F!7fnUYZ0vH z$`MM$XhLDu!|(d&_>$oxyefQ1VD4JCGwt!(<H5ZubVGgbEp;5IZm^=?=C%PLe9QGk z`aL$8YhD$yOQUaEG%H>ebj_m`&65#tbKRrS^Cu<l;BRxE8T!kcjK%J9pP@&}n+*Bx zDlgHf1X6c$yIyp<k|YC>%(s+m54szDQsjI&Igm7KpH!qf+A33eKz%ve;#W`&<NQ=; z?vB?5Riu5nIgru+6NGpUr<?u?mG~CGGagJengRoP>vUwx+CI%`yu^ID-Pebbn>%SE zcJkBZX}m;zIohJAhRd5|Wekvae?&5l5`Nw$wpFAYJ?sx@ZW1QNx9GmUbp<6-m68!n z&9^r>QHyA(puTGa2RiQp35Fx_uyLxGWS%%f@_b%3I1q3q@6}b3ex<(JZV1Vrtrz@x zQ?aD9ZxNQJ_?A>=R<F|1><gs6e7`Gtx_wM*t>vo#V?qri06Du%2vL=_ZYHg`{A+fZ zOsi%B?=E^|u6oEK+r<7S0US{@6rwQGxK@oO1>5;Hxlx|hsHtQ6$=Q!0Q*jg%3{p#) z=0tacQnjG8x&-2(){aCvFg3-XFV+4&R64CLX%S%;Hi3wWh~sh3*m>iQeZIgn9G}af zWxYc9FuBnM8%$Gl#W(RxGwW_*T{tUnMn%OkH1pUt-7Lk#m+cvu(!>nVCJP{nB`^y~ zexar3I<5$(gbyF2uJvVg_qZ1jKPJ1nKl+q>m&&dw^`-4rFY=}JA>ZHBP}$6%<j`ai zNV`(;Bbus{?tRs2L-=!Ky1}^c9f%Dk#hdTmE{ru9Q{DW+B->Ni+D%S6Gu^06N_wfZ zTb}qx!YpuCANtqVBvbjJ(}oD<iMSUG$H0ZPhp8N>>&Q?9(r#r8TE@PC0Q6Pf+=V@L zW6&T8^sc9<ozSVn!+uMB9uqkX`b~qi<>_yrG4@P4e>Z<MUwCXaYqi-rM_^SdSX+1+ zQ<i6{T_G4zc;4{gk4pX}V%^23M)sBg?XK=#rcHY6Lodk4OY27j8w>4DRVR)m%->aa z;T_89ic(Tc_t|r4<)dtehQV!7?;IY@R{P;nf6fkt_Odz>R2UKZj^jXPgYe=t+sNCj z>6OJ!jg%FqyRVIzbvpaq3M)I`G^(BXrVN)F=*SKims{|gAD8?hq1;#6mO9shW+aj= zuCMR~%dL0fqY|T{G6jEZ!H%>%;BKka1^+?el=}42R(Ch=fPLw3{b{a~4X=4VJKLF& z7UZJ{j2&xxN=>xBTW=dQ8^v9Idd!KD#_75ixC2fuyVm<0WXp|>aN>}&eY$yRku$pF zGOmR7>d|r+e=6~NaOat~UOz^Je$o%v;xwl4?fH;65BdPE@OIAxc2&9zY<W)1FBbch zY2REU#T+4FwT$88g~N<qL8G=X#z(lFq=Sf}>ksB&^B*L`jHtmS*W4JbT=hLfR1)d& zvIrE`5kVX9<eFR;=4lU7Ets5JIRAIcbYeZZ#9WG<K2FMQ*7-v!JA<v0M`<rUhBzxS zv?QbjUm8ne*Ak^Hig0SZ2$E(HAw6qWw>XC^`cn!A*u!nufQw~aEQyH2>$sgz3}Cmt z?Jypl$JWW>VoYYUWN*=mjY(-N4oew=ngNbf6hcW2!R#_GF_+Fv<KyrYCo;E;iZ3$F zI6CE8c=t_X!zRxxs!EixY}Aa3uTqC`GC5l;+U%Z?h?eKXs>qzuK_TIxr<S&$;{y2X zG|V(a9Qf;P=pP?H+%;M|>nqDj*}gM%S(377QQW(jDh8rtd?$2sR4AaViP}qWj&_AQ zH$FEdpg-4Gv)XHEIig$i5PlZt>FjY~$3xJi@Tk*+F_#=T_U^TT5?9COzm{m?TAD0B z#@GhTQByea;{9y-XrP;-gL~0c(i40ubM96;q#Oz0RSGbSvt9pmu=PRkz-O=+ntgcB z;KoAo8|%EC^k-Oo!`}2$wN3B2a;e|{=Q`I*r!?2Pd+skQ817)|aee>Qa5v?jo4mi4 zJt`l}!p8b6vveoFK6RYbYdyv>0yNAzwUwLM$gWkiZe5>~OZMGZ?y=yh0QzoDQHFU1 z9d3?v-n{fP@Ocz;oSt*8EuG@M+s0fFdGEj4wtcKSKmG#oTEB8-*sdTFY~c+EFwMH| zz?ZKV^w85=;=VWa?*LM**6w7wzNHtogLg9XKK9wxxdm-Mha((<LytAXLA>N23V0MK zAkA<S;r+&lJMWzOa;{$c?XMTm{rs}UiPdaa-`)ki)4XYcb=^_;n(8C_P|&U0T|ZUF zCs_Y!?&7%RzWvLfzWn@&;wx<QDT|P0=B`~(%_A@M8~(EkOu1uz`39#9-M2NaNSCt? zbrAwXxwD`654SasaclZseOrBDJ_y~ta~DhO*|vw;|5!NwN%a{~^9b0H8=l)r^i7QR zDT5<06SObhEV=@I{TRy=9;@}wd)-p#7P@+OylMNnwuS$q@WOraR=CzS$lN=N%(lCZ z|H*efL{RY+U+8Uc{L}J9<CpYyC4Q%8TkTVJ@yFkbrHl4le|&wys@*jNo5PM%!?Vrf zD9bm)Rd4(J(??1Yya>EbLLP*%%kIAFDfdkOWSeH4?_SDYtHsA<8zaY=fAyC}w=mL} z!;CxEb&&pM?pi?QTKn8jovTb-mpX%eO!olKgByN22m64y{IWyNlQ$yK){m~XmC^yU zuX+3z-A~b5p0Te*?T(j@pNbK`pxw8&rv|UHm2}w;OY;ujPhV}_b3f?M9zR=auRnI4 z`z~Vtq2!sh+`bFTWx?mJ%;(28;J(RNlD+eszOrUsShGFI8P+|rnOe-eWp&PKo~Pt~ z6(#o>)vspc6(ex7n%*S!0zMbz3>&d7RX5(OYcYyXd{f!6z54!%TT;r}o@XrjQ+}i` z`VP#mUl>}kxrARE{e!zo>0Q+Hnv_ya;13P6=v#EjnHj~;Qkcrg<T&R32S*>aBC$4P zbhuSgpToIeM?!bZ-3NE|)Ut3auVowBE0J?I;|%QO#><DoGh}5YF#WkGm;D%UyR-yR zTQ3t}Pj}JR)blUlYRh1a!QuLT&9Of0{m_|9^Q;sYOTKk_P#Ayl(<iQMXVp)$z>vuO z*HtYX`rN(8CIU+%hdgYrKfQ;>_}>iOE2yW=-M%Zg*^^oJt2V`7)x0m>BYwYDh}Nh@ zZ{OK_X8HLYco95S{Q^FD!t>n&uDLw?v^VW7-4h6U*bl}la#UrVa+l3#9o3lB6<2Ct zS4a_&x6pz)sx2BhY%kgzV#UqW6c*mS<lXOa+2c;rQOArpjj>lFLz!k}C64j&5F>cl zS!gdhjI{72o8eX}*28R^H0tR6>gab!wX;UwrWSbw?HD6hX?Ml?Cfn7p?^n4dD}cq| zGM`?C=;}H+vP7we2#x$?&d9>QwbiXO^!0pXV-M#gf`@*Yl+GZN2=}EtLrEkttCnJ* zY+=GsO+W=(w1TcwBf!8fc)l<#Es`>->m<5Bx$EkTMSOx^$a-meLjlzDs?PqLnxEqr z`kAqp_p3mvK8Y>(ofson!Br~hL3(utH`Z=Gb7GDl4dr-)=ekxFKBZc>|6L57P%`O| zsWs8V2tS06s1)-jyTOqwRm*{y>zgQW4?aV;8L{{0V36P&L8cT7YiKwLyMb7!On8`I zY2LvboQLT^6N@mm>cbN_4}8Sa?G|p~%v9nyADfVrQImGYRQ0OLBZ;_Bc+sAju=}IT zF4=}em5acxSt}`nv{sR_So~wns(hL0oH?X2y2=8bk(bcX9?#j-y8fUlqly}PP;F!C zQ5Z=6hE-=z@`WV2xFblFTM3ZGLQi*A6LB&wawXZ{91Vu`!fuoL?$6qh@QU<#gc{qb zZSq1Phu1DBR2nyt)m`Xa$d~o1_JzlVr;fX6@EDyTcg|Koh>oF>t}PT!D$m@`B(T!@ zu~H|piqcZ09*W21D4&bRkvlsVI4W@|IPL*usJIgP2S`?j@hCZMijyW{$!s`9)YnxO zi)gKxMOFq?w@1e%Y|M%yQ=(#VYShM;lu^k`Yei$#sH+B+l%*dFmx+VRi*r?mN+hfY zf17zX8qa5tgnaeb?Sz<mFc#KfTg%H)m@*`Ew;{m61{(t-qm_dM8R^#e{}^a0rB9}t zkWUm_&yabgzQrHeuG$;R9a2ggM$>&E09`YiTPU9|V)ZYw%GU1QC{;U@X$&V(ve(N8 zvDKrIdcPKD={^YD_n*cS2|q}5^#XRzZ`$h5^)4SDw}ZB47jJpW6-V`cvh1`W$+Rbz z5Q^P*aG#tD*&<Je7{QMdofvDDMObD0+`>5V>3z;*pK<pF6NL!QiV93=ZsZ$Fq4cp& ze+a9xnDG>iqmG`cDE+S^m&_p_CA&r%&87_;4)UW|93yq#Z7r}ULOtK&<oOwSqr-!_ zEZ3rJli|yDFJG2Qa5xh6W5<c^@6C4y>=D2D@?FsXntkq_k<<MOshesW_QmVB+eA88 z_kBA%{*-I}X@+0d&^6pCAylh+F=MGkx8irtuiGiER`jvd7hWm<^Xu2e_WtjOi={g0 zWfqgWKJ+ZyP#)sn;Gi~|#GLL1r`NxYT=&jobUCsn^eAUD9#DdmCrxbrcyG*W=<yI0 z;jGF;o<<qx33xFjQh~;sOJV6x2ex!CZeMIZDXX?kv@KNi5ce%`+yVcBKxC|%2`8lF zbSZ)zi46wd3r8YJI(TYeCngqt*WBIw>?kj(_?lbpS}12I)v}v@`hx=@(r-k<O*ehc zVO}>uF-;Zq=ZWhM42BI+4!5(^o%fl2B@PC2Xy!#PN<p!QXDafCXDl)B$xIs?RfKX& z7cDWhq9>*Y4){)hAzO<ligMJ6J$7S!c9u&{r<t|91f5EfO}~JHBdcaDg-?L5-Xg-~ z28(C^tZp1j2!j1V^Z`j}6+{EeAZ-7194v@XF1;x<Q^cWT3Qj8%hINH@RV1wbgx0B? z97R>XlErojn>IWL>Vot_##``!O&w~IeC!lpVzojPkqe&2Qe-kSN(MOvhYjC+bQ(UU zRi{#|5*?Nz+IXBhBaa=2duoHlOi!;llY5F;IVxzNpmZvykP*}Xya`(c0uo@<57y8T z3|Sh8#iWn`LQDk~m{UojWCWSe3`=~9OhlF@1exFx4Zc^Pk_1izzjpxf0~Q%F0S%gn z)eLk5E%!7x9DgDRjgRX4N*D_xSpPy^8nP{1NEPE^3)~}1Bv<%>P_BMRs_5vuM>Y~! zoW&eA;kcZYevy($%b+hicHqY=MvByP1BWo?KtJYEH+IZi`Yf{yiUQ3+?7UD4nix|D z|NW>qAVn8-f+lQFP(}mS6LWe1W1)?~1QExezV63JP{t`80oqWK(-0T4aD!sTU|jbX zK^$p7XjHAhfa0X;BPoTIft#thvlC-f4H9UvHzz1_kAR@l+R+P19Ajb@VPE$jVzAI= z!@>+T$OQr?DZ$jJkUaJlVZYO=2a;fSRU{~9vX8*;291G-mZH~ajgyK)DYc40Dh<&6 zjuw$PPKANRP^|QvL2H6fH72D}*`9W~BX|u5VTqm;%MuDZ?hx5vA}PL)wF1#Q;$=#t zFjLbY{r&^^;q|@NHVUfmkuP*O0ZDPiw77(0BffAS_%8bQo*fyf36$APAg|*LGJdM6 z$h-x>5n3ZEIAH)e)zn-oi1!xWaA3SZrgE0KLWfp?yQ-gni_OGG`g=kwWp2!H^wVRQ z>wa3f$SVv`sFc5uDdQp|J;YTS2OD7+9pKeeSZ0{CV{Hwv0|Z)O*1{6zOmIvQ+$ezY zis>$qXmw#nzn?YV^;r$eq&bjN5)7OaD@!mD&6zy#v@1b&5eJ1;L{`sthZNxsY9FP1 zZJ|rh@$kmaR9fQBcmMmldG#l-8G?d*pRAG#5mi8i7P|eAEVQ08Q{mY%loT119fBF4 zK0B6$EP|<3CJZu#4x?vpokQe^U)|P%G^nB<L{{DAx(SZ{9U>yhY$CcQfGhKj;2;hn z_ks!<mQE@PG!Mj3##9n(-4p7xK(Q^3RMpA7BrFz;!*?YNNUDhHoRrcAA65=OXG8{R zRnZ9K*+{)?>j~<F4A`6nAfj&Rf<YZmoqR7lGJxO0b6S7{CxDu-E$m^Q2P^9ag|DBP zS4j*|$KcBABu<xzTK|nggPir|TU3xc(3H#V9UPDT%gPLB8XP|?%MLNNqWvvMqlLrY z!ug>>_dQ3Rd?DFhDQxhe90XBR8j7}YWw<Z8W)CLV=Ey{-ab;OQvs};~*#fgdc=ezP zB=<Y04wTqR!X-JO&}UE0CfZz+0<-|C44t_FjZWW0N|QQQ11Q2?uo;GdmkrLVR3rZ5 z{>sP*CN{FQ-g2i<WcW51ALe}y?6TXqTT8_PZUmTHmBgJ>PGARWC&VDDop@leXLLOB ztCamZ>`X1RZ^?VDb|KCYH{z>Q%{-t<gjE4tg@k{tNr+d$qF>T^Vcp)T%4-eoRf-EA zlcP0U|4G9mmrg(>;?%!d9+DgcR1c$yI}W2c$2l!Vy->UMl$~>EtTFP6M0rF~#?mx4 zng$UhpURF5(uR@(l{s)6R#Y8SOapsW3?=v&W=-fj9JkL4oI`7K0y{pgSd30Npbb3` zgSkz#%L9xNWEq)&P&=0D9FSFN)G9}*WrcQ#%p|}JDVep#y-JZx!Mq+-IROsH8U+Be zRgte!N5~?+CBy=#zI7Tx;}sPqpCIL~Ns$$q_(XOB<&oqqZ+~G=VSJre6J{jk)Kelj z@^SFJPeXNtg}4!;OQS_J54GkT)gTvZ64EQ3ax{rj6p*SFwW>&oG)ZGl-4g(9YB;fK zOIZMnU}HK&W$M%0KSNV1<?IKMf48?<cl%<U&a&m0+kh`)&=FORRfHT@c@MhXg_W)9 z8|tY`Xl1m~2OO#Tf~offgKGTZeXF*z)pp%gmswDa{G{G^$wb}u4!+6K=FKfN^hc+( z#Vq3?z)3;YD%PC%Vh}BnPIZhb^-jpxY36s(bx;slyI7tY9=llH<?5_>Q-xmGn7oP- znEVkk{z`OKR#qlfTnLaMY!HxPC$0Dgwo*=X5O5}kgzxW-dU95tYS>|-!YoqgP>^6k zOxVaUqCs-lWkG~FlHh}&+m?bKhg25(fv&!0*ryBf&_EH`bp_631o&#|JArD_Ux90D zmXHy1S#MgE&L?8o8Ao4fMmmURoxRZr(a2_fH#ah_@m*fkbB)pSF6&i#|1fZl<F55b zeEdOR=L>!;oFbpc_iF-<K_03-G?Z*T5?|JN%v8-rR!U`=oK?LYLd#=F5{dK)1ePe; zb~91Wq}jf371>2rYN{226#^af+K{@T^=zbzz3l5Tb4cYlkw`p5&&RJsSi-uWN7^5t z5-#3k66*z@efDe7zsl6aF-4Gu+cdyOJiWcY9&$ehL55KX+go!mc6PGIjwdR9*T1RW z&l%+Xto%I{2q;CIvj<&|5~Mz)A9~_@;c$N`Hb1v*IVLJw2V66Jnzu4MZ6YGI)^1mp z<h1xor2A02L(&Bj64SH}l$wGb`n3FdgGHt6THAjEDVagA*-fT~2bcewYQx*i9mgcL zrjAXKj&L=EN^@Y=VB94mK*|>a9ygy|m!cwl%e1c2_4s>!T8U<Y1Gq&i9O1xubmy(- z>BjF>aUddqb@WQFwr1RD{7A0vtS_<>wTGsR(!;CRyg}?skcUR0I!H$KfQG&Kht6Fm z35pT^=NJj3RI>DnYEcU`R78kDb(2tJ?t;6DuK}{fY7gP0Zbse<m-KYv6KqjI;!1ZK zw1B@!`6_f1z4yKhPLd5d0REXTs?~lunPs2S9C#5;72J`m%dI@(Ucpv;{u9%$##=md zKlSK6x7bMzN$bSvR`}Mw8D!i1U{5#gNBoKrUT`VuAQRqmS)n;a&%$`$yyg%dJKnbS z8x+y@0qKkCV=^TeUIy|^vA(D&3VaTc(fK%)wXWCLmD_+8)bjnUD-0RexJ&HL!00Cy zil2F)rtwn`JSrY~Z`i8FKQ8UeH1fbBr51Crw+{&t008f-v+Kwi1XOfA*wJmnx*Kdy zPjZaH{ehL*HmJpOA;j?&-SqSZ*D^C;0}l_?O3+#?wv+_w2kj{1j+a-nW^hwB|B^NX z4wVvxG$a9Ih|C2UY3NCe91%a^Z)#0)sBJ8#fKC4HV`awoj806c2KNk{3xSS^PnKxq zLD<=A71@FQ@Z?zK<b9j7DA6O(@w?DgV*xUO)nSz?Pz1j<6Rh57f95Z!sZM79-T~_% z!JNN*hyY|6pM*Fkx5G%|uaPH}*pTyNxn}nO;p+W=ATz2jwXQDVcb$$$oe|-8S*N0! zSBU`b(G|2e1*d&AzfRlymq|)+VSt{hb{P7gEkiO1^W}BD>?s(th+TD#Uhq-*jYjof z6WcZj50GF9)LZhbpTB57(b?vSwOlFxam81VMBrobeW~}RH5EeJQ0<DDk<+Yb9K~pE z8U!9m{&|t7ycy+AMOWHp<kbK6((i7CkoTHT`SuZpDoo*%F=z*xy}9^breX$!W5T%# zH8$_ZTc96frN_c+@@(iuX0LWCrOt$9j5(!f84*6+(xwzb2~m5oCqU;U9AiU<;+95= zjW(Vl+-+!*tkfkP|GvA`y>AaFL2xL?3#HYa=w5{;U=#|~I<@kFf~6ysTvF_MgChLN zE*7*A217cidu*Q)DK#1T7|8O|P4?-V=~<Wfwd*XY`OFB+xaz?Iz>_nl54D6s2Nbfe z=Fs!k-V(D8wRo)hL#sRGJBn>ID#}NpHmkiPF#sos+ry!D{jG+YfLzGy<Z^f&CVeqM zG+d6d4zvv-Ul|D|4eDbuX^O)5Rbae7)CAd9tz5Hluy~P=?ASZ$q6s1fQG0ZFm)1j7 zel=ucIgrI4Itj4=W#soIw-8858+!r`Cw|eahDE|ZlEtQsLo*<VSeq&X=^^g8dSr*R zE=@YOO_Xw|V?1gI;W_Z`Ma;l`LCYRMY<OcM@=UdS%e9`qiSBpJTb4}A*3Lh$ZHrAr zESyKgoDt4D4nUnUw<vNcOiTd=1J5J;lN`f^+sCRvAqNg^1KK-`V<D&3+WXub@d<k{ z;Zb$JrX|Q{I%wcaQ9?kdxWrJNzlcdA&fspk>(oWQmdap3b}l+6lL=|+Ql3a=kwHYJ z&3t85@Z_$wb*D;OtPhi!bQ$)X&%er1if1<{LVv>ft6EWWh+>^ZWoY}+VUyGvaHz1u zlXWRp7hs5Z_HQg<+cp;#EE2=Pd5gmwVxh9Tc5;}x_kD5`L&VQ|s76E5in4qjZu_MG zAsv<!ApX6Uu&;{yi@ChdsW5b$`B_7_Nh;}D&8nA5#kvvZq}ot|HV(6o^E(e`InfMg zU>0v}Wtmy<y4!-#)z1;0K@GElincU%p_&P?X;dRMn`_=_qgcZJCg}FhDw_*~phXB! z3!a=MMpIARNU`@$DJYRR)+gizrsxRC$OB~d0FjBYw_e1e7blVvpG6nk9fKRLIP9BB zQJ<1Le1@_#pX+X6os%NT04rYT_7Wg0Q)Ul3CQr}g>&p0xi84-R^hb1srs3ZUe^`Vr z=oRji7|^dJwp?)WuRI03zH)RkvkNY9n)v4w_?HS|nnF-{6YdS<6*^^}XW?HBH|y@u zk+bk$CJCp_u?@fa#u44<VfDB!bFx_e5ljuPJHl9K6UmtTmS<{HPs;Gdq`2(=xwqPk zbs|C~c;4ZjPifk1U&JRytyT^iKZkw|;ps@hR1#gx8f13`z7eEl9l^}=Mgrqy6_TLH zt7`~`{n^g#&j<g&)tccO2L$b>-Yuk2N}h~95)u(;bdl6x#Uf5OvfOoaMHz}fLkgz` zO(wjc{a1$c&hsPoce>qD7b{B}-Cv<Zi7p#{SfaAPQFKwy-$h$DQLnrdY*z<-x?9>> zt7fV6Az%QDsQ0Bp^sG>hKkFW-o59RVW_@4`!s%xDKXN-D8l>@@aR`_bML}}jt<cI+ z=Y#fRIiQ;Qd&Hc42mgu}v6~Hy%ZnhI=7GQ|wiKMt!GWyN%h(tsbNMf!d-vzstuq$# zS<95kc&I!0V~x^95I$JN)5`6!&7?3uH%R}5PiwC!fZ|n$N~Uqxkt_`(^MT7#fpy4S zG8`B%{`t(Mfl&<IMazMTYtEm{^FXl^{O}pY_)+^zXsB)MMf9ici+XVy6S<c*L842j zp3=So9qR8mMfa$n3}JncjTanV#!<KNbs9!$G&dZP|KInd$i9r$JSjPmN(S&rK#m#O zZ@J>LbQ^BXWe4h%KS}o)TuCGoPL%L2+=MYzqdsE#Rx}D=#);8W4c48%^+C7^%O<S; zG#w(a@gFJ%CpI`6DB{x7=3kRi==UcDx1i&g+IU;64^#c?aQY>SZ@RuTj|9P!ngQLG z?`g%oe57XdvE(<-MRnGDjPto<e<m65N79^Et&qvqca<SZPhhC`C!8$mGn5t)lVZD| z^b_eTK9gm;Q4Yw%hvlf3HFZ`nX??4Oe|!klsa>CODh8mMdZ*o+S_J(hjZ=>Ku1`pb z*~?D}p8E!;*vwi%9p#ss8LwhO?r;ig;5`@y?&l}S&a~*v5{r?ds<9*9`bX3t(KI~k zj2}%JGcCB#6VtpQ8${!A84DQw?G`f4MLdyurokOKZ4ipCs`{d5ZN_DOcI$GHNa7Y; z!5{^LlB3)(;)&Y#+@fd>Mviah*n}3a?Gt)gA}fL!2hcv*ukbx5=R7=~Xx8Cf4Z7$8 ziu<@dV`bA6t{xUDs;tit7|=QMx33I#lt=-567EyuWR6ZXwxsMpz0E=zS}?zGSJr;! zQ!l3njH@Yx8gdNh<1mPO!g^kw0ZZ1)3%$fyl4=G`OZ!TsY+VOffVe^LgmH+xFc(HR z1~gp<Xgz-Xw;K~lL6+KPN5J5)$my)=59>q}E!}M~5o&c=+1E5ok_lBo_KWWZ_d^UK z%9|amK#(%X^?Xku>bTRDs$dX(d4jtQOh|M!_x#4%VC|<aF0F$lqe0~Mx37-aq}4cz zS~Yb5L@{l9F>?_t)1tBXqqH;gI~hVNxCiHGOXTwa{MmBY57HY%J(Qru2e1OVoXC~h z&Vjf|8>56jBlJ^7aeB0dhvVr{*U_{)XIpkXBztLM@*UI_bNa+Fm1Z1YKYm|g!WEe~ zas7F-u9T_>QqaXXcWgvQegTC*0*T&W=^(7}W8(+=K}$fh$GJfIiQKZW-=EaQ10<0( z0O<fqAf|IaBr>Sw5m;EnsW|nH)6ENDUBA&?-pR(&xnQq{sT{LF8<@jR{(BgJCI>Jm zsh25nAgxph(`hW;#25o+dF*=THI^@inUJ$6&Nig+S7W^Ou_c1NLU(@Lh-njKm>OsC znRqXzhkQ&}o~5=xU0jh3*FHS2uMzP0umnVvmxN2IFZcLty|x`HwIO;(;kx}JS;dt+ z5nP#_ez4e<e`(tbD$mSh+4=$lnG$Vf@D#0rN?q*dr4qu;EYF>IWq<T<Bn}hz*6p3q zdaoX``h>d_{rf>Sfpwih8mwFS0gcXFJ!UUUCH~}MX!_%1dy;Q!{tGvh@b@%nKap3C z%mqiIiJZ-Elno<wp}~AqHim*XM)rvx1y<wKoej=b@NeZ16p<FT=J|W0M$50IgS4Y8 zYQC3G`f1=J{dN@c)vR&gT+A>-Jp1({^LY`%Pie=C;^sL|7%tk{#P&R`z|)KC0`_tI zRFX<)ptB+7i3VdKbd9<jKCfo~X2Ezq<I!cf4yJSkaYn_j{?jRT(@RBqba;6%Rtjfl z;1o&-f7AyaFo3gvI7oZ=ki}Kv5hjL%=h&mL`RHvdAnUH8djV#ZkTX2E*fRz;2C-~> zD?Y2i!?`$GH72;f1LltB@wobMHO@-7f)PY)kkf>+FVc0G!(Pl}6I_#hjY3;Af=}U1 zO}C!EnpvMfwS$95b^4HO2SGABB4%ej&~hf9L~b4Lraw<Ue7(}Jd?ca7-44s4T#vQ6 z2_(C<$L)MKDQ(u(H3j^KPHFg|9~DfbAi|$Ke%Ou(!6{uS$gZ<D$ZmNLRCX<qgsWr~ z<ELk}ES%Kv%0J`E(}>tw8Er&P#F!FpnT@zk^2T4ec_bFcq1uOby=6Tvgrj|Ze;lcF zWBnTT;?II)>uQJb3C+)?)9nx43Kxfq(u-1jE8R@&2#>XYNH43?$?7-g{A`Rh;O1wT zz)s|C#v^Dl(&8U;%F&*&DdR$BU8#GGVM9*iPT!*1%47Z4+-aI<m3dD`qm}X&6)d4! z`PXPGO~l-Jk1;G36ZJ0GE;Y<~HFzoc%R1WK{UFR%i_JQ6!xzS1z|SY;0xNFIV*Lol zU1v;Yt#c3StH)G4<Tt3<K#ShL&oSe{B9K|pQ+Rpp-s!opYZcAytRTI_8$(ZQNc}p} zib9Kj7cOQu&%yRv0po2~pi^~zB=(y~6J`8HTkd^`<IkS1!+s2Y1|~5IObY|>YcOrQ zxnTsWjf<|7uXF*c58v@-%-3(HbKH6<$$EKG+8HTKrnVvE6kXDBm}7&S)1x>UildP~ z$K{4dRP@MJ34(ID&wUgNqwV|XBPaAyj8ckcwN_6#AE8o;wMlvXTE6bY(~`FnD-cAi z(SOL6OHWdMR*pARFCJd0KTj2}`N6Z7D7(fVRBO0d&_<hvbk|_RqTh7M;fZTuxQ79{ zJOheEr2KnnPN_s2Ayx<DR_WK<sGU6Y=VWOfQq6pJjLL;ll%jB3?1|B+C3)Ud#!XYg z;bvQ=S?19>3Wk{Z-tU)ivqe$vyzO8`Cr`PFmJ<qoHcB-}T_I&|C#)`3Vs8*~dj!S4 zLZGTl(_U*xiJG*nyI#K_VwcHu7-8epJ4hq&K&Fs|g!0in)Cnlbvi;Buw=0Zz=we(Y zb>c+JMy{_}NSMX22=pR9rh~RJDWA6DOx8)bJyTPreX36nRtqrW?;Way^60SQ_EC=_ z@q>?x__Oh)5Dy`&-zN1IP_P^fLU$nQY4DW4<D>$+8Zlo2copb0)~&sBLInkJ%A~=< z>T%w>)Yyi)OC02N1f3C%g>Z1bft%d(>o_dQ`9-}!xXd~KPrYg$3Kb`aE@QI=ocp-? zq|#GXJUPMb*pn2R3eK*|4QJtUZ*f9z2VorUQnt3iOGxAJ9OlO$m@!+bQOi&9E@9&0 zkWj6gOYLjelUA1;Ka{wEidp~sA|IgzDZ6CQ79Mll)P@0_<c5ee<m=}O#Na=Zo9O+U z%v_KMMuqHquyOW)E>Jch9qD~@H8r1$oFob|UXY~jQLJlpeL4uk0R?6yj$gmdHxR_s zBomm-EiTfc-)ildh%Og*k%e??e*}YK<jCtu_@(j){3K-nl?d><{+YtZWqlk~$!oEe z`6k3RyONyb308*FfliHYHphlk*rzu^AV2TJ=@dmHDvQ~FPINuRUvstlT%2##i@y01 z$M_?IDpQt|Fd|zm4fX;x4r`?pxCry@2Yr}M*P>2=MRMLJZTZ8V8h4aGb5TN-x%bQ1 z-X^gIUb7-vIDAW*ZlF#@G9J}>8d07R`NYN(TTdNc8&czhH?KMuzlI{zbyhKl5M(HJ zwoijxTIc;B62c7?rs1;#rEnKLgwAt1xcf-D1m6kFR4#PeL_Qor&z~WIm@EHJlH7f% z-{4FuAp2j>97Z_;E!AGrNF7t)9H>Zfm<y#=yCnlPTR6jyD(R@~L6oqJdT#L1)xb;5 zRoDw=dM_2V7u;$+y5vZ;dGE1m5cX30)4Mo~l+p~@^6lWgeTeQ9FJ_7i{t<{A(aQ-? zLVe*)(s`HUtuDomQcmYA0ub4HY;vLFmJ;~F>f~^2k;sUl64vT@Z7d(@;49%CF{tn? zN+`KLaWT#|+_+|I)&g<Kn7JwUmUfPibRU;Zk+tSXfHw@SbuwDmVPoz+&mQx>%vHv= zgAqC!qZL8(1K1(+K+<m<g0;{oonR@wh62~vSvu^PsC3u0qYjW;sg^R=Hsd?&#^|%T z&&Qw03s~hdNW>_?khMQMu8GM>4VL_x!8>?S#R|1+dRRPQo!D>l5_~w$iB#7)zZ9?! ziYz4z4m6-59*spDArU{36-vq`sVHU)BQv0v;5Gm|zoO4gtbVXorOV7{Q)@vlskSe& z<q#9mSIuR_ejHO+;6Y?nxBL^U%>JCNaN4vW4dnH_m0LSS#if=NOy<e?vu23zF#fo5 zOOjypuk7ggsfyTH5Q1BG{uSxNZ#vhoB8#j@@&MMEYjXy|g<mGj(btGE0Hi|fOOr9` z-NK=|>(kI>$&IEsI767%Q1EDg+~%;N=ZpDeSG%W{MdWmo<Fk5-Vy4uSu0>kjzPvSN zzm2PhLCqnbyPB2xR`}-M%M^j@3`v+$!2cl=!~B0_Vo1~Tfc|e{F(2svgG_AgVCwuo zWMX>-`Itc=_}&jX=kzo)iLH?fOl?Muzg+3_u{#Y*G{A4J{JZ^%_X1y1|6%XgmTh%k zC2X7?eYaArFF^?g(FVP~N3T=?8z+<kYD(@ur{!5jO#DAYy)a6MFxtP2-XCB;ULVcm zpt9|?@daM0oe@%S;h@gR1^|V^pT8Xn;WsNR+g<d&MDiB-@;BfoWP;fqu^|m}Kl);r zMx4x|VO+Sx9gf0?m{Ztb!tmcN56b2e8u&jdYT?B#IidOalmDme|38r$%{+_B5)K69 zzYYEWMx=H&Gyb2V|Hq>?(xJc70qOGK!<M{l;((sUQ5>+`KKbS0sfAxmwrMQo?<Enp znkEHVcR54c%-B7FjxxDx*VK+#I;#^;YNRt^WqrkkR^mxI9+vj>mEV1EiDC!p4r&Qn zexm24O%CbTblP&vEKQ(+`e{@j6kQxO=($m?k*aQj>cJEdZ1_xKH8teYXFSuk-Jag@ zGtL9;CSgel*(do0C(O=<_D}F+s43Y!LxD(nQf$R<z5c=i#t)=Q?08XNdDQ@o(`QrL zm4{2turK(hz(z;`Aos419*_3HJYH0Hdnn9AOZs6XQw#jlx9JymtXeC&$V@@SsrlSM zP0z}Ny}y|m-)uEL<J^_@F^*!r9Rk*%BdNV_zRvKSzvP);)g$EeKNTlCFFU0orOIfr z!bL0egGWUAsHtQ)&eJBYHm<GOaWBH#3ju|gwlGddKHHs6%_!EBem8$!+4yaWr|}&n z>`P81e`(t;oa9l1YsaJjWU&X@tEwNDRpid&#wq1O-7?+Y+8kTA(W!2n5!!@eZ+_<( zQS&F6C{d_uC48{<hD$A5>b*KmOuvdILLv)~x4OJo-2`uQPtAAJWGz*!LaTI>tFGM9 zebl1(z^YUaK&V<S_;NvTuYJ0Bj}*^NIs=)BZAX08{4gnf?R9roT{qeQaVzF<BnCAh zL$>#uZf}JmVR?$xE+bcITBp$r>u{6WTd<yT5^cRbM?1#SLwDm#_hO(0?O{HioR~;| zVXm0Gvx>kNMXJZ)&I;XRveNUkqkPv?I4f$U53bxVQfIJK48#w2o|k_`uHH9LPgD6c z9oicUC7h}^x@5+~XC#(OAJIJZA)=p5^{F^Pcx`y}_wd<vqJ;<#(SUz8FlO?Um<Tvs z_FM`EHq0pt3uB&Gy15%LM)|aPbbB%q&AUuwgh1;G8wzZg(pPZVAa5*3+K6x!+lUsC zI2AA&Jd;{Y6_f5yYZWlA$5(KT)(GXTdm-HQ9ckB9KwAhdUQY=~9{%g%hxqKj6s3(T zsDdj0h%Aow@44iU+=?!}>e*rvH5J&ftQasVdK+dA^Fvhc^<`n@szi$&AdDzGJDutS zxA)?58u3cqb%btzn4)d9B2!eIv|XO6yCi}L7GNfZKl39?iLV2R7m<zaW)Er}AxLN2 z5-8<M6MbNse7RyfvX+VEgY;sm0|BRI^a;cQ6^!=~&6ZCrzZDhTZ#<~W#fc_Drp_i8 zXiUwDcihmTU}$$+!8=&p*+?T-G-zkoZ?f-wsb$D@`!ho~)p*uqEO18eggMdBZz@DR z=1aA;xLP~Yjpmysa#8lXZYi7NqpL;w9?ojqNxENj9t4|<*MH|LDWmS93+d4piW=!B z?U8y{<yWf)Y@wE?`kv&y!m*vS|DNn9!$D4M_s&|2b}o%Fe3S=#Hw8DPE@7}&eYmO( z`8|xt^dkG`eavL`lHvY=-#+TiTIl`e)hX=FZ&A}R?o#B*QkOO|O)sdPpMIz}eYvKq zI~0gsSxR{$;cA;$@sI{b%cT^(b`zz|r%4Nm9LK&%T^#xjqA6?CNO#xiHPrc?^FqMr z^n5(5D}<iYWJ5LiodOYmF`ql5_qJS3Rd_GRofk0F!VUG2dks~-TfpN-gA8y_o0jS= zd9sR8HjQs`m4EnP$6|$fB5};fiuM=CumL?mWG`{xdW`U-E%CF7<M&wO=07Qn0O;9~ zCUxXJfbiKnVGm<I?ijD62mGB@e`=C--T-X!=W@t|yAEOSMEPZnuk55P3-&}l@NX1o z7bm1(kUP`HY^5rK0GN4d+O`B!S1V%s+R36xl>XLwI|sWb%-<8@SBM&wh%NICKVA0= z-T2DQ&fbFW3&Q?}Oo(f>X-AM;f@z<7k$ie*yUE;I+BVh2zSUOC*CzU5v~@Fn#EhTi zF?j3TNuXHG+InTL>LnN9PU==Sb0wC{W4|SFpAqXfwP@jDn=8H5mdfWYI@LB@k}zgt zMYiJJ^)_Z@wJT-5slW+Am|f_l+eVkmd}QY$Lm1{wtVl&%l3tD(c6!}Y!u*z!RlB@? zq&lop?WjPSl%!(1DsFEQELp+gDo1Lbk%)tWBArzNj`{-`;!un4g8K=zV1Ws~m^wOy zDf|Gt2;D3^5+0H?NQ#)W)Eayq6eh53>R=Q${ueBK5V_hf;}Ks{QkC#y;cT|j`3tN$ z5FP?yr3xjm@}6djcmQePU&s!CnFY<`*62c`NE4~G3hON};)egl`A=;-1pTzDYFiPu zpYX-;GGtg+2IraK%&{2XEjAWjb+m7ecAjunL%MI%7ih`-xrI5}OZiuO`x!pDD3+J} z+<BlJ7AxGQ6hA?Sqn`6QaRI}D^kgz0)NZ=Y;_tvpb&E9D6+EHEYne*ZZSTS!Uwv}5 zXC7R+WHrAls4R-3>fXydSQ|71KP`>O7lEDv0aUqAogt#E0`O=>q@U!$oKm5LQ^<{8 ze%wY?;U!YmdoFSG0M1E`kS-#JMUJQ>Y{IH0utKcIG$P)E&vrOYp05%iKmoa7%wk1q zU@{YOcS-fX8MTR==I5Y_zW{UXCn^pCQ(A4(bRQLrX68D?2f@SNqAZOhmxQxDEBvXS zH${+w`{e)d#7x!so)KFR5GNM$YA(I@FIb*RTJ){=ZC#RFrCGh>T_P>TRgk+CSW5p_ zVdnrONuRa*wry(~)3$Bfwr$&<wrx+lr!hTk+qP|Y-+sTj_wK&Cv-?HGiKwWE{MB>v zWc@2M>zsPz7SPO;xv=;3h_C_R5UD}@YhNtPb4((stMmow`JoG^7!PA-0wmAxBcibi zAy@!*OLOek2O*hl%X6{z_zILBzdx_(fOy0iF3=LM2BaS=gMU|08XI>%O{J>$@JzKH zFY9wl2C9q|2jog^L7Y`b{AGb3DMp=z+Sh2wT)l4*9;4~^Hq86IRM@dbRQ|_{Pk?e6 z5;;>o0=sb)8+kPX@9Hn)Z&jxnW1Mgf22!!iZCC_!p+Z6c`Fz1u;kdM2blfhS^|L8I zBhBahPodJX3vk7w4yL$yoBCYW6hvtTW0HPK{aWqPH-q9$hj39bb2+Ui;fr!aq9Qn8 z6!Qc;T(n{V+C8(yH$gWN7q{;qnIn@-2pRvH5l0*5fZ^#oxR0nW1n!X}(IQH;_n}X6 zZbFCs@Xfvww2H(h7R)r}UjGfeK%P;7b%;>bQ}voEAsR&>a3O>WUa(1KK~iRp9I{pv z#32M%DW5t5XB<6j@k;6^J9ZSCZk3BolnAKG>yWG|%U)}_gQ#g=cl$SRNQr>%x*6ul zwpv{6{a1xFbHK&#kJ4<d0Z?n5=j)y%*<ge0i(OJ1Rt8M1$m1XB3Gg=`hs?wQA%N8q z;b(p_Ji};wLH->OB|0i9(iD3rIf?a?U=B#*9C?G;;n)O5l7-y~JV#q4=2Pm3I~VPl zEOf#`3X^Tr6W{7oP7W9X(SFrhT~Z*0wRutB25@K0Dcqt_byHPI^(H<v8<1Q<_b;#k zP-?BR^MdC#$#&12dB5~~|KR*-(q#-!Zq0X3#<D<d!KOD6?`J`^9&0e|0}&AhVwz^! z3t8K586x&WX=P6f17<Xk6cXW=`idC4GIIJ*wwrl+0?qdX_5>3CB4{$yoWwlHobOlI zwkX$b=v1V?dI2aRUw9XT?D6PFYOk-iR~LoZr;KHOnmduOj6V$bpbK*8$<QE-c&dgx z6l_J8RvzeVlsvgSxAhQtk9GN#n#WsPxf&b$l~clja?}Ob<Wr8v`K|%cNbo*)O~02G zYmFR%eB)TwuU^T3fr1zB7xwGsJ%{jdkQ3@>CW+=0^D|hE^(g4(wAJ$qq{F%LR`WFh z4X&fZ_sUBSZ}V0Puj3t<MP(9Z6Hj++yR*@%jOlD-NHlpoT#f}NTjmWC1B*Ns=Ax>1 zixz<z@i`jQ9%FWMNn@CUz!|@g^C)L^Vtc~&vH<@3)d|Q!s#zWo001x)008D6&d_x~ z&(LWs9Bpm>|7rSJqOR-;9ZKKE19>%tG*I{}rTO8r%Aue5B)?`CaS#!!3wEHPX;Xqi zp3g&{xtyRHxoraJH4@2zYpiXx?CUFNL<KWZ**7eo%d~Hh(@K{r@Y#}8v$8_1iB5PY z+jskb?Y3*bEZWXkUh|`_O9HzI>+&WrqF{3MgizwxyI8EsrTojT^I{!)zXWW>izxi^ z+hrm;#!Z>;DlQ2}fUyZvI<e7YWfE26v%$7psbBf7dW-V-VwWi&lD7lu!+Q9OcwA3P z!4J;O=YsCAvCWP>HMQxr^#j1%zzdcag&ido{T6P8yZI)B8~wAHi)ugpD=foK<~2LW zPv#;lhWEj~fCWk!p?HEA={TxTe1rVM%+RneO-f4s!DRx9A_7rN>GZV_;fVqPcbbE8 z#=<|e=5rlfwt$8l^iTL9;U;9LkhYby*;N&|5UMhf<HSKrR874PfTV+6Pb*Ci=x&&* zY+SAohx5dT1tw}EVXME6+yrh;deHaDAG0f8=(K$MDjg0(BGP28e`k?TV$AbnsIz!9 z1>=~UL%@1r&X!xRvSN*W3`4UURZuuegQQ7ghIY3RqsxuEDxh;Es5OXGjJq3wq$owh z0ax`!PO~1ww|Kus4y>LoO<pFqB`@ko=OP9gw>(kENd)pIIAtt7w5!}0D40^b*i2@k zCDWXIKeP0pj=+y)R=*Wuo6wyO2}_BDv+1)Z74tf6EbWJn`{$@|a)Z7c9gxd_-Jq_? zXPfA%746F)hU+`-$8I_No3&g{?SWeMTOJclL)T}xdLs$qFw8?Wq@WSpyM-gBh2vb8 zrexF_gRj#mJ-Oyu(E5tcQ4^e(z6d$zp*sob^_MwdgN?E6xn&CqyUW!tO+KDFJOvHG zYe#L25)Zm6z5stez)K&i^3mY{0G5ye|9lDRYGm?{V_SQruAl|ZJEsN`<MdnSk&M7x zduAC&$+6dm+0ZvTM1P||64PSO`&+oh>G<|!TD`%Ki;uUB9N)JCh1V_b(zmSl`FD>k z-Hc7I9b0GYa=GX3jidSp>NlSo;nG8`lZ!j%%tJBhB9?_8kMU3mJ&}~OCCG0n^KB1o z+PYd>P_Q5V5i01a=8rZ8=Sl6lg18hCtupHcuCDg{6K$#y9cL?Vm7Cm|uSxIo)s>nQ zN}xqlAQxFB_pZDT(w~04>(@UmYaYTsyk9zG5l$Cwytln4eqJDdc;5Tuylj+wysUok zEPwQVWP5Ldzr!Dc^71nrfgd@Ig-Bprqk0EmDo}pun3-VyezZw*fl^(wTS~IQ$`g34 zO5rKmDUBw>O~jzNK4=FW@@o^+1=5{gUOSwrbG7D~b<UDqLG;}cz9!qpqRx{M6_V^_ zRM=ved70_K4U6TP`iiZWFUj!$lM<}2MJ$(y%^@Uk^b0VRf#$w^?lJYtS11mEu+%nV zTuAI{vnX<Xs2<X{{^23cYBeT3ANho5?1+jXE~3%U($IYYYD}wA2mguP>MkRigF^^C zX%iqA9#Ug>QKesBfvXHr9j3I7H}>Na@*G*!_stsy;udLJzCC>%eq*`aS+wf05@b$! zOAX3@s8K-Rx#Z$}nJO(J5#Wpp^|Yqqo%lgH>}b2ysYeqepjO}`IU<+D*37ww8_MgM zIi95*tB#F+QjPUJ5{%pB*Q3VuTsG}t+d}>uUXuIy6I(V~@M3$UBg_Zq%VDp}D0lf~ zOZ71I0dRgrn>(@yAWwp86o5qN1W1)$z47|h_pO7Zh>J|gtWUSQ8I8E|Eyd=3Q?jpK z<DA_9i(&MR&UyI6JBOlmy6j+dRj@58GNh^2rCjQg3IrJ7gBZgR5<O(kxRA9dx~F8x z`5rMA0zx$W#DJ#Izw#q?pV#lK@A|Ta+c<yZa}kz!U6Bx`r+$Yxtg~Z2skJpc26<Z; zWrX{=;GjoZSii$%fp4^`pvqPk9-HAj@Iu9zhqda)8d{kfd-$^aHm~!dX9yg+Ua$mC z_9!CLcHWaoMD*Z-Q}xg#TtGn|D@tIQzKPn4jE`A2Ukn?YTnU4JFodyH^Rjlr306Yy z1im`94vtx^{fuBoRvv*IuU9h>`I1f-3D*(>0FX-lG_Y!n-@BH?AAsbe{~AR=iZ~c- zF*<}8xPyV0;zYC4Q#y*M2NkwH=x$6b26=xD0PSaH0J*3YeL@af^^8@DSvt<dyC5Qh z+O`D!)_J@5Wfv-x7j5!R#KU-#>mkH`Xs7y(f(&y!Ecynm#)7BX4h(dkwqQ#Cg8>g3 zN=?L67<2@}Q_v*I&l8iCWe%n>H@OWF57`34RBSd7)+!#0;y}BsESS7=aW)<+A8lG6 z7cYbmr(XkmvA;h5pk6=|2QN}W6xFiS$QY%USCX2-ywIs-)B^e-U12&FTQLzn*ptQn z*vIlJBi?46ue30a6#;8%20Lub>;!fc^XPOqDQm!nIoN#}O{-stc{J6U8P?HETi6h< zZiwWrFnhvXLNWTp!R@^OZ(@^4{_xNsMo@*nXjKGhr!3tv(f$q$rj}F3dEVp!Bp9o$ zWC>v@%k7R+kfavdmqN8-`xq8OpY=_Kgl;kDsQ6Gn_)@REomRvp9VjgVip792H!51} z(~aQE{Q6;hKzt1@%a%#Ih;py%&De36?$>yq-nFPPjeVVU)T6r8)9e*dHpb!7#U8Q_ z=zByP+S>;x*Gr!6^)|lyj=OjKh@H1UrBdh8{{C=QdJYPqxZ_peRnP~%3K&lO-Fz3u zNdC#%K8RNb5UuI)OfyECopxV;F6{NrFhb<@0*%hvxSg#Vl_efQ%gi0d_9Z&ckX4Et zRhJ|ut)`gSN007lnG$$;=vV1Z@MFQ#4&>c+=o3gzRU<O_(a5<&d7%5tj5d>NJQvG| zJuRE`xRv2&msQNDJLthaJjQyA*Y=MFjIKyb_LPR<7xb3sn|IJ_E4L4#5Gl7<3+R&; zoJp*MM;Kd{(QLxz;e)GF%HD@HHy3_5dE7a!3)UTsF}oMs-H&msV1dx>@my1NzHDXu zdW68abrYnn$g3PljlDPM)qeL6Aa|B_UqnID_M9=e$9CnWiGOfeM-KQwTQJ6(T01In zbC7v#?}6L*_d_Y&ghfhxJodpKL3J#-6mJ3{JVec)aRkNdJ)=n<<xzrx)?I_q5j;$g z&tY<v`r5lS$1kzB@%Oj$PTyCKoBYNJ85|3i_ZPW1VKKxhVkE-OmuyJy(ND258k0S> z()6mGYgeDdR=mN5qx7?bN$;tZS}kkzN7N4`@1xH~G-a@gI_YG1@y9xehkt<Wxt<Mw zI~%z3etsb{n`k|s<eVgLw?I_BBpTpEw{-t06+)NK`=vIoysEUy+=S9b9?|4p-#S`g zv%Ts7k+pw{Q>cw^&CWj_az3_4+6H}`&{t9>8jtK8K5}jnoEyc1&t~J7{pDR6>$gFQ z={{o1ZdxzffKxkPB}*7ytA||(!`?kfV&dyCpG%Q5qfs4!Z}Bsd7*31rK_Za@l5SD- zEx)k8ptz0rC@*4tncp*u($O;^Xe|`Z8xT#eyF^#p&bb=p4sI^^!FrbxW3M>DFi}qY z^KNmjcf7Oh3bW<(G5QtGF&YhY5{-F&ybAwJj50<|@Eu_3TmAg^qMe5W3=)#WbFV?I zO~*vb^fEEVJwnSC>C_jk?H77H)wohQz`6P>8Ey-8ZGJX6MBs)nd8GvPhJfnX+G}C7 z&F#Cp%q;Ns1QT$t<QDQT4MS3x734ZH&mQ3k_upYnaqcI>wV1*bHwni!IF>NFmokJ+ zI_Pfbm^INoojn&}D9ox@S;G_+CILPBe8Q%id=7Wg9_nQ;@XIPpA=5z5sPyZLGD#BS zZJ->#o}GkHuoD!_7^S)@rOJ)rq@a$C#w{t1;uLUqjM6WwL>?T+h4OT1PfgIN%MIZq zQ3sD+i667=^K_d&dN>Xi@*6vO-hi1kI@9wT382nUElu|_88)HNk7YS?=Q7)`#qeBP zP4-#{^&1Oe12H&4A<{{3Ol7DJVT@H2!93QvQ>wO$xJ8v3rV?6~W0*+F35IiHl1^eS z0OIx&hQ7qP@xn)J<e9KkRz;v6N}26~@)$<A`zuYyrn~5DHdGbTwYF|9VSLeM^LWH| zf)ljncOQY+D~Pn67@`28C1<XH@CfzlZ4KG+gg}c)o4ueg2wc|gv(jj;IP5SUtIlt( zQsvch?`Q9Mg@`Z!yJ8!cNphy#%*03hra4*T=j0>w%SLhlX#WY35Q_Hj$G9T!Dax6F z!cq^a4}c~@IOJZ$VANN|UK1I2A_;MI3t3|-J*JxUF5pYj1_m#JjSztl%(~)8{U%~& zGahZmJ+uq~IM`#oTOtTx4vfy!?f|%c%(|jL`=-hxy<uvWV4UJPK)k6<bWS&^v+g47 zngFMZhcJ1kwT~$-UD0nb3nyyCc$`Ja>V{PZrbMxFi$E-B>j^cGnqMV!Ld)natYi9N zAWpgVDds3qEZe0PGu97ldLr?*hlAz;LoXE8LkQVbg;63ZQLn^axlKezS-4zMrIf1& zLqD4^vOPBf2$&;DU_5Y=ClBe>1nT=YhzJAQ5Vz6fVU{YkxS1VG-tW{ng^B2!l=$oV z=XbTZDH$4Xs(NR59ITV?)e+b<3XP8vptZ)JMD1%-19QA$)q^u|Y8hzRJ^T~M7c{g- z9FumfGIeAP8D_sC0u+FWh?dwRo<DN>*cPy-{;=H<?>}gc3#VfBt6(+y_7oJqT>oMg z@CHQW3<{A7Q^v=407`=M{rnw5({?l$i$cMwt0lXbsgbUypgIVXNRT5{|3*cLPH;YB z+pvkrZwaeDJG!Ok;1r)wVZq;T=o=D<lSu=C-bplJX#cF=#a35aQ*E^<zo|h?gP(*p zDs&4BxglU4rZd&%2`rWp1a4t08FDLzzPxG=8>0&o-FW=3S74X0_V996!`KTaEHd$& zUq^HmihHj(u`Cw{VV?_6%oz0R!r{}wpobzDvma|1IvtYUINt>AKLo5tpn+I*&<9$u zA%{Sb1yqCKvCXD^jE3R<<gGUh-V<E^9)7zja>LZH$~SYlPzf$-i4e=(w)V``q-HM` zqT@N>4CFfix2@)1$B%iPN)jOIKgOCeoM0@W<xb)4US93B`~<vCO}%5igFCL@H2t!k zUQukJsK0Z;=u)6VPyEoqOuNup9owS#HQ#bSO8mmp(gcZt^jsUVryZvj$@|RHQU~t^ z2Z<2oI%epnuZoa9v!OO^ZhT3}Mb(n{GO(~yfhBr4W6uJ)5~JY9`i?+giH7t+vIPRl zEI%yQ&t1C@ICl$(nGVg26h5^b=uY~+FT3{DBBrue2a}`k5N)9dS8JnyCY-&Zj({KY zZgp|=o;vGsl=*|?JQw5ezPR)Q+LtgI)1vL#J6Nrz=Zc28vCZR*Z{o+8oQX;X_h0>z z5M;J?8((PF`dP*8GYeeZWR6uM@GYwt$f4};WD%yw--)J!(tS+;-tD#h$_+YH_4iU3 zpv$T0HgiD1NQLQ4bTu|Y*ixoz7LR58A7<~F=m|f{I*y}UhnGYE^X3u^Fv_zI*dYhf zrzJ*8ZeOjO@r)m(`|T5D!}J%AGoOO0cw#>2haJ$y#p~%VYc{<J;l=5r20VbTC>sK^ zp^~2PNiEw8f4Yl;e*QsXO@ha~_EP?@s5=gb;xxDXmXA4|@wu|nW5r9~f4OC%zW6`` zgbe_Y@fm1;xMf0P=4xd8k84V2cTg#u_3QI|&<IquRqcp2P83u72u=HxzC5$5?Q@&p zK7IQLOMBWregAER)4PYx3zCQR*Nrr({Xy1*gweEJBOYfG5FsuA06!o<_?rdSABi^P z{=fjwTYvzwod&>74bQ#%XNS2}!61As;ct3pW%|2Xef*1A3yMy+QQW?>xuTQAoLC`& z$p;;L?$&~SL7Q~wv-O83&KYJP9PO=$h8vjKj6Dp=YZztmY5r`h&^Uc)u8-R<?Q?kq zzeKD`YXuVrSYQ?Apz}tr?63r=m5+<}+v_??9+wV7>EN!aYW9P$g<v$}3W>EAWkzrx z3<z|F=**siBA%HPt;$>Y#R^yz+VEz+S?o15UsfR0ta|_IjVM2crNm<H9GCpzI~)x> z8)sW};VwBaY-kGlv!>sCq6uVn5J07G9}vLNBAdbc$zAT&=qJ;tw3;&EKG;v2Cb_K0 z%ljXfqxg&21O=-i0R<(c;6uqrn#Ed!F?+S#C--B(TW_H@b|l~&VMNxRl%c9EJZZ(* z=|Qz?^0c%<A7#9%rTUYsOP+1tC+i|HmDXB-(?xkIqLS^94bRv58O#ePMm%SL%a_!8 zV8}DB{kgPQ48h$Mq;^GlU|(fq<|LX1EApmDb0<bjdQh>YVu4w88;LBk+h=XJt7fUw z-OL7md_^ulMM(4RPO=fg@i7DC^6{chnvwDTW;B?Er1FuWr~+{PF;-SWH=@OX0N^$X z05A#Y1|Ui4s%c4vEW?8R9To=w`*NdT$*jk^in`2Gqh6pweI*Olv}&uP@g@8kUSl&! zN?tL%XxT@oOs9csQjf*{!aV=kNzSUNjTZp{L@qXX$NX6ZQy4su{mMxUC_7R&$G5X- zgBSintY>}{KLh4uaULUcXTbtIHcLqQXI5>^7<v18rF)mv6D(;}tse9WtTw(TtcOPc z*9M{DXc_KQeABJ%+BD_F@}~VuimlNQjNPsWyq4ONHqDQE4DcmSo2Js|ceK`zwFUyW z{aTd_Z?;<%xW~p5!u(6q*o%OyDI0P7jFv1}CAnV`*<ai;w>O>a^@YfdUgtMT26Sus zExq)=V0+X9YcJwu)9|W0p3I-9uUO!`uZd6|MZa8*98dYm%URVg0d#+KJnOH_mzYX9 zH=;QpI8iq#Yw~zEPdz84QB9v_9s~WnIhPgZi$FSuCbPI^5U+gwY7H<COfqMPE@v_; zk^1!2u73XG1f3qUI0};X9Q_zLKgD=H`54ul$I$dU)>K6_g~beV7}GUq+@p6e=l*>N zT(%Pr*-&YU<vTH83%$c$n#Zqi2?^HJp-iI^dLkI6B8FM}O|g7vmI7#zf{<kzN^=Ny zSJcM{Hsjh1{`V8@TX%73RzKyGHrJj4T360W^V?Bw3pjCB3?CBw@zQgIUtH1+??54j zBd^sa7EJb;Vo`U1rIYd=UHC5m)PKyzq!CALc8e=Fb;OPhx6=`89}@S12e$eL(j2UT z$2E1*fbroN=@+TCIpgbM$lF_DfHM<4MDz|#t~H3G*0o;gn?N@1z4;WW)o~W=WfuM{ zyyZO)PUPI`W#CE6!qCsW*<x&2ZKsd1Np|Po$b60J)lfw8=-jxpx{|YfY)8ErIXhl? zi@B7Ws6-zyegXj3<QP!j%hs&|j~!-sor_njfM48se!7a`HF_B6zu6n>TCbIMZO)k# zEx9?`*mNq~&E-3s*>-!a(3^PX=vzy?8b4C-=JdS>_K!`-w>U1ew?Ze1N*E}#w6ouG zsPjEEC(=~55hkG62Db*MD5+%>b?f5GV_RnyHz2t8#{WX<I?~}nd%HD6zRrOx18_H& z4P<d^bQv=G(Mwzn^KcKX7=9=Rgsc(J8jtp#`&0k=j#lltVI(?G*>{=qPN{0W<>!zi zIJ7X|Xhyroq#|LhFBAVE%y7d%2;b${Tq1{7rPS9tp&Fe*P);a9MrE7RNw`qhJL3d@ z#*L!>_#SmLph4cFG?I$u_O82vv%Pa}LaBW9yI{8tx`yuq-Rl>A)4$&Q95{4;NIK9n zD41>*c2P-wTO<|j5OP8>W|>ehzE|*9#ILCmVJK9$-nPp-QdVe}o0L8z+#}rRlrHEX z4gHGDk5@rS0a2j#q%~z3(Lo=-g(7|E)9XSx2$|CSq7o><wBe9z%U38x(2qi}B!)9W zkoRudRV2~`5!q*vyAjGz?3J5d5U*qEeB^GGNI@|D>QChXpV_mV0Ao<}C^vq)a$UK1 zaA<wHIax+G6%Zw7(os!A8)4ve*!H~#uz8<MbNdVkxTICBXC=|P$${&8Zi#BE2{4LX z!-&dzKZ$k%K9v|aF7L5s3iZmG@lJkuz`mCQhvSGxvrhSL`Z$Z(EWI;}B)IpB@|~3m z6UK4vmFouO+v&z7o)Pv3-;aVk_T0OUaMXgbIL60#BQrSlnyK06XPr~Xj5}=CfYCyu zLov0!w3T<l3}(DXh)+j=i}dUTh>mykS1iX!=|p_5#1?s|eE>2B$fkj>zU(H*Ngv>? zDLV*6go!`y07|@m@`ST-OI+X%`e$?#r>f_^_R;JTWUp%`)n9BE<7mhCF+;Q`*m-XT zecbi%Z4@W*MMXnUvU5cAV)Yb^JOhU7Bau1j(Sn7lT0q-UW)G$-B^NfoCY1Gnh}R&p zib5mzqp`ArzmwOEFmZ4!;(e1vI+X}%`!4-nXH0+VSgZSPyttKZp(I;TW=JA|5_rZ1 z@+-Wc^KO&i{$^1r4Hn~EAtt=ptlAxQykCs2bBBZBwTF2)eEK^IjnXFYeMr=9ilERV zR_-xi8UYkw;>o4Y^u1E{TgSQ#D@^WQz!s1m8o?K6k)23S#Z8xD3>u4yzB;;5ObO4L z;7vDzbN4;#%lj24_)0OT7<hIuOW&)8cT1agB;8XM4G3~t%0`W=L9`|gg=`Qx+ZL$z z>0u~Y9(Kf{7`om$7V6ZLxNH5*_HysYBEB6qHJL@(Eb;#Ps;{*EtQR|qZ-a7&2mBJr zi=jQd_#(Lq>iy<0s2VA(d2^6wOId}Irq>XU7)L<^je8yVUI*0QBS~*tQ4gVtZzC9l zl1yU16GDRp1G9|rWQ@tiv0}G2gf4``)%)Uf<XrULX(;Jx1gdp?ae|xhvZoDB>)+a9 zL^6+|-sz!ip68%-i%&{%iUr9PUbUb=8CdSy%a2|bqG9qP`PrWE$mX7`*lq8nEiCPU z87%lBYmSl24KL<roE=9^)){7AJzS%DEJ*)lzBi_H3iCD|zQeE4tbV*qa)muSiRc?v zruy8f4}mDZO}?iZSgcNlVrcHHXt`x#!!|$cCi1;T5D}s`Cs4XbKdTP)EvA79J12}f zr{Z9m|LyG-47<8hMEUfA2%3vjiGd>P7jbv!5xysa<8|H?apV_scpi7ASN2rhA?9^R zhiX05%`9RVx&%Y(Svfv8V&5*H%s@puZ0!?Cwdn{Dd!z@u1an2^e9X|>gH0s060I4Z z*qNbyL{4JL{MJ0~^>8>&S!U%>vET?mSi}?t!0;i3mN(GD`OF>xI=(b>8*1x4_f$k7 zz8sUo8!mo5#VdWllATE^(gJu%q++>Um{pRguy12RZf{&TxN*BwI4bB)%JCoNmO9yr zLr}rCnHadJyR&|R0`(ciYDg;lqOO=)iqSXKfoLkf+zm9tb6eojgC)((c)_&jSoDxI z4@)3X2}oz+vnGW4^k^<VuJ*dJ!P+8`4Pi3&9_JgBb?+mg>q2CRn5Ct~cg|qZMT@>C zbn6*euZiE0l62AMR~10r4L-_|LBloN70SnE!fpulgTh6NG{3s5B?sybf3ao&>nRGH z@N5t%U!ldFtGC%^=Z!0U4NAlixysjr(ha&E2;&Y}vH;7Tg<ZI6_orFNLencme&QW# zr@e2ME*>A8ne>`p2!sXUT~FctS>>OfGd<P*(i@=yftDQIh})l6%Br(c1;64i#~l}$ zdYD6-SK~P9JnBVOLL*m@rTmBotoj-jx5JhKl0!^+R+q~c$@AVA+WIh-UsD-tA^S7K z^EAVqJAmhYZ{Fn1TCvy{4lnKG%YcO|WC*VqUU4sMp*QNJKmvn6fx}x2I!hnM{aZch zJv{`8MM>s#&k-=xnyZqd5>X(6NqjK*MCtp1LKpmVQ@Ox6hPiq;><wm!h$`pwPa{?s zmyv}?x!C~&%r#0L2isNj!J;6y%tyJa^lafBm~Qhp9ENln+n2M2-goBE-uLRx^w{jM zGD#V=vo>I&VeSHod@gA*!C}aO#sg7NJO+pmt>X0#YkFQeUFz4C8VMRdwT(A8PPB1G z=7s10q*@)TL}6{$;G<s|6pvtYmyQFe<$9BvEm)N{4WGmK^kFm+=6+=^UCm%)kH>a? zJcyMVp@$$Ivf%DdNzb|0#Z8Rof*N|ajH5`jd6h^pHjQ+o)pT|n)h>t}sW2tG9tx;i zyZZu}o3}QoZ>xgnTQo2GHs5I5s=@b355Q`EVZ6Z>=o*CHFN0G`$sZ(QdtXke8D@^5 z94kgpTGF@Rwh+dsat^e>*yr|k)-<i&5gL@3kr~JWr)&Y%qwAG15-qf5wySRls_Chx z^E*H|Yx9FM-+QCGVf!qylQO^1^X&R0nC6HLp7<_rionuC=FsC!88LzsjzL<nu`ayW zEiu`bcH{9a!Nd^W1Yz6BCN9u56H%Pb;bPx@cR`BJLdSfWXaXu2>;uaLcKBMCW*O+H zut{fZj)y-Rb(DrIhi_9Ul0#ZS%3}6aw<UvG{tL#4%UDxH`*5dtEBpX!OeQU*1<_T1 zSZMUJpbwG{ad0gd`*)YuP0z<VW0g5;8(DXTiMbK<>h>H|!FdZjy4c#@iC1(jzMS$? z4GU9JI>l`vUVjZO>~s}4W9u!J2x_p&=fDPOy=6bLrwik925bAOFzpj!G?#K}LVr2f z6bH<nTLd(m%SX+`)yZeQkRzt#{vX`*TF+I~tD092q3Y=OEmUJ#7q&klSH7aukqdgu zyvCR%nNclK{))Z5<UDJkm1-QkB|9dXX-0^Sxhy-C+QD#&`KI+6$DC+t-(f|cyzH%M zyy=PwyL>5|>*|Brb!*7r!r~=-2|qY8B_hLuf2&iA9nA}bPHi~m8TFF5$IzY3-6&_b zN0D;;nuc87sAcyhRpUIm6AcNK1SFJqmVvd&y0f0c$3O^--SXvWQ=ymqhf(1s4>b_i z3^cQA9Pa|KcM<6jqyp*yP$vx|qLr49a!UrN_jr*ZF}Ah~`YRns1H@f|>-sVzX&5F` zr<rE0wHd+5U?6r6+5KraM1%HNJr8+mYn6qJ$!={1d<|qpO!UoLId=lHtScR60sFC3 zYVF*uLEM*ASGpfx9+Z8^b3;5rdaBTSrad|sT-5;DXRZCsnND2}5gTfI`MSm+=%)t? z9W#t%?Soo@>f2ON*U3upFHBoJafU6jWaTmP3&{!eC)?irOh;OG=%XwuOL+>c;$w-Q z_rWG~W(gm_JvC{OFr}|0sUf06R}<2E>zMWAO=J+L-~7>rnU;=Dh-*VC4^2$Vqw8#j z6q|U$7OK(Pcq~}0eJ(iI9c+gBkR1~lqT24qcrr0DdXBu0WX#6JGN@W=L!{#|E5bEt zjF;&-y3_SasZ^T`hx>#RnV;|CaMgq}!fA>mGrX88xRlZ!W4fQ$juzX!uAvyEPwFYj z<W0N|55tOJJ8shoRfOY77SOwi_udv|waOFTAAmj^!3&bxB44d;nH;NegwhTZlD`11 zn=OkEBQjbdMt{fm6+|n)HEvHOt-5P4?xlc#P=D_*Wth`OOh^Vok3-?SnpGd)(8FLc z6T}+|w;cNbjQGHx%>Rx<E<MaQ1usu=GmhmSM4)-gcN7uiCvQISIO6qfTQT@M(Q3+b zb8LnX2-`*YsE=rrvQfqEQ(uH#<4J))#ZTUesEwj_{O+${OVP}F=Fb^@PkfciTa425 z^X~lKY*R_6^rtK*P}q3B9|}gl*tS+2U?Pj?OdlA9*)rL-hP@iezZ4$`sDkPA*1Djn z`()S0yOHx>n#`$fT%qkfFr~@#{o*LcC*!H|=Lt^QZ$Xd_{q|&xf{ZtI^&%BH!IL`{ z&|Yld$;p*win#c#!fH7W;N+3W66^IW!9_#tMO|n;id68;Jh)g@I$yV2U%Qn^=i4M( z$jVCqmI)d6ixBj!zIi<ds8q`Xo5R=Qa4iMD)Oc428t4ayHo*~4IEUw3UX$<#W17vn z6W7j?Q}8rB%13y_T-SvAg@#3TD)TNDyL(Qf^$nPHr5K|brnX;=IPd9M-~_zMC800` zB!IfU+&s#2#faoGM}2)ypXM#w_^xW>b|MIDW*Ba5cEr0(lRxIY@nYD)_%$jw0M&6f z>chHO$|Z=;7D`PNh6fMBywwv=U1kP%>-q`Fi2%2{ms#1hmtNxEV56?Z**%8(j%kAA znqe%s>j=r-+_6AM<Fm#aZ!P;1Rs*BK`r-G>;S7V1X)rfGr!Y?2OlhazFgAPTfIEO{ z0YEUw=g5xO`xE6!nX-jzHcj5q7`cq}*poDWT@hQy=eRJHC*#Az&Il|(+A*wl?9$WO ze(PIx9UJ!5?V7a`C?9y|I@#!Bdo7B<o&M5U$$kLJ52k@Ev7&}z=(Lm<Z=cJXj`PgK zbH;@X-a%4Dx_$m*xk$C*jC*l;zw#{+YNbGv&GIybCwYWX684goTDoQjd_ObaKR{a) zYq5*!?p@6Vn$MjrTGN@P<&3tZN}EusT9T>-R3hfggm14@^i0YxZq3a)t!%sX3}ZVl zq-%*Jf1jlTt3&9yTob_?Os0YvU2E#qmGhy@Wkrn9`=w0KnHI_E<Q`SgYEVHq{lPJJ z*UPytOQCm6NAl4{TA>*2P3hA9*{=`c#e{sob*J<tD+?C-=V>ncv8%09%ZxJ3oVP;< z7q)fFMmdr7LFT(cgFMFTo%g)(aHX_{E<pF!fO7ceBA~h%W&Nd9aEUp51St_}_eM>m zQ=;P85~qiVcKzoxM1=t}ZLWeZs54s#(Iak;ajaBwN`}Q{!-5J>LWN4z4`Xh_Se_=i zxXo$pk#XuNBU*#$+UVwBXQGL7TOITQt{PWxbE&aZc~Roca75Q-(_g>y0e~nTKU&Vh zY#Ixj$lZRLy=ERl_myGcIRi^ClnXzFqE%oiYso$iV=yA?M<-}<D>HgsccNR>k(?=_ zheCN-W@tWtQBGVV921%$H3wVrz88~pSn=WrSweV8clkL;aaJS?w6-(T{N2_banuVI z8ls|h4&NKMv!`42?8l8qdFzu=wMOYB_#~nk>b7DVz@!sV!r)i10iydy!X0avBkjAo z4N`O~*hX91Da&X=T8ee-eLAhixkb}Pr-27Vc#BEjWpUzyxXdph)T-B3kxd#I4pRIH z{4+JZv>lsw>9T5+>)L@w8^^d3@oFwWJOhR;`-loUv)m~_;2qxJeGC+?s_IA9#Y~g; z0<wHeBDF?OAhJt>1p?j&Py6f-4(*xc?n#tH$Hs`A1D;saQNYEYzAUQWxpJ*%7y(u^ z;Y!2zz<IhZkl?eHIi<vH2YkX%;3tsX`lALUcDrBqrbfR-+bc==r^rN;NCS!+{x~md zJ@=_@uLItj<$tYwAzS&H<m4ESNr&M)87t`?97XpoW1b)08g1Cfn)g0>HBfQ37MNf& zMTGIbC}tz>eY2hB^F;;B#-4***gjhC(^z=|V<Z;hbjxYy$$K)~q=}hE`~rCR+$B_% zyY``VI|H=RdvYs-jEKQZ66WPXyU_;vW>z!BwN_T@UVva<FXxVHjNa7iS<th&S>+06 zV@4Bs<I{N3oW}|470D)Izi8XEt;%6;ec04`-pLp9M$IRxSk6rA!s}w%?#z6jsyl2z zw7Qt*sK{W!qsxpTVzWhS=e#-LiGKchg3?vT_@GIr-OF#WJAS7pP(`cGOb_GRs^{%W zn800ht>OgUmSD`%S9dkP!LF#<OYL{;4-js&A(8X((hf!-TyJ2h?jFavyokAhD8)zt z-!vUw!!HW;1@xvXIo!UzAN6G!>N&RSVDJEDJ8!Cr3#-$ozLv*u$8S;O`@i5`tp!L# z=vU+IN)$Pe#~G%(FHKTg6&jn8)X2@L8gK99jaRDYA|^Z??|<(4-<Ur4kU2hcXi-7g z2P8bJ&YK>LS!l+v!JxdkE5siZ6tULq6oHGC|0Hu>tApf5z9IFcQE_tf^5%Sd$}0ix zR~%*3){RI2LgGie5iJ&U3(18&6wPSPQtaTKJzu+nUiXrrGCbgWr&JYnj>pz#jV}Eb zm^gY=H80?l0BwWV6WRRY<qvkNWb%BA8owEtQ*Ss<+U8RwOQ^f5G<-ti<0CQ$h?;Zu zIa7Ns)<AT898^CEEFVEgbmztnU;Wu@Y^v|y_e_%%v^gcIYDE%TP$qFs0)pL#m>fW$ zaA_9PB=)^%-x#D8oj<6f<5~^rLKU!b%0x$FtB^cheZvjg8`t?Pfm&JgWr>ulATWH6 z%0}hMp;%<lo@#m8MWVmDgzRov5zu*T2VSA|if8`kMkG_r^WLcpycmbSB`${yd?mA| zTQX{ApaQe%i!um0gj2~3<zqK2lIF9*ei>qv0sZ2nKxh6~o~8=9vzU+%sTxyI5X#3e z^!q$!9`t)$6u+fTp^jsg=`+|nmVs==DFWUd&2~zz@laoivnt^eO+Kf^DJX&|8-fH@ zU<%VKW<nVef3HEC(942q8&X)1=Uo-2q7+<Zs?hK#LaO4Ep^E`QCMqJY**$}xL+1|y z{1}8Cix=|87_ID?g;VrspO6w<%EB#?sp8FaEG0auriFD1lm3cE`Z_kLl$M_$$;-M$ zkf*|hj?>FB%FM4czHz+`u4mSHgsV#nJHM1(yl(ed)*e`rML{wi%ZGNkiLc(bcTKm9 z<_V8-*~k`3VD^WWA*a~B>zd$x6chV*h=f4NSgcr|3-ic$3TZtVFv7K`#B`U*Ie!tq zt5;gzO^?-FM~-hr!r{W}{)o-(e#~ipbA|l+^g406aY!-iJEi<__z`*4iA?l?^$|h; zvGB^teZ7(Wq3--~t}cFQo9$~Urws}Dl%p8bIeovdj|>c81pr4wt!WI6?kEi{?5ax1 z=wqy_Y^iDkhvqDbhR%si_Cq!q|8XzT_>|q5*DX-!FLM<@AQa%g>{WhGL}u3nO$UAU z9H&A80DuF)e!dO>@Hrd_Q0V{HGb2Mg8aHbzWhKbp_9}l_to$p%;^t}|{d1Gq&p`hh zq3)CWuXZiEj!w=7G%o*@cqUQzO!-M;`P?4sZ$xmA|41}5`tLGL*|?L&K2sX}jQ<Dy zE5$;8N6<e&?erb=t^e2D9dIhDG(I74pK1yIuhQR8f3QD5&E?G89cAsDXdH~~tn}Ug z>rUXX+tR>?005{V`G?-mclp#y1pWuKvA%<qJB{04RyhBqR&5-QlY?jg07^%H&4B)M zwFBV)9s0lG$oC-n_&-Hy1OKbWVA6lb=^EJTI~e`1(7hY2r9Kz{fHnNTe$xG|@%KIj z|N8Gx8b>pIJLCV5^zXq}EeA+LluzLQ#NU9B%zpsd85#Ts-0x2e1wIqO8!iCA<7XiM z4X4Kc2b|kyxBee1@>`rpn`y_@XOe%#|EtLFY-4r*Ky!5ZoYDOw?zgz9LhA$i&jM3@ z#@}&m)_=em|J85(N8E39$C8^5?4NS}ioe%_Ea(q7BNMm(W#?fi=Y2u^gnb@u{{qbV z6VTAg@;?H9D_l^35`i570Qf8Zp76<^fDZo)Zj6%iiR*Kb`78dOFrysczb$4oHa1o^ zj{ji~{w_!n;&CpZJRm?&2-v>>E0z8LG%|MikHFsv|J@JkpFbYQML_?q@V7hGA4~jO z;NM5$fAfB?Gpy5p;Qbzu|0D0WzQ2!||Fb^EnLqIUXXyNoxZm>rJ`?lL^5)k69rsTY zGXI77_c4TjW;Sj8JCo*rh7$e@?(aP)|H$~vAL8;4xc}Rc@;4yp=eGp_0LJGd@pEw( zg$4$o0T5<lFjr=^bWx*JSG1%wV-|E#7Gt%r^kB7RV;AsnrnItF7j&X^v2m4E7gp!~ EKjWY9o&W#< literal 295645 zcmZ_01CS=q@-F<2ZQHi39ox2T+qONkW82n_ZCg9GcV@o*oqO;3;{5NqPjp2`S2QxS zGOH8Stso5oiV6S#AOTr6cAD59QO<_I0DvA80004i0+<*((0kb0sH%VgK#s53%!gMd z?TQ#t!S|kysA$z#q89{{St}RR0&>IPIe<D`Ou6=0^N<C>7fkZ}7Q!kk#o}_Nr-EW< z>*Pn%Ntil!ZJFy!x{XBrsw}M3JNhnSs@Y`qCW4OHGJKa@bb;t6Vf?CGQoCl_61iIN zB6=#U3lTj`gzZy%*?+@9_#WTa@aJ*E`ysR}Tfk3+nNnF8{~QzQwi6VQ2D}SiZ0o?; zJrX$>!ammS@GuMg9;!fV8;P-%)C#!L)?HS?^C5VoPpkf#4}+@PJfiRT+FtIY9nW*^ z@h{-ARZm%hD?|Dm^QAYSAyFMpGM*ETeg*RM2pb%8*l>8!h>mX5m9QMnbFSW3mzzks zH^ys>qGhpSbv67jYtq7lzpL8gXz6Q=>Mrey7u$%EM%LqWk#2I+<*Ai7NJ#Wjy`5hD zlVOVb>*M~)LBWFO3ncEKq5=~8m+Cg{^3^_lG^^Ro*Hh{WfgVCjRo?)Vi%GL<)_7nb z6880=YlxmC=X!a#_?v$>B3RrV>5@kMj=G;_RZbe#`eY`Ig`r7ETt-QVxwmUN`NGc# zZkT~m`w#_@eN!P1Y6LM95<y~_nw8>Wr@28C>Mor)!8v>wq^#Pb6oY$IArxWOCb|&5 zA<=NnTj4u$<<9A<rpqDgreAuQ^s@^;{IR3i{7S(`VPIp|5QEOF+{rDudY>LxvrHJw z3-PlEde<6?G)|K4d6RpJLp`k)(iV~(jk;82j}SMTeiRJehfFdNp}q_%kL%%+><&?o z`Xnsrs}gb5I8)-UsIMF5P7xuqT&$*ifmpb_y`8$Op8Ww*_z!iodbnG`00ID({x*z% z)X~)0(%ep;N#EVc(80mfN#EJU)rj8h-|FcbH*F2fggAWjq26r_quodOc~9zfr+`>d zq7W4`{u|sFIjOqy+c#O&;^p9YAUkt6d-cZHO80QNHVU)1mzOUFya=f`MeRh#$W8<S zrKVvD0!SMEGM?63^jjb!6PDkIINk(Qx|?R7&df(8XsG6A&ZA-lfWLih&%m?>ivo>? z^MZsFMmDdo5nMY}E$F--sDP>AAfex)W(L}{^-^ff0@>T<5=;AnNx#_^Ba4a=H*`$G zghC@T1ciT{#?~FO^*M;)MhRGQ9l53q!0cJfEcx!WMN>O%dfv=(vHyNF&h_k`ZBa^= zG^3@Pis+^Pqp6z!L!wR>@4;xpu_;dbvjf!J_#$Kb<zaJ=LNpeJ{eI!+E7*Su^-8Mo zo#wAV+5g%(_WxU`7AF6{+55%|Sq};#hTgoPIakktk{E3skusqU7&Wv$wqDwmIy$Vw zb>Xmm_u>?&%|EkGc|V+ZJHm!qa!Wsr2){paMv4#3L-I=|@^204OoEn54ODV)Y7_`} z4N*rPJPdu63g8YkZ#dF51Ysnt^uxN7&VOPQOK8~fWTcyBiq{MsrgYbs+cfy(VbID_ z9GY}$94UruB;Wk}A>Lv}wW+<sY7wXddTUi3&I5@OaN8LGD=|bx@r`8W4|T%<R?*7w zLY*?z-~6R+Kw#RSR}1_LM8&MGCy)p>$lJlBuC{+DOS^+8exVJ0;7u*yt@Hi~tm7q3 z<RZ9gQHGMfO(sLw0C9x!h+Cj3$7JO;iY<^IW<Slv_qr1n+CToArQLuSVy87j9N2on zH<tGu{6A$aLN+_s`FD10|J(kntQ`!U3~m2oij5wtfMjWQa8&{XqdG(8S}@+JZ<g48 zyHoeLsjoR3O1t=V`(GDj8?D#vyy#VPjM-f%c_Pxhk|<>!$LuAWbll>kLQEdDGQ64H zr3&0%hYhb@UhALB<dU}x^jf7KC|W<%9;44_^M`BMTKPRXDaOC2d`>~E<EMyP@Xb-4 zY9%^yUOYx6`ClL7`Jz{r;rN<c*UCfBJu|M2brvtnu`u1VOv*B)UWp6b($=A;aW_pl zbsjvXPHh7IlXU`8cT0`GY{UOmHNyX<W|j&Tp3d?PF7!^O4mO6K|2E>osJ%w$Nm^-{ zadk=-W=7_DmL(P?iAt4n3F_%7NyV{QWyo<#RY*BH1qDV`M%D&q_9o^=g<DrBML-35 z5@7uOI31`26*g9=u~f_e3k<xL$fS{J$>e{K$L+AMMF0r^)RFx^M#j|8$;Ol3!^HUC zgw<$mI&E?y`_8Jnm_83=Cb{03nyY<?NUKa~ZATR+JIcyd5F#fbDuZGGOs$pQUar8& z-k38hxAP>qnC%4#IOa}q1)CS>sEcSUxA2oZ>M=zR9gt+jSzfbhRER$Gd2o7CUmM&O zI%l?iGc2sn2(FF{T6{76ju}>ZvE$BPk~`{Do?E}at?EfL9X%+@Y>w75&vbXPT<-j3 zteP8#p?O#Dq4KTia`*m1-<p4aiGvwmwtc-ftG~ln-n|QA>ty+Eh!}q}V3v{QQy_Km zUZz&*Y_(dzV~|I!9947qZTrQP17GyYF!%uHH*IBXa)v%l($~Y2r>vuBhWv%N%=6)- zQ8QjYEj6UxR%hamFT_&6`%|IhGXF)8Uv;DX%Y_|oAS(A#eDO^eS}dxYAbjAK$3Rb1 z!o2Cu2y<k<%%L4K=DQ^WJee_2uWxg-$zr2OzbJcMcSHQ=qkqfNQ$y3Lzfa$HCl>oT zzBXOG;!Ri0-YOgLgo&a?dDIU*T9Mv|OfQfpS>~hxo45>rEcmSA$E=(jr3KOh|0yVn z1u&Wg1X+Svmc8lAeZmeCVy;R4enEBbq7@tnjO`uy)7Lv^7z74-qA_<?b?1!oDGSVr z+`$72Ar&)Q$S7bRmT0DGR-Vi@uDLpoIj(|Q&jP}2$_t(#nRL0msp8<&i904TXhc(Z z&|%86kaZ9uChh$cdM?KAnUD%~M42#rxP#%Ja%S-2b@Yjt`?a~v+1@3|65b*34d$cs zr-Yx8ktqfiZ9*!b;L`lgY(`w748Mv@WlQrt12_x524KL3D6wLKEs#fY%6sTps`H0B z8a0WQ(va;7mf%6%vd0&s1jswmrjJ{Y$aZL7Xk&Q6zxE|4<UnTN`hk<cCnty8#OSQE zrZ_E+ik!09L*z575sOU?kDS`_TjQZo!jRl0fK(JRMsg`t{G>`7TSs_a(=T1&r1h{n zisMM<?uR_3j*>T4v@fUkg99*IClu+^y%AMIGlm-zXd`6qOM!2OG;ctP+YFHhNhRh3 zKo3EKGG@YvK=XqvaJOQFJqSn<$!>@;;59Kjs=v9U?1?br4N<pZ7mGy!yaPL7jvmhb z)JMMr9=Re84YI@x2Z6_reFj-G3fyIj)=`<l`lb#U2ZAk_3B-xyLNs9|v5Vc$XnRK| z7YAPLFl7ZA6`bC_!1FU^lrY7UDdEC@bLk(!n2`vw<8B>TI-tZBp*j}k@|g>nPy@)# zP>r<)B{^k%7sM2~hh+vK<Acxmrh++R!QBrD!`^gx^U3xFD#kPjJ2o8M?gnNByO4hO zMwF)`cNO1<HjT#eN>sK{iJ8E!ibw#Dv~W@~v?~=&RF^xe))u5({MhGaNTv%~z(i6> z4Sqcg7walkr}fZDE%2%M(eVi(n0>O3x}gP}5nZDp&8+0|B$O!26rfkZPV3jbR~eHS zN*Lybow-FRJ#N!j77wCRX!!#Mf;22ea#DB<Ei;+BO)ut!DZhg`VkVv|*9bowhBibe zwjp&2@#pAi4L2SM@l<Z=cdhB+wmt$M457NgOdiVWPYohy3aukrwFyJBRjt$bN(%>N zawv#4y>{e>Qcjy5tpbS2d5?mtff9Y)cH`j)Gb#Gm+Dw`#nA%?n=>yCP;ORz#3XYSx zv_dtA4y|0Ot(IRo;(6A8>4n*8Y2VU9_pU^$Rz2gw*+<N^2lZl#v=HqTHqTV%ZYb1* z>{w7T)KVFW4!>P<qtUFYmo9axH*z#JKrAxH1~rB}`JDnD$e}<Bs*_Y!Fsk91yI&}d zc?Q<gA?y586F0qiP>>}4M;~&fL1v*e{kV36RZ4b5PpPZy`jTgp)$Oodf^_QLrTl9- z6bwoF2~)(b?H&2hDmSunf!h7n3Yx3LD-_y~@oYTW?!lljGOw;SIlIJJh)}`%$U?|g z=21{|aS=Moi|Pbm7CL6?AEB!nm>sm=MiQ37Hx>fCNJov-kABf`yz?|osMg*~r07!g zlN`NwXliXp@N7Nw4o|VRP|ozBo6Qzxh-c`3N1{~yIDO;N*NK)o;qC)e)})(sGMBlt zSY=_aiEorF{bY75`btIb5ZLI31B&_TWp_yq9rEL|fA470L$b^0o*$F}{P8oK9oFiC z^-9UGV@X>wIL4`1k!c=Az#&~%U8jPx1~ZO7y!^I)(~H=lctjwTr!}g33=e7VKDszm zKXNF#<hHx-kVAF7;*dHb@uHJc<y^~{FJ<Stvc@UAijpWCm;W^Ln7cLudN67@HRzFD z3h>b#UliZwr%Z|+;)ikSsgFtSE(y&+^ve)iWYGZ<Xfi{`u_d6D+d_RLxP&2<NaB-R zJ?a*DXYUp>A1z9HTTyeFk}PxvUxFuP#ui6OOH){nLzJ8k<w``6gi7+A7)&*33Td%c z-_cWt&sa3!r87(>B`^JtT7bG;OKS6{4g6OT+&naP8@VQ_!*t1ujJ@O?X{4-WE|P^! z4Ma?BYbh8)5(U}H8MG*FDrClPupSH5*B|*WW5Z+Sub=CG3YhFlfn0&(adZUPlQ~dQ z&Hq3zR?@yBBEJraJkj}ma}RRK!HjD)=sy$_4Q0#GWA~rRb_msNUb}Toe*l)q<dO~o zpOE|6<Y6h3X6FuId_|4m>&Rc3gPnV{EEwvZ>3;40+`M+}qAlj7G7LR{8oV)=c0T>~ z^*oMOxGUaQEq=322pP9!mBL6~XAGGgD05(qreM&g<JzUP3>jzl+kYw=a~HTTMVHs# z`Na57_eUA%Q-BN|02tc`08syn`|}^3MNh7-{UK*#*v*SN;+S3zSSinY#KSzq>5qm3 z((Q&rS6uVp0YL{^*HONPnwFI7N7j=*f+QuIq$F`Ku5v(h?m!09Tl<(-G+AQ`nP*C^ zx@F^xe|@$h$GNUvu4sDj4%-LU2d}>r|DP>?9XjMMfBk#X$(Qhp<yF$z=dP+#*AcIw z2ZL{`_j)J!7l`r6_{(h*e2OafPs=olix-B!HrSe`|M2zsb>ja-x6b>u*Z3sesV9dq z9_|kxUUcj9nC^d1@!|U1tw%A*8<lMHW%{-$z>G$zJzMy8{}A;#<zGA={vD!A4sqC6 z_NMfQ#8XoJ;?K~rfd`M-`lGhe*9CXx2!WWnkjCQB&JI3~zzoZqYRtFuL@(*237@YK z^5KKk^!;eaI1vk%3A<Epk2w%4=d7Ot&2!FO;;~KdQLuFAu-O|#x%+PCi~FS~mo^>x zpT5a_As^ilGnyS{Y4u5u-ams*T!R@U52oO77kl=w(ccvObw?RdGjA^@m;SHzDKh5q z_aFX-i?cpfj^6h~k@4Tdr7Zl9t3uIz)9O^-QoUsIGCo`!ov$l0f0hmw??=Oaa;qsQ zV1z&F;%d4M&@K9EP5YU1{3X+ix8cHZL|{7Eg1pO@Bi8s?PA=wBeB}G^1}Q(~5|5zY z2aRRb^M><s+x=_VN0VVqKHT-y-R=b9&>!%QJ8HG0LC_i(`}D5Mt|y<qPMhg}2qW|* z;gk57-;~35yGuDf%>Byl>y_sGiSuH|<o!DEK2G2-liuyjo?UyWukp4mFQE7R>k7B` zg}d7SZnTdPU0Yxbyo&ct!Q*^zWl;5$OaH=W%tu6dMSviF#%sGueh|s9sPQpgi{R$q zjn-UXo$<FH^vrDG{&7Gq9<#0Ar^)G|;jeH^xV8MgPX=}gY5R4~v7H$q_&cEu&%WHM zSLwWawlj;W*W1s)j;PO<yu;s!IN+EAGhOW8Gv-^Gm;$W&KauiVTUiDdBst=mUsg$4 zGSEleNVeJN(Dj}8>2#tk3mp3~-LS!)_Im;gJyxQeT+xk)^1i`2d-32`!do12`A^@V zVPkxyIX2oGyYfcq>+DAc2w}yDy0Tn8RylN1Vwb-X81jD+n|r6P&ZnNj7Bvt!j(V_o z{}H&u0vA435T=j3GFZ{h5S}@pq_vJ2o;hAA_zmBtEM6FR+puFaP+@=OdLdTzd3y>` zZPt$W5T0K$i?mBl{^s=Y(6vK|-KFTibS37K218<#ZRldZ7lW|V?~S+S$#AV{*E{Qs z|7BEr+`o{>CKttWtz~5<YRYA{>+kBPoD*Hfjqye_B8=Ac(k|6{<k-fmCobeDgjxyt z-OU$OmUYt47z=T)P_gFuQE_6;3z9nu;aMJX86<vB=LwIRr!)c0KrJxjaM@gqbxQIb zs(s+n%1JE=L0W*^DPOyrcBH@*<4ueqx917HNHk_bVLvVN`J8+u@nVgWLIPXz08YKp zpsZMpAbqxFyy~<2YtS=|U)JA1SE*t%*P&q9a_{4WRfCVr5p}jT`9lKSp<r_LA}|Nh z6ecEG>fA1LspaIr@DyF9JQyWZP8>u#_)axk>hYSe(dPNF%c!ZKIn%kYAd(#uCl#<G z+{6@pIuUnJoDu)syYIZP`eww4uvMV+tKbyivx{HaK^+a9^a?3lm^1=q(rEA4-IAeC z%WBuq<fl%0z&gk|0=RNPK0myL1HGJ+>u+z@3Hg);pLrCPrn1gK7n-x=pu;~L=GE#G zX%d-7S2xMp8e=#KUH}ayL74_A9g6+fm;JTwHpushe<kII8B<6mCP`L+f-|8~k|MSx znrW1x;)I>k>6$H3mo)5!_hHP<7EIX7qj2T{6B8>VCkw+)_X8h_^4YoM)6VL0bki_I zB?vnw$c{W3?V=-&H6IEi_@pRlB@rQu!Cw-U;c&7!AVD2OfprM-s<|bT5fYSm&nQi6 z#sTLZE2I!h#KWZQaysOt6fPT5Ikz5it?F;5m<5(PD@xQW0+CLo=Ymt4Y6C$AGhbnp z@wGyyN?EdXy%A4J9CbqGPZw!ECLMboMm`@AwEnF^mkK#``@gSXdWV7cdKkibep<5b zt@P@=hR<`P)N=4Z$f%7dD?2+G9V)KWjB<-`B&EpnnlXfyyl11Mk?Z2dO8reipHY=0 z?$&+f7qV2>&kgXyB4m|bk_zvQdSmgV=ua_QCDH9v)EY*9YRMip;lfh1_LWw$;YIo( zpF$`xaq<ez+)gsCl#}e3881~42Yn}*lB$>Az)MBXa=HG2B+te?y0)cV!e@^WJ<1$> z`xc*Ta`V||?al+gA1!*u+*NEKMw&*`8bnxh0SjmIUUweF|FBBy!o{X^CF|nV?Dr41 zd3>eN+Cj?uTtM7>S^~9gcoObL7|jO0Yhdsi1Zf97FYhi{aBl?0x=K{k?wWE{kw|5O zCyS>TY*}<>zF06+bd2oORLAhr0!d&Ewh#LL>G4uB!F$Eqg*$ONkxS8Rx`smsk0{Jk zaC@E=^|mbD|2hG}ZgR_u$;lOiSPlv;&*K4W#Dt<igTU<v_|rbOo7do{!!7QtdUaRS zKy%Q=+PL(C4`!Qh7Hh8Pq6c|i(b4%3dIQT-^Jt)zFyeyJZWhsSjy=@d&)gbE(c0BT zC1Fz>#8@fu8~Dwl;l;|&Uo#`h`J&}lf@0KsJz!HW&Fq&Hr)7o$>yRNu$cx(j0Wi6; zq_VtV(mt?C>*JJbk-2GsxoeRyFXKbQ)MoMBvW;d04V?(J#uOaWO+h!%7x0WK=EJKM zY2}mze&N?*Rh}RYRTo-2-u9xZ?&<|;Qrm;+6yZN!1Pg#U0&A~iKiE~g#$GX0&QDg* zxS9rE!dBZ3%e|fPa;XFMz`$3Z-+f^OU)t$@dXWvGMUpW<>j*&iOrNRjKz2{=CF$<> z*?V8A!FRIH><R{+p6E8xd<)I_rBbQ0PRP3c_JUqwf)*u9#;trJR<^fB?V6r*MJq=^ zosc8j6l~Ggm~e&btuMZZ*dhq~I)QC)$TASyXsKofxEYmA8&ISv*?<DdH0shY-m}X_ zM2a=EWMd&dM@l>p&#QnwS0Q*euQt$;EKa!XW=p~Vc^(0_P6M-)I`L8@6yjGM&4fSO zWlJ}p;cR%RUAsXtShq2#Wc0mvsA9o_6{(K!>f2S;8E&R3M}7pe#!C_20FkRcO?o#~ z<{FSw`*uU*gi^ofagtSSLcwwI@fg|+fYMT{KJX=4E?$AM^n}8DyK%?38fkirpnlM= z(WFxDv`qu~=AL!=B5m6-3+kJr|FGA7FHNL^1XbZ7x2<DnC89>5zvoXS1P^qOxXNf2 zE)&IqU6w0He^=4M%xN`WIH!wn9;8O8y)g+*5bO6+dg|FyuW<X(l2DDmfNAjeP-d{) zEhw|RnUNFCXe~~1@uko#)rvv|W_*GItnBJDuk?o4xvGvL<BYEOg|3pk<JmO%3+48v zGvOWHO_D5xU^~rxQNMJgV(3b@j__#ny-_6GCQOQ0lc)qHKu&<ElW%eA3>+Gd{?()< zmHt=3Rhr9t#n1t-7b17(wnAiaRU>G^S(#{bHfWAa8<vb1S<0!ce0vJGqK<=Qlkv7$ zxlU559ydlwx>nNi_Su0+=d=Mc_52^mbs0F}=;U=FkHSsZRJq0=84N%sW5f@&jZ2X> zEU9Por_8N3%DJNrb&F0oG2?m$>K<6&m*Qm~kGxuEM-IoQZaR!2{8g+__oAyqqY^eq zDY9IYJBundi!bB~Q~xDnb?O2eaNrH9e=H*-FjwPz0-tA+Ao+<|s0=){t*<T~tIB;p z=}%%jV?2H<i*SdODt^N@EAUx4FTei2D;n~}8M@V73vr`TJ-Wd@+iGK)BFmDm@9zc} zt6pXj!&s|c;D*bi608gO%*Zq1d9%WCG69GITCy6X%hoGpaHd<=QhKJkhpdn_AMhWF zmZ!yjvr6_gPm?lyG*r(E$6ThvCWT0l;w9<s2RlH3^eR!`C}n?cjkxVxSE^{H7WasY zbPa)7gWASIpa?UHS-Lr3q#Y28WoBY<?5uY}+Ck95ijV2Sujs%qGsrP1M#tt(5T~yB zCEH975d&Jbd4LEBEdTk$%WBbaRy6H%Hgv`-<`6MWJF8HRu^qSgqERD|gcw@jR`d_o zt8tgpa&-FnR|gD9b!#ZFC8t;^Sy2!~*A$cEwCfNSAwbKaz7*F#J?SQB>DP*aSF{;Q z_HzJ{+!Jk7U8mi`^CWA1w+as3#(iCa%Qz_s`-|l4<;*+J&X|Q87*nlss5~RB6k9=7 z9-?9H23jvrH=_zhZ(7_qhL@r2eX=#8)X_fs?6<?+d(kBerDU*k{+hK=JFP$um9MW> z+YWm!hk{>EGYSRKxu3AHEo0C?a2L+RJa4Q$^t-Y9+={>P&SS2>j8dnCBzV$y!5dec zuR^N1rqMX@;5$0hl~^C2F&<!ZE8LP6VLfT|z<~wdCuHd4(7|g*vEp6D`~`+~vi6cv z!Lb8F-VN<M_sAVybzEaRpao1xXb@Pd<-4y*m*U;hQB-vTxS1gb+HTuT$b!TYbbh%) z3;>^I$S+PG&&dkM%r(j=u<QdK%h^VILBbhCRy87SQ-vpqMW;hhEbwZVf>w^{p0B5m zpDj<UX%gb7e_}<6x7M7kH2hG<m50RJ?z<%?#8zcw34m=|kxHadpJwH3M(mJQi?D>8 zH+{I7#m>|5ybr)`)2G~dDKVH>A*4`cWeLKSNt<Z_)7V;p&Ow=3qavuO{8`8aDTOw_ zB}}7Oms6Kksra@x_(rekSc(+#;#<R=b--FuG|6iZT(~~WWI*9o0J;=uu3vn1z-)4W zFz{90{A5>#Vr=8#?dr;7^7hVEA(J?T(q*P<ar_5Cr`PtbZ8NP9YfP%7IryET+g9VL zQ|uV7zkeToYda;+!xmy;Ba-2-y@3biYCzB7e{f{!-P20Lu+1hqYE!)F*XqpEZpuX+ z{1U74Zi;1{WGBQ<{U&Jjy~@IM`=kG9sDNd~jr5%?4X4lwiggw8Gt)7IS#35i+(_7) zixFvn;s?IwU8&(_C377~9sUo5T-oLh+mQW4RY&XskBydrg`n(oE<2n+4>vCuHzNv{ zzwEse?;sI0uDisl?mz1Alvw6rxZC16ql>ffu`1>Vp)VHNfD~$;Ym;oW+r~-3YJ1uX zdsZ;)8G8P<E$y}eO;W5l)7>n?GMa+{ll5lpJJ$MXprXYMWLD%@`J)1>gDf~+#Usr$ zK5Kr&7Ox)H?P*N&w~bPXDGXwa6z6Ea$x{9}>gb^Gsm7#!$}}T)W0G83016YlA}Sja zaQZ0Uj#;=qJIL9kNmHgB@S0ujZJqM%lCca9``=f*H%vz>ZQEZ<p<3|1To|g%^1x5E z*lD5Ltm^yeqK($X8y43KHbzn<&T1)J+>_8O(cQfe!0_jemImps7hs9D7Fl9<*)V=i zmG391f#~l$BUomjO;hg34jMS7rfMeq^qBlwYQOk;5jXjH{6<lN1}Sm85UiZ&B?4%P z@>NQb<z!tUtdeJSw9d~|+5c5vl#752-Q5Tm@r?e%NRtEd@|V(&XN@|9N;FO1-01hu zpo`3h{Rbm*<`cQ*TeGg6Z#})WmF%FOZgzcGk40#|<J9|C1C8vt@5ZR_T!a+bj1*l9 z2r7S?DkCl_eQ5=(*wJDOszz6q(OU}&MQf!&T7iFtXgpe;bI#ke3AM4fFCzacUf7n8 z;M0fbo6J#_S;|s@{?ou8+kmpjR#Rbz!!}h&7GYU7V#{dFG2$Ovp{2~nsxvH7V~K&l zYOH6NtcDuAKtGrwUnc4$97?+p7?ZXyL1Ii`A|or-K@Z~iQ_VQ#ucuYXX%X<IVVK|P z&L5E;n&~FutZEXP0^W}2I<gQKuF5kQqcSf~;LJ0~uSGS*d`y|AmEi`8Ant84oC;28 zY{i`B##_FDWZF#Iv^3=rT2WjdulChM35OW+gN`N*6d}+%%{4EJ>VweKN=YgfH6@$d zz)CtHiTdDdHQ#qla-6O>&rMyaNQe_DTtmh?NPLH{ky#xift5}2cp_z;X)%kDME94n zw}veRNt?Xvz^WjwJj)d%{^bj8v<@4~v(U(r32ofIr`&QJBZ=y-#kxMDrsTxUQw>wv zYou5^8L@SElj10kce^X!w%nMCamp*sPRA;@5UdK~JZDDiy=N{(%;;5pN2qmlc2%69 z1QnuLFvv{oggWky8tE$bUxee8vA)2|##DMfEumYzLOhV4l}z*LCY~n8qx=Jk@iSDh znoeq0k<LoPeCj`6_SqNmNYU!BA%D@yE~fo{C>E}aIIaBhT#);R&J?AsMb;}wmLyT$ zpAY-b^Y;Yj1JsKN7Qi9ZI_qc|07+R1tj-m|i7-M^)v1SuFQsug2|L0o)H){)xh*NS z*hn*>vC0fzU@qlk<=Tl(U&t8=v=^N{s|qtAkwLi-P`y~CLd^u>%KB51u?*}O)3w9p za?%M2wWCXvZUo1c&97nEyPa)KS+jmoXF?OO*;>JgrQ#HtMt9wk6gnZPzog<$F*?Ik zyu~;A6<Uh0J_)HkT;jS{VeiyOQDg^rs5r*??7DjM;x~N`Cy!q}JjzNVCQ~mYj&><b z>B^H=W$YzOPEJ@)XXqk6tTmT<)r$|&Y6WGe6v$ZwcU)-5qoK5rt2UGD=Fj0Px685t zDe>no0ixLn2~>+o^r7HyOUU!L{C@j1!i|_~KGbH`LEI4WeWs?jJU`6ogxhxXnDIOK zm6Ge%d^+$~j*)}Dwc9r1bX&pqvl3_hCak)12met@UYrENNCzdXB+5mU;d=zWKu?YF z5gUaxj|-t0+sBf?!1i_|S7aoR5l>wUCCG1=jmMP}1vkG1t{K>;oWR6})%J$7_>U@y zkm$z)7@a;;9yriY3N7Ip-~I0SfB|||d*kKJMtrF8)$O}j=x#8J7H=^|W%gPKvL*?t z&liEoENr(?xxJQrnDNwETp&fZc3F57PSoTgO;{#uUta%M%!EPd1m$L6j7oz{zzy}1 zGxhP=;a?h9s7=tm<b-;cj;eN5`+Zazrl>q-A~wbN@|AM7%QF!8vVN$o)xjK;fa~>u z4|=E|$zY^-*kQAoH~6Bs3<p_k3^q0!;D|il^~O=U)<;oh3q|zW8V-qxyqyyQ9N((y zOz9`m6R^l12c|;h)g%=4R>5DDlIz^i4t!i1U~L3y*kx=<&x6mPcN?{gaCp~zmKQkC zE(SbIkYF(_oEOX2qpmXBqQC}1LhZH58o`LkQ7Qr{SM|{p*+Gyh4l?k2!CSILpR5sO zR9{WNsJ&mYmu}Bj31iGLMgwx!M3FTpTG_B)G~8SM@P6NS=bbz(C)Ft3#b08uXVb3> z59T$In&qoo|IOPO4eJ}S$@xy+M`;mkUkKgY^JeMj$G(9YeR=ooBVa?AQsln>^g1m| z#$i8mtHaS^j~kIY`?~x7&-^mgG32n&-_p7+$p52$ZDeogWbz+b<`zXo=^;i$zZZ4T zN7vZpw(jXxoY00;IZ-4iOQ-EZU1j>SE!;2nMO)ZJJ~TKY_l^&z-BApfI1USJ!8Wo7 z-h(2Sq+1v6ti)VeS1=p#bThCo4bJ*FGmD*mP3%l`IxN#eqTGnV5cfyLx=`lkbGu<C zP?++HCk3dq@MZ;-z~$(T(@w5q9krW3!v6Qx`U2`lp-AOHu}S)%FO@+7@1OFdA~fj8 z!O#h0Lq7L1S};);?*K#X`d?D^TIx-4xuup~S>j#rXVu4ck#r0jjgN<&W$l*r=rjiE z>ztV*mS1HDePhu!NCj?x06snS0s`xWkCoEGZg!i1Z1E=A$Twx&#GVGC3YTrLHCAvu z>9X}9z6K+>QB)zyG>V~D*!knVsfjf0s*-XElWsCfm#a(rsh{y9*?QO?jN8w~|8s4} z%48aI6D$C*O#lF(|F;akvxT99>AzPGq-uIQ<fx+UcCBycd_KE6bHryaEd1n!eoWjh zwwx%cUl(s=Uzc^~AOjjQ-=Mc8O<73eu=<I10n$<u0G2ZV4<8i3(!Hx<`iKw}cle4B zBd6#fg7*r-ql$W$<9);5yOu_){M>cwKFinVJj3_m<KDCyqEm~Yhh#lcW?tI1GnY!E zzpJ{%+)}fZnzg~zVrV7qX{mmHZp&epzte4MuHMbFvYDEZd8Tv_S9^7gcYL*)%0Z`p z<k+EOzW2B4sP`wLge+FKc3D90Cq(2f!%8sGNwD!vpAT(4B7eo7Q4!KqO0r88qAvd2 zCWwV#A_pjcA|@mIEoQc!R1lN_CNjCJHO`g_T;R6bvZx|2ZeUsBglUAebsuWJoD9fd zaSbUoBhYTM&J6nEg<;575yHCBb`wJAX=0_+Cl+WzVl$ngKWuytF+rhTS*?W>goJ}x zo#0?dmE~}!5KZIgkdX?a(nN}ot2S64z{JFtA%*=HTg%SCS_(A>S%IKGCLBbozzT^? z2xDmj)aLVKP?IB7xJ?&SbFHefE8A=F6)nWY#ZlUg3}}<7;nTuKg6D_?4TH&0ivd0x zo}ipAQ9m=Wv^d22fst5^OXh*g45(vXESRzp27c_9(J3pz&<=VY2L0g7iDJj=H$tjj zg%nP&uiQrv*<-B)LJ{>Ngv}o~<GyPuHCloODqD1eTT6#O4p)|v9>gkCoi$_0?2M$$ z(X2u(#-}1(MB<cI&q)NMtxyXIEOclc-#0j0aN*6TB?~KZh>KVfNzF#gk<KI>o<FEm z)1da4o>k!$U}V|=niN@5l*G0VKmNg)!h#jTV;E|YT~%ww{Zm`SI&mGX8_uY3IFjbU zk@berz}O1((V&_OR#{cNQ3ca3T#rbJ^E8B{Udhz**NQXJZ)K<XF<iBMa`lr3V>0+p z=s9S}SHqTDV#c(yfCrHja58UFMcNXW5iy<|hLDlyp~xpokhXp>4aHKAt_nu&y+Lf` z#W8H8!7|y&R6Q7-BM~FT_6#?hF*$fLr;f+^*bmfDkt-i;aWGgF1Y@?0V(1b4v9ze6 zb(1V%DNq_<G*g;lusUEfO-yEu_Vq2VW049l@Z7*XA_6DyAc?fCymEviXE{(1P3b_H zC5Q#6p~4#cDl2D9q=5pJE00hjis}OqN7DSFRKqbC>+%eV{bFQsNf|QggU%=kSG-VQ z#rU;Q7jmv{wF;4f-~BEGREnGZR+!S1-$9IFbm8YXpU{I|bP35w?VvQ{9??`0{q2x@ z<;a2nauH{C`}lbH{mBC*Lo{YKeFl<-lZ#Ut;x~hV;@bkE<z{+!GyX;3R+fhdpTPT^ zirGIYC<9aW4!xfX9VrCt&^NalRLOTk<ZKhM4wTV9vDb%{h_ZkEtVIkoDO6CvNtu2# zY`Tp*g&BtuutC~MY`Bx@BPe~cNG0ek7q80Rmzr0hg@rH>W2Fcm{oU-)E`?R5e%bH9 zuV@ukQwXJBFVwCDC~WAx(_n(!tOPdu&Wb`D&AdV@zzD@dD<BBXmHHh?1G1_H#_FIe zN(i#54$ABxEc%;4%c1C&%8(S20}Iy{V&9DH@rin<O$ChgPs!iwkM-3iKfJ_&Z_(zf z!#@o(OwWUmqF)Z*8Mm}34r+HxhhL?lcwoEEMRK-lhEtiKsX_Hk$I@4=g&n%mQs~3$ zn2_cmJV+C1_o8hPiny1RXngSM_&ZTuG!Gd8y9R@02+0BVjassBirQL$U8A+W>2(?d zX^G7eA|H5o$@XAFjOy;_u!r%MN3~gm=ApJsi+NVp+lpH}a&ASw=&A3%34~qKL1n?8 zV9y6&HUQyP*#V9Ec&Hn|4!o0Mdvc^hA!njA`a<x#*h%<jy9;ZkZ1_HXF6~zA*8y3s z0|!dY+k8^)G?6h>X8om+8~M;azD(-QlZJlf+%EX8aS%nLrMJr(egn$kI<Z+h9Q@Lp z5!kT<1HG7ZYez%#fN#uB$_yyjz8`tksFStxo3xYN_}1Kha1Vq&H@ZVG1AI9D*D{RW z&bT|v7i7__KTB`^UG|%DjKHr~;xFVwYmbQC@mH1@eL`LSwWb#|txIqR$8;Chj>iDk z4&Jm)*DVB&_JnhiLhjhUc(+&Y82cjxmb`;glx6ZS=Me&h?;WFGZ!l`&%PIv%sX$3x zY#pmsP8}KV#Z1OtHi)9UAJ5+Y0RFM>nO9W*?7O0vzVTPlKUVms{-%H8sSk8xKHh*v z^f~Dr>BRVMu@6xEi0_m#e8VH^eu3r%yI8+szT8ey=?KItiWU7~?0B@}D>)@i@!Gp^ zdZT^6c3gnquE#a|7N+vgy@Tz`{!M=>+@0Px^6DJp{=k9B;6QLSh{o%mRIb{&&bzn` z7rs+c&Q#SD(>L{66w^*%-u@t9-~-kdn*N3Yi^4dbJ$?cOGvR$7G^q7FaD(renFdWT zHS=}9%1?mnfo!Yk@Vn;Y`bF`Xmh=Ai1*gfv70905`jOtCgJrnuAHRc>>eMPJQSoXr z+3o6G*9LFMo}8X#q59LiU_IH}em;3M$Ukt%ptzuIT#$NR&s@TcACJNxuz|~jLUTg( zlR~%W5Fav8X8AS9pxC64T##-&knsF!vh%?R>X1Qj$RT*31pM!t+(EhseiESge`~8K zQ|QU~wjJvW7?8G+TDYmp$YE)`BMSn!X*&hS8w>IR+sMuu+~@U=QfyV?R<83~26KMm zbECMR_A5F)pQ54;%<9|l>fdMeE=Q5)Sf15hV%>0^8<!4G`tU(*`E@C`AZ)<;!JvDD zujP3L&mS*4npFFzRb-b!z&E^*D$XLnyU6Z%1EX<}db{5gC<BR~%iAV!$gEtDUl)X& zIwb8?QhRm@{fC6Fzl3hZQupQ%s;I2268bL)+Yf`)_lv_3!~MO_{WgAcl~m+s#<0uP znX=B{k#*jj3A>KOJJUP9roZ9XJ7V_D8vfy=h@?EV*I9}oxegAltFTtBlQ##`w_Hvu zNh(cINt0s~-9M+=&QoE3L=E*1qKXohKa(pMTvA3|g(@v?-VPv9y@yt2yY5%WC@P{> zGgcY*!b`0M5uV}Vu0&)L1FK}Jlz<3JkLTh(kFk!K=^go9&%@ZwF+H!iEo;2=)SSN* zikpEGBd?0L+{`LAEn`^uH+9s_Gdr&st8e`HW6tLh+`+)kBCn{(jvBiNCq_?P9UVgK zMYk-jGr%H-o_u2#JAYtnFTbeh8V$SXBW!R9Y$&i}AgidT76!Y>Iz&(W1svietREN8 z6=n`|8@@T;1@G?-A!p{f9tY!}-+yP7g@AZhc*F?yh+418!MA|MF3*7Fn#3+wezCjK z#};fq4bG;tBIQ-(zr}LvlTykrAEVUg{&}nK^eH^0K`XB6m0T`VSCwem;OLtmw*+_P zE)J(B5$XwdnN<bOb?Dq;aD-qhvk@zxDo1DsWfA84@g6JKBcdhtGdC5;ICeq<JXY{5 znaDU6z8AJ=59)l8mPG6)33Ue&rE=qNb%7gs=zc--czI@l%(xoKT;4c4YPX?pd#L%) zOpUQ_%mp?|Z{~gJq?#OcK$JL$FJ#DL1-dMbd2O+!Dv6gDnjFLvQ1TTyai!RL0oXEe zF{|IAXHu?MY*v7d;13OVj$?(!Xb~$dDH$q$Zl0_<^|vzkI`D%Hph`r~C`n+nbRCO> z((~l#7{OyIc{X4+gN}?92jA+JVSLeo_b70qtR`?5k^lx>g6>v`s+b)*c|15>i{UAI zwegBr?UO$;XgB=VvnsZ)uH!8dzAPa_5P5vqN-ZA;4dxcj_1gzyrC+S!o~>nV*K6Z^ z_tjqQtNnVOGt3v&vd4If*=-w4-d@Ac_h#P8o`*|QODB!nJwYjI)yn5?F&`#gsc0Xl zSNCwoRjt!0S8GwO>@!6W-I}$T2-5LpK$%(bZaUT<rcV4DDG)p}beT2sLsH3M0lZ!K zaaf#`>1_D+FQf?Lsx@jEQA(e(>Nqfyi)`iob_)g~c?AOv+zFE`IAvG4M|Agp-im_? zhkikE&2dgnNaCkru=dv4C$&w$oF3}7J=e;TpSy>!XDcnzr>_k8f?p>{r)in5tdE2l z>kIfQ57S01Y9sABT%l!mq}+MosJNOGDd%Y;Bolx#But(jvU>*E<r72T$ji-=>Ql~I z`{?>4F(g&t=woCbl}&uTiHM$p6lu}22*E@x@=TWCw54{e8h5ngAbnP8a?kYmMf>%g zpY6jMelCOTlTY4P2w=xM$p3<#lChUaGdF97tLM#BA)3y15G<MH<LtliKybeh%3mnx z*}L@rKM^P3U*855`}DVN{*8D3Y5#RalY@!TzoQ+>7KTp)UX@rgK$PnrY&OJtbqGe- zq5r+%hR&pQzmiN{Q5AjzvF$)6D!m^i%gYcKwVHfNE+(XkklukOQH>`9#Q~KAO_kg@ z&b#lHaldQ_-Q1JYbLhMh;r<IbFSyrN{{r1rU&sGOOG`_^XX{@y?V7b{)u>f1PmGM1 zJ+H5=txfQ2|F0fu%~W1;bnxd2{rvOgr`1A#xQVCble$*0&&AVxsgkypKHJl_>^`%v zEImK1FUyJiw5R!3aULEP78;r%DZfUho42)PjEB7F&_G{Lq8dN-$97h~+VAi0(Zf3r zUhG)0BE>XQ&9vxYqXx`TN8C{+na6vl*L@>clurt;n;F%~eG0Ex|5x>$=1`?FPnh>5 zC-zKF6))wrRd@GZC*u6m+MaR$OGCNind_wQwT}{J7#h#e=6CTTofyNyI&3A`o^5w^ zcoKmW4%@)SQ3T!Hj+>^N`%*gkrB|Yt?Wb*5-7i(J<`Vxv=hbRA2D?ttVzdIP7rI&c zBncPuRo4%c=|IBp9|N#Kq0V8M35<4WE6se?5dg#b+aWNR)pZ%hAz*MAR@(lV&>%Q; z#Di&kYFK3WFU`b|()QXMS6>9abDpw8&1?6EPumARtEb%P>6ec45A<dC-y6;o-x)WJ z8flB}JCEDrI@DbDTE3+>TgTFcbqDnBT&FqCGhFT+){po9K+DbbA5ay|Td-nJAN&jV zd9w?frH9z9XP#f$|3I7)6*?3<fA;jDg3P=^wxms##gdM$FfF`8vFbN1w=u=#BcuDs z?UFtEVa4tvWB$7=aq_qcbEaR14t@G0b%|1i3U$xv2wRg9I<*2}4&lIy`y8|-=${9Y zxzV<=^zc-$fQQZ6qMNBi#_uoAqpjl{XKLDiQ2qBNGUPp{-=FGjx{Hg2ti6WW8XqtE zo*8}L=N4P{X5V9#4b_{qXPGn4X5SJB&b!fn2YUP~(TOQ6Qte;Zai+FH$vzGbg+_&> zkid)q><9h~28Ts|{i43rg@62%4cH3^1P<``1ATvee!RbJb$8p~?z{v50iD#VcW?q6 z?CosTTB%)SZK->%vgCw$SZGLaP+&kl?$4u~-mcLL<mt>=Tvuz@!~M6h5Uav-sSh%C z5;oec*I3W7zP63R#jut!RWPj?mULvaB{a8aY|y^8?HW{=qt0k^Z;;s|y+Ef|+tB9Q z;D8Q-!{Kq+Eyffg_JjThxjyc?tH+y*G!CcX%MU{!IS(J%?~+hkzU=xv>NsOYPnDi3 z-7Pg6+e>nlJr|dqrA->tnICc-;l9S#-Yf3zwTpTU%A(gF05+gSz(NO(wZ1hMXfqHW zEjv7q_387U(_WDJ{p&{8jf=T4U;l^g+?z%xp!4R{%9GptHxh`0-F<<shul7WR>DsV z2>=d;#jItEhZuJwJ+@>RD+^24cKMUzrG}asdCSVPl8vO87<*09_H_Np+FHhjR{9du z2`UPTcD9vlrv6lYJ>z+7N$I0fP+*{{udPpKQ&+bis5Lk)Br?1qiG1JzD9673>I*Wd zSOg@{;7ct6zRx!vr_EeK0pbwYZnB$}?Pgum7xxX9u3G@$CbAbIV@U6~b(Cu|TjhHa z<xTpj@1XJ>Ll<PhKhSBDmZ=81(uO&G=8(hbcz^wkersDO<<DGb*T%|>T$`(!m-1@4 zTUu?~k`0nvCo9IGGg`tIOt%@BP0J=`FSQ#K%kTFOBc5LS-pB9fJmp9q%ef0xU(?Oj zm+lqs1~gX2jG$;qZq3z}mo58G-pMJ8tlFzm5eh_hkNx&!%zbZ+M7I;3(f*Ff(|=Uy zdy&^sq3MI_+CS?h$7<8j0;z`J&c5#5BJX$EdC{4y<<n~|F!1B}xQkC=Z6dKq7$g+% zQFuH)uiNjjz(cXNd21JJU$OLgV;9U%QTKUm7j$1y^?79%)K3u(#i%7p#R5U!`=!W5 za@kBq-A0wj1yadGLO!RZh<ResNJKnlm54b)p+Lar-D3DG{&yM?OIY}sez(hhqo_1G zt$MXe0kfzSDrH?Vxl9_RWYAH>|4l-jka1Ydsypl7$!`0FWp~<#;UO2{&OSdMV(;|s z%_D%oes<T3I6r<8*#F{FK^~vi{c@>*MO+S-(|)Vjut`i7o7H?Og@{E=29xpsezJTH zt6`&vBr>UZ3@Q<`hy)^`fX{0iL_8jMy)#hAMR}1>?nWk3BB><xG|&SMAz~!uC~46n zI0|4;j@B><x{xwq7?ucF7%VD51X+?WFane{`3MN)^E1OREt8hR6nBB3x>alI4GIg< zC9kx}i)xOTSW42~1*HgTs)Ja@#(W8aap}#D4r2NkZrQ352LD)5KusmmJ0)-Dcfg%l zvNe;k?{QTjPtcyqmXyU|zqZ%OO)0>K0dUwD9pG2gypLB4^>`N8aEe-_o!%>dg&A{` z<LTi3fIWfM(?b&`a4X#VVaBV_cEoMbRyd(rcZT(~n)O_w469i~Plv&W=fx>o{c9d2 z%9X`<iy0h<FFzhV`BR52nhru4p9FV0H8LSUL57qZ87ewDQpAY3LFGcnd;LCfrQ^Bq zpzNCboQ!>wsm2KsFF9n=Zu~^DdO0i-DUcp#nrShH<<wpv!e|`JIWE&IC(}H~@MNvQ zV0C_@zfGA3iaE`b<)s^ouqU%@2ex}>%F-VEY)3{{xl5yyZd2H(!5wR%b@5nqS$11; zMOr<sc{UBBCa)rohM<!F;(mS9p%Ujl@wJ7rAE7c`x~xQZC9N|Z?DtHjIOewplLz8& zj;*;iCF(tLk0Fu2HBVwKj+y>Q=5Vn$MwYtKW|)m;W#1Bu5UAwhN`G|M?PO}gXBMdj z6$ZMVZ6$0=UF^Wv;wkTxcNF0>?S~7f+1(RGDbGJSdJVgIz8_a@+x#C({63jX!df&b z0XrvJdNg_MZIi$Vhvnl7GA8mT_)NMZI(gaco5W>No~l4ZwHl+KqLVFPD@E-(O@h4L zL+E1ij*g~acMjp2?fk>0sbGNzcufX;p_6PhzlM2ihaXYtY0x2q{`##K$~v{xgyh$( zFm+|^)dDckIqibusWf-P+&c^NPm;u%O`h<aW-6f_cD{mds^MBx!$~ew7@-3xCW$K; zPoVVQ_;@D-PiNP-!}O5NtQU$y=p27GK3qm?9h7as;2o$*5Tn!1X;2_=M8LdDFPY9_ z?2Og9^S6!`Y$!nZ7MjnvV9UvU1_xRI;L@{IrPd4)ptvDr&_(`gOin?{*~*vX<$JtM zHMYCi#nn`O#1a97)uj6!$W%Jb5V+66$^k;2AlEDjaL(8fQE(k*fJ#CQ!czJ@7oPE^ z*<>Y9vh;Mw75(Zx&{~mI1!S<1^aL<8-<8_@jVJR9VlXLG%kO!(IOvcgOOx=Li3!Xo zZee<3#B<mVe4$F?5fif6{03(mTo;>o-NEs@1%x$;-6Rn_R2>kVlGHN-E76qTfq6jt zWbc7VMy`D*NQk`U!1to}6R%nPT5MzEVHxiIjAzSc=fkB+dv7~VIUYZEVafWhO|n3o zTm$vk;Br$PJ+&gj<xHE{U<Kjl1J$ojT}b4RiaWS`=DONg9tkc?qne>7Va06nuyd)^ zV64!ejO0_wg1B4f5+(HQ33mSCjO6X9Me|7&rY@}1jl6wN6c1#QISq*Z-aWU&b?2(! z`=qbkAHZAeuO&gF@|&_AJkFc8c5U08Q5IyA6<}zRNL<^INqvxF`NTsiC+B)?vxNEl zBo9u7YgHbulvaLXO%sw#0Qq+gCqQor)o0p*L6J}MfmP0vW1A(p>lwcrQhcb!8S&_y z3}{rs4xcyTQ*LPTQQyk%%h#-%lqYNh0u^-oZz0{lxO$W&3L*RwP7e3Li0Y&-+n=!4 zv6H;gN`vLS=%$}tlxMr8l4aNYP6K-_RPt^wDncW9XC}Y3M(mm{>`?-F*9#`AFJbX^ z{wz^gyxm`#2R5Cm8jzr{`UEQ_?k&>pxv^2!Fk5>J&R})d8SUtlR(b$mlqmC&AgoEJ zW*iF|0@H93MEE3yfZj0#IPj3Ay`o@ZD<qyIyEL<+Kal`6rdgaI?I+VZx60p_P^i^F z7FMeGftRW+g!Dzr#(y~i;vZ?6`#|wR9W9#<7}`KU`v6oo!7b#aSz9h6T8YZ?cGQMF zR&sY^s&GWGN4K)!IDfxQ^-+M#$XnnlCT^N-{x~a?E~>H*?@0j_iyy^|yTgnz#64t+ z8DfN2SI2c#2P&NFV5C~Rf&M>H(f|5m!nOupNevzVQ2w`}{I@EQhuyz}BA{|S^#ctX z4>cxE(I13=!Oq2E_|Klngo|-3G>IhfBAuRYIKG&b>mG_tEbA?cxQQ%5R<e;nDWz%< zr1<N|-&LPMr>8P3;vnS0>I2}nf9I?5YZw_3s*j}PAX|NUw2lO(nm968FwqxQHI^wZ z-5eK<9pTVGxu`p2&l?ssrca&zawHlmE=?glecKB@;fmph(PRukHZVx(BHIQ1)b@6V zunJfAi5gP7Lmsk%inkW|qO)1NgCUJ(k&}$1oRJ6=mxg8-#gD5aZqe{RJPWKM=`oTr z?=@?fnX}A&^IO#1Y8ma!j9v%FE^hIJ!k-IOIxukmA6xGnoJrKJeaE(Kdt%$RZ95a& zx?|@~GO=yj6Wg|vNhW^hIp;fXef8Ga)w`;zy84ga-MhQj+P~{sRCQ*cqV?Gt6=ZKz zQC#VWLI_;+VZ@E(G_+l=aVcP8qFGBr+SraLKQga2ZoA3GR$(n#qz#gPo<&T3iGM|^ z9TWAFmKd71M)$4^pc(v?%ip`H%QS%4_#K1SN1SI|Vk;3Jw0zXDMigevQo$X5jTbFr zc)MmL0*d(8juekNfD^7>(4S`KaA%npbp%%76?VPTQy#7g!yd$WedMyEtY70H(1!d( zx<G&NGM218q+ZipI7IWR#wrv?guGgls*LOtBrxAyY+82AD^(Q;!%-Wk4%=P$b9N|2 zkh?>sB~hZUdnv)*cjtm8#S^W5gbRB8?x5qAbiwHA)A~_OF?Jy9@ZPp+tPwD`lD9F1 zvAS2M3p?)ZkJwBYU7ZvZEEG)r7&D-N*iD#>=bD#Qn<bUfB%O>o=<$*YEQ5sPu|fQ7 zTXQYDPtbdAH6uP2j8uzfWJmEfb=8d7mBVEHHDU(Auzwdx#J0UCYlz3%9_26TC_Z2+ z;0Fe<NeA0@0g*?fqgSRX=~9`;B>IkQZw~P?R%eUTixDINu(++mEqKOviMdyB!!8!R z$Fmr{>@<`&wQL3dFl$4D(*#4BgR|!d$8Mb}W;yi^jd$z}K~cY5wKkvsyUBhtVKLq! zRl>Xvw}Nm$DuI0Vhcm8kH@-LfSHm5;N7$<xN`22R8yM5*K|S+@51Hxn7=hSK`ycg* z@)yNbdKAjTj;`|_T=nChM$$W{bROBPsnIyv-&@k84VC*YH!MA1G$*$ufR>ZGy8Rlx zQI{^gA+ce=i<^7#Lv5S4i=NL9{#n}J`Uz9d(%LokN<kGUxmTPR^#_^e3aM+yp_+UO z+Hz}+p9cs(aj#IU0a$_L0?0O*f3?}%R_9dSnGfjn)Zn9_L(u16^7yaQNL5-}XNx+2 zr?7Zy!Bjq98{RkO;XCA>_0$5Q&7zl`$?Flv!->4BMFFgK{53%;GiMjAp<LON7l^1T zX%|CwaLY#DV`kw#(7$QymQucy-23Th($e`i)Um0WTJ&1eJMp+v_=7Pms0h%J^`|$7 z6ly?NNhVAS(W-;`a}Qu7V|ignqqoLYw147hslUDu{o%CJGgGc|VC$$Lz`pd`$*i|9 z_xIv@5<o?V<I0DJ8j}RsQQa2$<3>M&!|`kztpKpWMhre-dE39j5%6B{MtGZ#6YCkh z21W8Y3ZpKv(zID>{Du)uu*jopPtG8D&6id~JNLH%#v-GA?kH%6O`fm>C;1`;-&}^q z;_=d%I4F~Tqf8iXI;%_nfgKeF*U^6_j8tFdY_*xxW!RZTskE2)^3Ol^hRK+5<bMxd za~PHE#j}^N=)ndw3E4s5$3-8m(z%TOQ+Or+i4Ij!OLVJI(u;P3M2&h!SR;=ird0Ob zmwY$<`|aFCa-s<FkS1Hqr+XZ0Fk2E7F>C)Pu0Ugh%R(>1{|s3CYS8-b%jopYt-bM! z;t`8fko3NsFZ@g5y8i$in;~J9<QoKjlt$zpI)5pDwmAUp<e519_fsiRVO>@aOVhX= zXs&H!o+UanJ}((^3+RTX$h>%&`MPXs=&YXm)nng=PgMUKV>oP`(yLFUtU5Mxqy<jp zkLkZaEyfXuJ!Qv~AiYis&(4aNsu|Ph;=lk#!PE8aBqo*M#g?O3jyl}fe1b92ha=c- z5dk{+muND9-k}{t4S9|A)0AP~A3XIFw&>f?we}<6xqn(M>SB-1Pp3T2Tj3i?KWiXA ze|J!tyr&+1g6H5mo_9<%ZpaW3njgXsTjK(Q1go%J!Pp5WqHPB);mXk<T`t}E(TPzh zH#C?2){?=h^C&#%9$9WUK^#!0_KxJEc<e<r{tR_qoZoyig&&!AeIUj^SB>T@0;}@w zm}hhKpT9)hCR96ugD=Vx<B{Ddo>o7t*|pJzKml_=J-73D_;C_s&5hIr&i&pZz|bPZ zW;ZTub3@{yUwlSky@~8<x1ozNtL$Ona;5o`2FpiBc&xvKywSRCE1lGfp<3WBK_(xT zV~g?kRIQ!jtuE221O^1;j#n6a0j06j$cdDW^`E!m;y_c*!@O*S)(|uKWg9t4!B|^V zy|bRB-L`H08|G~o<rjs<HYcEjYCo+zqKp-Lh>NxB1x>I;fvl7}g`O}n?VZP)<Gba> zcU5W6;l_U6c_1Ua%Tr-7+LO^rP@}N6Q4WTr0CIAQkc*XL=M}SU_Z7;F&)j%%3}zRI zEq)KPE5ZYxj^hm_g>ua4Gx5iu)!R=ARk0z%t43*VNLak){tsDb6=csWLK}!1$?3G! z-e|09X~(1d`(Z7W20MUwm3Ub^V$s8?O>nD^iyJ#8n|}+QN=#D5m=7d0X;FYeZxfC7 zBRA1AbOJIPXM-Zbd#ba}3XRo-12yoscc?K#f!(30XJtCvoj<nUk7lRMW^ERzL}ts` zt4O*9A!8_%(V<JlfnQ#Yq{%W)d(CVxfQwyE<4m@krIWMXQjFb#i3v<vCyjN^7H`a! zhp270zHGDf<SN~pKD*P~Z1Yc=4vdA!3Y8V#L@P2s2cf7xw(*ygtGSCA9^iu87}?WH zv+<Vi?5kHTg-x3qQF-7vP-}?#-Ee`nenrzD`Jq=>v>a|EItQY3mjeq8Oe07fG0;Dw zqjZ`O*sBo$2n;F!r=>fW1+o&+j&E1ANl`u`kNX&Os{;Etlp8qjS)3sTE$mb2AMXa^ zU#pxCq1Zg-e<f9(K#Hru*nZ)W(V2_Y)Q>V9Xx+(KPus<D*9?FAqrDwQS>}-kZE5@F zO+&NNrRJX-!eO{LS&Wf)=Suf-$Kv$LQ3Xyq|3q)z5pp&}BVJffi#y%H!HN8l{?_YI zG7z%vvWji@a`rSR==AVCJo*47l--l9UVf&vvFzofi#cFA>?LvGG7v($TkFw8-Sr9b zIYX)OIF3jL>bZy{iP4nzcLqKFPb=CoN>9qWhxo3Qu4q_y38Z}d?=uwDthYHEp%r|U z&_~0EkgR3%*u{##DDY7$i@H+No&&R-O!R8{oEUC==1<Z^#6|LUnYqf$pACq3*=F06 z2=+CSGYf};3SRx2e~CPMEK<otB>Oec4;J<g%Bkicy|Bghgw|4<dYK!hb=)V$75pD* z@{p3zX2JYEZuABf_vSQQV?p#+&HpC;xFPzn@wW!m^jOJWzw7W=*3&R)3?0N$JK!tL z&x>5F-hk^d(c<SMX*CG_ctldSXkZye(u{%1UsTN<f@(#;D@5}K0m=DhIGX-uv7j<g z*raE`ZrN@2iJvOdp#?Q+G|vo{fcTHB_RihKLar{Qd0lm*@}BX=44D2e?)CcG(z*rd z;YR@(4qYJWZW(la%@=%JK`ee>lyhxR$XEQE)D%0-o9ZICYeZ-vox*)83X-q&h`@(l zjU_(I?L+dd1Fdz3B<it(HP76jaofJQXLHbkm(6My_xiN?J>>ketoS2NCi;wEcx@y~ z;UET}pF*0wAi99Ky2e$6k~SXpSh!s^9;QM0h*T$xA$1%;zgcE;Tsm@j5GwlK6w8s- ztuHvxmtlB5$<&ArO5W?PY6C~!3a<?MOD6R@A@5*6gIu^J>J=E~a9U&fFU4?J`q!*< z`W#XpKH{Fn3QSOjZ9nlD@1s6@BoQjv&?F@I74AIw^za}YR=#5D?5>?i1G<((SFa~L ze=ez*;Nf*|&PlB{QN^XAO7n|Q+4sp7h9+X)dFD~*{K8bL^yez(Xp!T}rgoCElN1KM zNSbNOJ><S_w0g<eea{k(T*X0QfGi+yQRgWwMO!x2mOj8<%BvX9ceUj}RM9b!E0$Ox zHxNW8Fw@!v?0d*DRQqU`WAm}TxH!Uc9^sKveVmB%=+`w+SGS@)i3!v>kF-(m<sjT- zOw?w(J&GVa5~MyidKaBXMUM5AdOIneIA!W6Bk;#;7L{FaaHxHQco|g{e0QBTGinec z`H6YD2=2%3R`64<2gj;Idr;nIo`b5jpshW111`reD(uEn(zWSG4%+YjCkF~JRZN5t zzj|Psa-Z8uy4}nlumB2L2tM7|X&7ISX9*!H<o!p(n2uI|{=?z8D_bMt$jWeyyYJ%+ z<J4mlLKlmkgX8+zWYF@Bjt%q9o($=v;Z@->aZ{3w^L`&eLw*NIe!RFI52YK_1zm=W zQ9+{kPg<AwU^96wy~3dMi=O>(7^$9L<I<R;Ck~aGBkpUcy={ji;hzv1Yyt=`D2AN) zUAkPGT%`=HahYOgo>pL>+vp=_`06!Q3lq5{6Dhf!aT2hv=nL?W-^`{a4^R;!p(HLP z?X6^%r0u?;?;1VCUix=)8^{kU6*+{+<97`jNcWD8eXy>s6O#z#%JNTlV!vsvOncJL zz%RA5>t$Yb`Bl2~LYiUG&fm?try%V18#<C5HFdB&b(Y>ZIuZh}FwJUmKe>QO1R3Vi zBsJ_GztkUS70<zI1=M1DBTba{YBwHdT;?fp8ijiPlJHlTN9MSZ>AL1}L}_(_Mjaas zMIlxqIp%j@c~u#L2K7#a-H{q*D~08eiq@v4febxsT|wcojLt{4s?<x{MaK?F6c78{ zi*jMDR$rjm%uNDUn%`g@oMxv~#{F2AP}voE*$BFt1st#Jt+j3jeyJJxe^~|JTYj~b zZ-6m0Zr%GeBe(4tAuCi1I|<49xN(zB9~iX@KUy759V0W%QEL0uZ&<X%VsRNDr1V7v zTJD>?WqtIZiR_$G$kO>kQv{%N$t!%ov+7esCl1AtnSbu;R=_=2BI(e>rd1cF2>J*3 z8TS<k(~EG=ai%zy*$9g^^vL`wXJ=?wmw+Gq^^1df(syK7Z_3b?<-?v~cW1}J;E^p! z-J<xlXBV<yJ5!!9p_%sib8Fs*uwB>xJ^+yBL<11?DgAWz&K!3#d;M*S>V#cyXJ09? z%V+hI<3lr2jk$c<fOAEghH6~9aqo6J#^X*M!&}U5ik}b_(HI}7?EJLsNLL3t3L^h6 z0mMGRL<(~AgHL{k&pj<E3@i)W-qtK*kyUOZQhEK_nDxEsx7sx)i@VM59#rLUkskun zxhRXmg_7ko`m^SqE)$5l1^7+#&lA9w0z2AN5^di=UkjJz7Dbp6`t<HBxq+fY>UaOi zV%W2(v>F%z`bJ3wdboYF56!n!BDOL1_B$R!*S{e*fDtXj4a<ho`yCjEfeO-8zSG=p zH;Y-U6;9pWO~r7z-iSfWuiq36L}w<q&YVVL3`LwpAKnkTunof`Ze2>VMm^Ay`^n0I zJ$=O&nq|ZhEU>Cw(WRJCnX}BX6t|Ju&}lFGvjkTrQLBNAkK3L^DZEZePsx{ix()TE zmd3P$g3g(UD-hs`0&Ok4ipO}mJ{>kxT!Ua!7N585Fn9+-htG6&<}Yq6G2EN$yzVCl z^LDW>=!U1Kjvk5-$iQ-H@7L--8`tZcL?YgJ9SRFcB?Jub(u*c&&WaoduLAQsJJs*} zucK}@mjwWoULPVD^f?IP44XGnG?}D8xdqs2v%|dW@6!v6P<(=K!dOUX92O$KVxfuN zHF)C@>ooQc0hbvAfkzvnO?>h!B+8Mtx(Za#{Sg2>#asD;NVq1+jry-+KXJcn$d^OF z(fP}bl*^A~{NEBM)+g|u+}NDTFyTW%evwAS@l4d|3W~S(UE^Ln1On3Dh#8uch}AIT zYCj1nJdf|-Xj^YOF#>XsjE?!d(3{2f%N^~rBa5%SeA<>icJ&x1#lY`da%cwwD<1Oc z4mGJx^7mnKJt=k&-UK=UYVldTCfzRDlhOe&v@`plX;`E`=p(<`6)gpJIJ_#&iDavZ zG2&cset+bpj5BV@B-;ca82Zqp5bxTl9LJRwukosCp~W#2{)V9MAVXSv1v^&E#_j`Y zYomQo*I(-OOx~wAJ!Cw}HQw8z;+@CO$^U$&Yzs$Oj-2|X`ImTG_R|JtlKs#9><E6R z7hHAGpP*ULLnEpM6V(8Co||l4zJ%SN4;!DCst;i=_PDFMlvo<MLKbN8Y=><%3&<30 zmvx{;DM|6XbxW6o(6->dA7UZ{c)>FW-@vNixP*qKAH>Aky+cHu5t6v^31<QB8ecoU zBGk^QL4GTYrAK|7)uEqhoKLA-DN{_~bRh2*DEp<vn5n>{#Y1L#LTk{uv|wS%4k+gD zoA1(X6c7H_$d}?J^E<)Pm47;sT^^i#Y)fb7@o5mJ<ec6W)>%b%%uzJo$Ti{zsk_JC z=9afR)1TmtK=2hQtpL@ASU=IY92Ol@pDd<GME2h~pH)V5Z<$FK;J``xc8Vvb85@MO z($otRH8J9nN89UT8s+pqla=o>qba?a(Z<ahsW0#n*CZb%O7NNhwT>>sB;jbV=)-$r z9)Hr6tj-e4VJi_;jbD_zndw$%de8Tl2=o>{$M*P{IXUCE<3_7IO4;t|hs7_6i<(%A z8fZFGF+eLcb;II!cl1><#@|nkC@6uc+sE8C!<>0d!I&9@x76}Q+v48MB#uGsMjXH! zb)*bx=?pf&3_^{+3dxyeA+(gvP}@J;O0lxjJd6DUoz3r*mpu~8!q8Ws<tJTUJp~^P z@S+_!ykLrKGvI6n&Aqe@pR-d>h5uj%9;Mk!e+gETddH1eR@LU{6ITZ!RA<r>Th(#& zs(K%j-`}2%#?A2rN^c`pb5Vrx+N!WGgm_W;30}0j)OpANlA4I3IHGZ{YE4hO6s5!{ z8;QSPAVDC!|4yOfvTJOhYuqaDcb(%t`-;qkz+rxorsqRraBLIP)Ppm$R%53%&f(Th z7bK}<yv3|{<4alj`@ztBL%N~SwUhZ#_O}_DN<j>N`z5{%NYD{;3ZblHx(iN;@*<&5 zP7QI%o;4zliMAek+C_%cNm0xb20jD<+&3-?m93O8s*ezsQcYa3M5ly`-l{>4BB?A? zt%YVXA+?<JV(6(>3>4Kb&LfsC9br#x$u-Sy{3#4><TT%JP+KK>UuVQ-i=ykT$K`=Y zJOWq(ehS1ipS=NFOn=zEcp{quPQN(zkKRk;RnvmwudD93+;_dUHUkF=?m(x4I_7v> zpB1UmnIfzDf7UPG@%6B<P`ABJ^Va_SsDLf)&CD?y!J^)yqzJd@eZCmF_&uil@=7qU z$@k$-febR|MgYEu;60kb%@=dP#C4_f%E(XMbI{8+%+HR3^45`T3Jvb|N45pvOfWE7 z*oY*BX;5=A%WncY4hpM|FC{&%C1GhV;43T)0UgX&sOJjAHkJR1itDST73u`+9SV&5 z{Ng7F_=Mbrlme&`O=#a?A#;fRFsDHyB;n&Dgr-aY)8fNTOBI@KWUfdq5Y+a)Qcw8D znxjXj3DM0?>TmZSkI%?`DAj>kAIMJU&sek0B%lubCz88ptu}#DpcoiC;@~wUJy$R~ zuGEq%(Q}eFU?3*q(N1<-2diU2QSw%L&wq;`Itu9Mx8^dXHIXnlqBc_RB?4INZ9U~z zx4JSU12a(C`ioCG8pe2g@2nxc=Cqt3gkSwAnPbO<xppK&e)UrV;W}eu^q3gm!YJXv zr4(Rc`8}|2@`vPf4Dnz*=VI72n+KBnMKWYxzB+(6przUevUAar)d{F*)kE^**;QeZ zIMsv@xYF8g`NYzHWdOu0ZSuqR5&vE^0Q>w%>Al?mS*j#>_)Z292`_ehOGO^{Zj+_c zXP>;kW22wn^N#A3IprE*M&9F&jdTerToqsRXJfo-8y@UcJyS)E1_n#-b-tP|(AhcX zzUw~7=~u9YOZ*AP@;uuM$WZpQci#Amk_g^5H-2z)KHu-LHM;0>Rsm#*`-|+Fd9NsI z+<Yt1s!#3I|I}KxOPZ#vPpoPjCk{T>F>{a`S>bT@zL8kw>#zQrxSXC7<99N^IL)1B zHcR(E6n4((8dG~Y!|eqJnoLM{t9FNO8NpAgG?pc(6+wr5X&e696v!Ev2<PgniQWCn zDB=_tzJ;L@L?EK2_1GX<?UhM;x#A+pDw6&!KDq>P<jW(>cg%Ul6waxz;L-6uX(bB8 z{CCMdNlA3;?aQxHvK`l7Xm3KQOX+_q_bX9=g1dS&o!fp7%{{UQ=bq<G$@s<EdgOB2 zmqrjP(tvZuYo=DyGSaewq#%^BDSQFBNemrFv8MJEAP?`%nsX?0Q_ZIZKHDzuAOMlZ zbCPoMHiFXVy#Nr6sjpP}qIvwQEIhNKM?fhMUc8^8;7)CZ@U9C5p23dghmMKg&+~PF zQ6gUWZD0j;{fht(&2=P<9sg;)jvmrg;ur9@d+oCs^}@9@eg6eLN;wT<*4U)8UEaPR z<N~SNG|#(zUuvG3%6T|d=!$xw%AKbPBLxEPk<SPEINsH9M483-XBkS)cCpr)3@yxJ z-)0hdym<&}vMA=Srf}7~i`YUl=zit&0O#h^rc`a0!XRZg?^n4jo+$RWl_hfTG*|t0 ze91*Dez_Ak{5UnDay3q6Ys~e6Y~5=w^@wy7w?4@47#Y)diMxNMG_5xR;D4YiN8B`g zeuWUpI-gxF<!9!uwT<~dO`(IV5-c&XqLHfaPU)+f0_Bo@4)xt@!lMm|rCG~LW)Syq z*$swB_!1ym>3)h0E2Tdqfa-T}rfb7F5f#l)Qb?@r_Ds4lo@y1=3dqnL9K?IziP{^- zYy0)2KZrygX}fVm#kNl7jG<LhGVb47pU4`A_fx41Dw-puvBp$wy-XqmnM)c{@bQxx zpdYbMLFgXSzXIKrUCnnOa`+%MFOR->zqsU(gizG`p>`BE36h%#N&-+vEb?_rh=okY zHu^o8-SGT>SdkMOy-xx_kw8ibSY->f5?EJI^o7EG9(7lA?Z`*Gljz_RfLPm-3|4am zHe-;@$)|5SYolBuaZbn4xLunBF=G-xWAeA3kuNRsx&_B4+Sqy@rkYfdVGmlHVP4*9 zZ@8=kF^(f@DB8dtg=rKs4E?65);T$`K?#$SHRbXb^^0eRTFUsRmJM{~wUH|kT8tYr z_&`rRXnzhrO!tXbpc`GynMlI47pqpwG<WWO_RSbCy>0tjTWjN03D`3kkp42Q@QtT2 z#>&KiJ1l-w713a<cggpWkotn9^-eRpjN#y=`BtCtwCoKh=rL*&&ua9RY*+nO(!vBA zdwzG8<0bt5>r>8q1wpluS;j1OP~riM%A|v}$7S6Yu87;fP0Kb$3suZACV#={yW{UY zec9|r>%38!e!(_ItaX@=J;f5gDDu@N7pQ@ryb#2yD*w>h3q(9$h_fMzrbdai{TuF# z^8JHz?FFqRl>kN9==<x`f`e2ePz7)1gwTg^#A1A?ah(I;$y}o8C*6X1|0zh-NMQer zT|C(=$U~`K#2RwII2>VcOy3LHiL`iv2W5q-9Hf7@rcv8QbOp7Mq|v6x?b_&mxzHWG z0}=I6cZn9OV!vFLgz9fu>{$Q?-wvVPbket0EfS{Ys_Ph)C}8J}x1h7l6%+PW-b?xP z9d(mXyR~T4!<!a*sGs<9-Ke!kqhaP~yRU;eZ4;t7ez_%1XV5yjJ)nv0)du1H!m|^F zu*j0_V`dED2hm!cxn27A*zh=7+}Y~R`8Nr~C>a!TJ7_CqP|PBBIcW)P2t#4XhyiJk zk2Vyl(7lsa`WCrR(Uaf#by9=fys`km6lv=v3B+|)ZP;3E)#tVmZaB%qmSv}#gRK?y zxI|&J=*#Rn4FOOI40x^4(r^YiG7udGXwts)vqu;AiIhmOd~V)(ORJ-OZ_UIF2wd-l zlIG`4GDN2%h2K~F&ob|S!>{LW3>UtLARzzg|113J=5F$T*LnX7e%1LAZwInb-c2rv z;^n;nI&JPUJ>Qx@@Ig~U&5|_^z)3=klkmAdDjLU&yp?ZuAS=#ccOqP8C7w`!!a+p6 zV@WQlZQ<o28=%f9F4>|jA+!gB0y_h>3wy!ZcQ}$i;y=;{dd!H<=(+sG8HI1cR|CAV zw!|g0jFEvO-0hPG(U_T>WV*;_?6dMgmsDS=D-@>T^SO6c9s-wWQO=gc>9{sWTYnxh zy&ngIWNU6!4r3rZOzzdDJFJ{|djKmdsICz#(oR`9ACEbfi!so|>E+~Thc7EbT`Qgj z-?9(rhDw{SWSR7Y=}J&)YFsO>Iu|ZBDktB-LTr9z5B>pRi<Ti%+KMjutGC*A_V#qX zIChRzRgr%S2Xlm`d&uWwWUQwXq#+{fg~XuMU)~rXP*cs&Ux_c%4xl$y@1y^BEFcV( zh(dguj6X_C3kDf(Ftiwuc~55{4qc}zVS7gx4iTzVQH0qo`#SEGb`gC~H!@@lmjBo} z*y&2N^lkv!(X1bC0E+oz8B;R+4&wxKN@KMymcg{r&=8nQbLL8tzk*tTT1}=YtqEYg z(>NFDP`>2~#{QuJs~=S%=u*%CQ^CqJ@or#}1*WKBpz~R;?*WT>TrOQ3`tnE;JH-rN z4_8hjb=+|S8v|~TT7<_lmqaRwMS}(bJ3fz`pJ0f*OFA-CAfv99n!k)$j}@I3c{~30 zCqP%bvAec<oUGyG4v*uh*p1N0P*<mqN<~32KvCC7T~xw!WS`eh5Xy(&==>7(JWaWf zJJ>bGC*~g=hnj{$!0}w50!agp*PAPIPP$PbJPdARsAZ(xS|5;I9i(HdZlYch=963? z|3La@lFVYlL!ty?D1@wk%t{`|^pt#o`~ccsN5NsDFbInfQ-#&F*nNpU$w$nmhg4cH zj~P0NCWe<_a}**bMirArsS;xM<5|>$?vCpM=V*fW`OXR`@N7Um_PKIy*?*ng&2@LQ zG#q9Vom@g8or)vPYg!ykT}DNvl$B1SBO4+f9b8N<IZ7tgOEeobp7>8}{<3sNn^Z<V zJ7wL3{~&GtQ;a;p6JEWOpBa3*wihf-eFL_qb^$-3sB;1EQ6PJ|_|?!k3tYc;GobF6 z_H}o(`e}HIKO-_z%$C^1_sy+lwOXi{FP<C84ew&uuLF8YGQ(#@G6T!~?JU{99{C75 zBaka+MKpsCfk!g)VLTd1<h3?%dXWf@#I?5PW>;VWg==~@A!2}I===*3%kKt`u2>lg zGGFcl0(~@fFQuv$2W>Fu^}y}4Tg%ZC0@V*;V4XM&ng6U`${6vmaYQPRh4{74@5%MX z^`t**%6m#@N+*_WjwkjJYDQ;9dqz9Aj@yXGOoN`dDz_rHEVqXHJD0;b-F2oP7yJ}H zm(jWQKtGrbaz<rFc}6|Aj9Y1sVT31!4Z{jHH(`ld@F9~6zUNaXF4TX+*bI?lVJ~zP z*D_UP6vs3SG(zd?b1;^veN8l0K=4y5VfOc+@1qwp(e22fZ{3|CeRmt&ZO>uP&+ZJk z+nU23HLp619sKZ@h5H?z9r`ifLoa56+wei(U9UR)TmM1dO|Lrioz1Wp*8Lv)ZqN7^ zru`mSuR6S2g+bq>yB+dwaLWyEcT$2nwW^d}rka_14{HzJ#ImDR#H!PKlI?Xh=Cq6H zyituxdfzwA3c!_(UP)&|nO)jNn9?HMa<l-UW+l8JN0s|j@9S00qyVDk#c_rH)*_RW z(*8zXXN`b`oNpzkUyP;<@uQ{G@4P&XWL4R4CGV@8<zAbNe52lutDM2ltB2pFyGz&q z;PKkee*k$?zMCTbB<%;SW(C1mr+?iRm&N;!ngxw_!*-|2=fky^Mt`+t;?T6#l7^bk z@(4{%g4qD}Dn(AHu?CgD<N$$p%hp?o_fo4}+cc(it~&pwJKxh@t{dOYUasq|>IIH> z%jR3-5=UDH`&~P0iy^^x&MNa1kNUBIi&VxBtSZ&oBJ<s*Yu`eDrN-NaYhUqqqKRIu zzgL>HguaSZsc?O#8!!Ctd4snu*S`GkMB%eTvecVwIwfv@396f?x;~Ex9|4DgV}Sj< z-L;j4yk)wHZX!M+!6IPR58MeehL3eM*E^kR%MM4tr%#2u`MZCWJHc121~1?fiT=9P zs6n=G+WR%_67A&~{&c1Fc}i2Jg&pE2--kriQI?L*=l*u`atHs;wf3jZKLLxISchrf z;D#IUCh`L3sRf{Z<y+~m)O1VxEKup6V7Xhg=2_?8w(c1VslP7eN-<F*e}j{i<?ZS1 z*(TwV;qB`wp@QM*>7UpzIISPdu#Fl#mIoTrz80EzEz%iOD_oGcU?#4ROWRE`hQMxP z-X9F9o&E1`HLgxBj`W60&#`dwN&0|1>j}kJ0F?N){b+ZRT#C?<=5;_a$UqG{;nGh` zSVp{SG-I3+9uu>qLMCBawAt<`T|$6HF)qqWSw)4+>%jb9OC2HSBGKhY9;>HOOol!$ z9+^BoY^NAO785l$xX)M#GL8fgunQy9K%*i?6N#e<x3YE26rahjs9X(|)qa=43gT-# zVM`>%;@{T);=)aD{l}1?um77(10z_vSMw<nMEu%wS%(y5v;Z#J5&%~R3qk$TNzbsP zqs>G-mko%-!HEJ{@OuTh1cv*`cr-Y443}e`^<mgQ)Yl~pRRZOQI38(;F!*p5QGgds zaLheb;7YMcAw|$DEA?rK9KlwO9{%QBb)3CCjpY9~rT-SF3>6RL6x-}jOeIppz3>l& z;hqW%OnavkdkyPMWXZcyVUNQiqt!2L`>=YEuGt4YWoABFuMf%|dV}2{Iq{&i1P{^2 zGJ&8;S#jEr(z{y?E2@I^ClK>^a@pTIGp^_k3?bp(d<Ojc(5gcwc1scfCtM_~l9r=# zCC!P&TR|a_njjD&^oU{z^aZ<&qpGB~_`2MN9ms3(To$7)L)y(b<Jz4LkVX(4^I4MY z8pP?l<eQg<ahcM#Tn18;$|*ci7#68$X;uAY@y-ga0W>ip!`YD9%M{Qd_vM8?xTfQY zQR7ij6f((3tcWmX>O586Xe40XiBx2vD5PMbFe2h4!Q;{-!4T|Z;<Ux!T??XnEvXPW zv9X5Zb9#&{%vji%Iq#4FcVVmnDr;MUGMR$G<!NR*eXj#)h?6pj&;8R#zACt!Z_`&4 z;`d4>E@Z2WN}&bI)d|q6VJuzsLSrpqf$*=$W7jD&4S37qH&qwDq+BpZwmwyt0ot+R z8uL^WyiKyk3A0H#)y*h9rA3ses)-6agH%v+J{2ppCWid-(dn;Sk90*dsm@;u*PFRt z<F{Rf9~jDfC}n~THL`ED@}QDxNrUTY?-k1<>K4|$o>R%0uvJ}NOw`1QW|E{c=4x4i zmktT8bOXu0baqvqM1o@xOQ}RMS896msBLb?O#eiqAO5$5)+NA9?0^<k2Ln;Hi#+^j z^<TUbS@;=9CLHp4(uxbKApy!Jp6;@fl2LQNu<d=4!?&C>AmzzE$)10m3R4t^l$Vud zdY&FXiDx60$)IlA$7}NqS8{1usCJLEa6#%OnU>oAbCz{yD{e5OXpy8G{_Mp(F`9Ow z-j@IKG#XK39)~Dy+1x;&Yc0!)QEPnBN%4rL6QVr<=i%KK$V4$0C>2uYScStDvS3TR zH6=cr8YUsILXC@P{I6CH@l&tcj_+>ZX)ZZvl&0O7V(EdBc|@*5lXi4DgQ}9is{c3e zve$R#efRsc{V>n%2DKi%(|0v!4M=v|GTnTFXSHT`-4%~Y{?FnJttuMp3Zl1!D;?rm zW?@gPR}g&!{9ECyOzG1vflTk)n1_DctD;{5uY@rH$ZS*vE;nzDv32|Vrw4=U^%@nf zYDX>5#)67_lNxx$F5AFgt|r_U*_-b+(Q21&p4MGiBNHfMgYtw!Yd?s;t}qrylsUQx zfJ94xIzSF&w67AO20~8AOcSuC2*0BzG5RAQ`c!cr<~o=^vry<~M?*|o;(^+3;e^eN z=0%zy73}M!{k-~MmKDXN9KI^=#%tL5WMhG3r1kS{!qe5M1=pN^!G)uN>%9CY{dPYQ z?v;NBD-~c9Ez1Y>!QR3d<{YG4{q^pwxS{t$Lovp)S1!tMz~zS~g{4P9HXMNaOfbAM zmUZrK0owaokcEl&ckU5BciX$*MkIw|5lL!Wt|#yrbvWAN)Qp4u5VWdMDy;4eGN2hC z(CoDm9~6NPw+;!O+W}^1x+tMJ{#x>@FcFasJ)1PK5T?I6%Qf}=5lPVhxYKQ{viI1N zEylvKm*<CE&ksTt-?y{3??05nd#OT1I(MsEsO<p!y`=mlj$7)<6q8~5c{LGEL-|58 zSI*mV#0nJiRwq9T-rvnp_yp%3a((z)gg#_-XNDPFi$5ER8~(m@#^4@Hz{ubiEh*08 z!JxdNVb-<x^W^XO(H;WqXHZL+8}q93$9)zqmGp215xxt_i1QVi#ZbYxa|?~#D2p7T zxI`^Lrn4qikY`f_-`O%7M_LL(y+8;HLZzWs5kKj=Y|MKl5w6fscrMTmMSen|qA>nX z)b`(SE>Pno5c8i2JIcTQzea5q=9d3AY6C^Vx;_67oy*4c-*m1Sw;9)e(YYL!-2WBQ zjg5KKf$-Mdkudg4Px{~_al0Y@E7TP)mxO|;?&ue|w=R_{?b)ee6jNB9a-&x(xT=AH zsOC<B1O^9o1B#C>qf=N!EB9uSOtdrnk~8$WyWea%RTz=8;>AS9C7)GE24fmU#}M7L zkXz#+lQs#eg_Jr@YBn-89|Ey&he~4@eoroe$#V<|o6QQqB9Y|{qMxq6|A7Hp5R8Ya ztbI@O@eFmj$-Dz`BG6jyJ_%D3tD8u2SxA-)32WP*ke)=LQVubJ`Ab+?3@i_=G`A+! z)IhyeU==IDXV)!v#FuY~Dd2ks`&K87L1+GnulIA?X!ryrb}YyhQ$b~LGdG&KgY4B| za|Y|y0}#0LYGKyLn4@Ua4U5p`B9L<3=XKi?;wW}E%eCdkzRKz}Xtpz00ygSvjSDl@ zk~T!Ur0j&yYO=n<ZuxF={-G#^=mEwrYS$`%i};P-+5_UbLEk@0OaQIo*>h-d@)FI& zih%-9m?9vsP7f{b0=^=x5<bPdXp>nDw1$RCA2DRQ-%%)2)IAa+@t%E220f;R?p`PC zvqJk0Ss-B`FLr!y9}GxOCQ}E0_UK^zt5>%%%7+CT%4MR0qwV!Tv=9V@viAEUFjL#D zILhh;^bI@&Mjd?ia^W+X(G-*OmWQb}c)=+Sk$8n3IItw_^INT0o#x!n|26ef7k%Z% zztVb+_#W|v^#7jqA3nfz2PS+vs`RgMuSq`Y4-c={<D1GM{M}2bbL)P@KNxK3@v7^0 zLV|uo<-GvxZ?Eix+xep6*+NY}t4ekDue875hb-c+oUfJM%O}#A6k?OehTtWovK+&i zyHWMF@(|p-z$h*TNI9bwx@<WC06;Nzz0$zQ#T-Q^X*9@%g6hk8cvOa9YlR4%<{oB5 zn0>U&ogBzZ{2^xGRO_n0Xy_j6`Y9@K#a`$1dyvf_f37{fzZ0=b_~R>|yntu>)zb4L z@}rlFaEMF(7HP`)>4rD4XQ1=T-xJWZ&kBjBET&Ktu_1Z}MVZyOq9P%pM5Y|ZO<U3& zlvE)%Ru~xBr0@Dc2w1=k!K3+cKubEX11%c&V~~d0pXZ-eJ-3<l$~Qod)3>SJo_W{8 z*THj!wB8E=5)_<5xWEhVtJW<GYVTH%xKXoM%Jg_lM2)G+r#dEX4zz0gp9WADO+&L} z0W(&_Ttj6jwFN`NoswBvS!@)o#JFGF;<7>>)k1Vxm$t-Bk=7#ahc31T<rzpZvi9n5 z2dNoiO>A<~q=xwXoQgLF4Xf?~$2>PHiBhA)FARoU!n-H;T2glTsU8Oc2rrB7dr$5# zDWI$B${*usN`nN7!FHd!Cv8kP!-d5HWPa7KmZO-Xi{NGs6`X7$dNJhVV3rI(_~lxM z<<w4*VO11fV;Xfwp?E5IdO!hL20+4yU2oJ_zqQZ4&w;PYp16T$c!u}6>*nQ?FN2YH zHJfp<mFlpyuj`sVZDsNlTys+Qv^aHv$1ZJQ)XM;Slw}n5^w2bltREkn0*1E`RAJO1 zD;V(-X9su9IZLeYF<y>e)^Sb~Q={|)587#RHp~a{ET|4N9~6!^q_ixCVlpIA5FCSD zOkKuMtjR((pqz|8n}1ns9`#AOay+{+0%gYfxaWtacTHOIhVE%@n(9B3-KKwhVwlRP z7cN<~&W_iwh_^u$j9;m%n!FZq_nl1gk0Us~!1p%e@<6#%)g9lumX#G<`jXTcp0d=& z%UWiFLycwp%Q)3B&-DPO+~4VIF0x(*Jm=sqPFeFi<;Q7UW+aC_E0en9C9X3x7TqYa zvp;5T?ca>r9a(iUiVGNFHN3@Hp%QS-Y8pnVllf;K#g!<Mq$!f)KwI>wgJ9ZMP%d^| z|2Rd%ERK$i*b8}6F-Fq?6Y1d$?TTtNG-auQDT=gJ=5x=b*S`fFoK!Y*TDcsYVqThi zez5$fS(pE?+=KXMZCl`lY}b0FTP})`oft+2@ym`L$f~Jw>04LhKI!%UzbIuX`T6>g zJ3~9W5_d&fvn5x-8=z~P>Wqv{&B`c@M@TG4Q;(%GfBB!~%<G<rw>Ilm1Up|feg~%n z=x{Vqe))yagV$~H_7O80EM?(CXm`S?op$*!DA3RYNXR%a$yDM<8ZK)@l@vhnIGY3v zFKY*tfJ%p|1Y&kFl`2MoZ1g%S61n`ICEBvsgf_OYMr!!Mr=t~%{=PwZn*+WNpP9gn z58vhH?Nlus4sM*g;EBdO2F8G|Q}e$uzWmRlWR<46fnx>=zT;ceqPe0={hwP^mAWuG z8C*z6BO_NUJ>X%`AgWLRRk7E}6!&8kXYxf?kG{lB7MJ`_*H;%)2icNDJ?q1ziwcjO z_$X<TvX>7=bLe1%1%Hb7v{Nm}I$qm+JYA)Jb_pm4yrfuk#x+;X=G&+{dfN1<Jwvd= z#|fNrp%XGNhZoRPrBKF2QM_oMq)=vt)IPuub*P=<qBrbD{FwRayOO#Ubhm?3lh(Mx zLtElLqg&Ga(#eGI8}``0b!B{_8CFB4R9@xh*!Rfg+79=Os0x*i7He~ZEH8B$$EdVu zI}GyQ_Iq8M%j(nxow8=uWQ@7Lh{B?W*^schi3OLPETif(m49Y@tD%K7+2^?{3&9hf z@aD`V2onAnUzzE)QS-{5Zkr)(oM3;wudq@&AwFgj4eVbO()koNrj;>7*O!_T2pmmB zXra;2YN>#mo7E$gnxRFmEk6@L5Tb&u<J))I*S)OFw<AIv@YLKwA+4ezj?ePqozfE2 zQes%s%vp=4(S|e@V;4w+y0SlkhrNtigGVrxi;Z!d;jogkl9Q4Di;u{$go9YvanLGU zNa7wq(fdJ$MSoc{P{fKC8nd84go6%{z=YC3nV>}N;~<dnG`iP1?m1;rL{AR)=A;oV ziEU0@KdgZVp^D|x0C)2nxOw<D#I*zIg~fitsyg2p@_*DU#t#01JoU}UZo{ma2AjeS zIi$3qF)I_#!5mtm4ZNK}Y1u^6c#6~T#@M;5nb1l2i=n}<{T?RR*x9Q_fW9L5E1|mf z7Go@|Md^&(4k&K;;SB%}d??6FE{KVNTSdSfa&@QpOSm;;w1aclHDTEHdU5ThR4RZ1 zn7rcG6QfJ`fhe<2wEq9@e8EF5r=Xy^SDY;MNC9N?m4b+c#Rf=fkKp8ixqNO!Z)Msj zcc>_{&U>Y4?`td3Bn5~BSl2!#5pHe!zc`OmL=t305=6pL2;xK`2rrh^_D(IoL-qq; z#);hC@Sk_<$`5L~tB`PabKun}$y}@C40m}snMM@Phm}13ZKF!=hh^>uWxO$9vmtSy zn2TnbrDl?CF;scMC-;n8F`sf=k;se%P0!JvT!f`E__HK$9LY%B(t3vwDXWt1Ff3LV zILBhrCK7IuEY^Vxoxs~;l>HT-ZrM|35Dw#U2vp2am16}a!dRvp&Rq#$cN2X`k|_Q% zWgwh;xF5xwVtsi!IGF2B{z60=ksMPS4g}_MbzUQw#hP2EZ!NC6syoof%$F0`#xE(| z9|!wagF7FAH<R5JLQf>oZ;K3+fj5XkHfZF4UkBJPJ_xY{HZ3gQ2F{a0K1Wf;O+%VC zQ9zVpI|-tXmW}otZNCV8NL3L{iX%f}R%4F1GP+PzejXa#$C=nAP(}okkng;KRj+sl zA;JI6hJQ(;r#B41UmX*Y4aTGt+szNhSS*hLkqU*?N!X%H3K%iW2DNV(hp6rnmj+;g zG`|o|udqa1Y<`~wdH{yklN|?+HOt}XS|{U~G##7@<<~9@QHXCDCGV#UK9BG*NX3h4 zZnuVBcJbSnx>kub$->o$>@&q*>sFn3d2NT@n}5_-99n^yb;c5Lp3K-uwb4ctOr@w} zQ$Q=sTRoFck@Q_PS1BK7MBf>IRgst}KTs4}RsWfyy|J|O!rEc&v=L{rlbWk#SQBI= z!MVxB#X)F2MS$x^w1R@_KS=@wbuJ_Kg|?Ikr7o!{NBvtEqp7`C00vO%|L6Kq7#ZWA z+Q^$Bfo~GI-y!>oTI|Hx&WP*9Ps@WmG~$Nu5Y~f(bt(n*t{EXnGw^!}Yu<f)>*-xg z^*$0Gl!{y&gC}I+H-sh`amY5&6xI9Tyf~?zsf(<5Qx}NTZph;`Q9`^bf5m%4;~P}5 z#<`oX1_+U8H)W(f{yRR@R#iM1%4tN>>A^Am_pp_lb@S<3Gh>vu#ucrTNsy^!%?1F0 zE8KP|s-7QGX2_VpWu64S)yl#|xU~o4^PXBg2$>L3cbw(;SA=h30XXh50r#1kPM%H5 z1!gkeZK}~Pqt&uNol%h1A#u}e36k(}R(*wM(V{wZ)pAq|jX(Rk#UVC`TpZ3oT1mCo z9QPl#zt0uXvDwUQtb3x7)Q%<Cv@SAqT-02VS$Gs_C~%dQ%`LyrXm2=&nYCnx?$CZi zQsvOHB4GV4F+GIdTU%xAtUrIE0Qp%XuK4m!Vj^;kP;FO8#0NyXgO6|@2J6p9Ew>m{ zvVDz)gFX_0DcQ;zJ~Se{I#g$DJaz?(kpy);f#qn3dbnAP<PNNyEJVpTV=*sb4<omf zG3y!%*VJ+7wJf?2w+Oy2#Q3d-+34(m0w+%?N8caLW6M;d;avVlh#H6n5$r^#Gho9n zO|#}9z{Up6+DA+KnMM#O4cR!o8UD(QMdxmgmVB|rU?ZiPytK_AGnP!Tw5zT<hDNd6 zqr#r?uar@NAQ<noNx;4&?D`+)8Px_mJPhgZG`#7~Y{fInbRJ=Y>aG?JX-Z=<IXO1r z?btPyvP%nc=G2Q^VoM2s)1`9i5$UTWaJS6aQPQ0Tiq=sse9LdBMC%)fx9GCKphkz? z$9IE}`8tks5Y1aKzVvo81NP40`DDu*9mWI-B3$t#g6&!tMh)}AO+Bi9QUbXw%#pPa z+bBHY4l{L^q<Ri&ZI-YzOD!L^c`-gG9vsV}0Qw4MbWO1-ZJ?SG5^(bdb*h@PWt(b; z{nk&?X>7kan(H@T{`{s3x5I(L<BZi)M9M!_8ubnDaMiK(<W4cNX;~E1rbDU$ZZFV7 z__g$RLgQIjvq1zy!yxrjk6CHv!tbfo_y+E_&VFGwP%}RKvL^i*{K<KcAab9~pZ?>} zKSP&<L4i9&pDIQN6G9JywC?zkR>C<qXsYG(`w?C9kP<BMjfRBM<H}Mb+2Qx&BKU$| zLvd;IY7cJI2|pEZZz+So;l>k?Gct4tnW#o}<T0W1*O5ujL;d(*8$TVSS7H;C3NaHz zq{x{&r~FcIH48n|YEMNTi_Pr~YMf5WuJ#zGQaClnGR1^?FVJ#z){(~+TH*5r@$%v` z&Cp>Bac20yb)_rzAO1+!mOEMs6<lKGZ*HKk0>hCgW>Dj*fQJ&efrt7O&3)HUs8|wx zfRlLh+-}2e$}1OM#6m1Cv3gKDNWx&s#BgV#hAg>2)Zd1832W0OeK4(AloO_BdqTN# zyRsQ3Y}1xm{F~-`3Kq}aHZlp_tlr9@J*>_reVWY|hTAUqR{_mGowsKX{y=?0ih_N+ zs%O*_Pc&Jd^Ijkzh8bQHJg^Ibv~Rm8je-TQk7ze26$HHvZzHCLHiW~p-|G9M)tZ!s z;y{yB;+QNjgELgbaVf&TDOMgMl|~{LS14vz5vRy6ps>)nIKkNyX*?PqRd7OcCRBQ} zJgDcui<gy-Q-mHuL?Pv{g1Y4la^6wz^^2R)1sAAhK681=ug#nLf69Jkq9ayXWNP&F z3G}U$CS_k^<_l~omF9>td8jmPds*L2syk2$sZR)K;c?N|(Wgm|wJI!)IvPP3NfW`% zy^x@{tjvH0HePyDuA3*tTH;Md0fdSlhXiwhtu{$Nx<^?0!)S4WA%&U>b_y80oP;&s zdHI|v{EratT8exqgVejNt~^|c7Lwbg$`(NqEBMzEZb12uc;}~oJ!1C<LqRG%fMwd3 zR4qJzu>WZ(t_#jnK~Q)X$}D56<nP2xA_@PHSqB7(z@juK(rUD#c)MS9IcvM&uFDkj zZoaOBlj(ZtPBq1ObH=WNF-eqVCt)9p1)6J&QLuUm^Tg3wKJ888MwPhxsi>0$R*82; zC7;qa#(t4mpHU|-f<-QdU1h7zqK1PXqRsa70>HXhV`$>C!P<_LSy(al7|F8DWh1tV zd+u<m9(g;SJ|Ft~4d~s_yl2rLzW%mqdwsx+h9s0B`t?Ryz~N<_u(Rc)wF<FTT7u1u zRVs;K$dG~21jYAOCEQfqT=I{8tCxQBprW;k|6Dh#a7LgRPsW`vk=GXL&bt#5U#-x; z=B{gJ$o`jI{dplp-vH7QgtY<-cjs(QJ*eQg*>D2902c98l1T@nkrlDgh*?`6HR>eN zD5_L~5@{?;yq8tSBmRUp^iO=6uFgf#S>N%G1015PL?s&mv3hTx0QtLHn51M@vR4tp z*yCmQM$=_M(?yDpG|YdVS8^9OMDO)8QjlMQZMgKee~g(;!Tph9XdEfhY4kn;Yg*?u z3jlQOL*R2`kcYd^k>J7(i+$m&SV!Vsn{e{Nai-oK9=Vj?!N=x!jszeAgqtauOrp!= zQ*4r^M>T9b(RPMe9=HP$mp(ZpmCn|F`nTn>J>r~xpckB7ht9$-?wdLaZ^{)IjiLWc z=NPz0x1=3c|15IA`S~YSaPoXbRils$iSN@hd8&a$s>YtsUTA%hKjkZn`*+eL7$Ryg zbhL`;GVNxn;zx1Zx#wBOj9a>X4n>dPFRe>9(H__A4KfL-0R>HbC{vlOtSow+LN9s< z2l%kxgZYLbI(v_M$ks}Va$y=(ps!Y@X(bck8!^YO5~<(7cg)G47hxAz68FlE^r#3g zX{sa>j!&pOr%FZx?g)owHvb+79=AhX)NXUmJJQv-c>jtH-_~vzX{g_f9q9JrP`=AJ z-~}+EZ8uAtV${3Qg9KF<^0okq$qTtEFh<pcbccAAfKz)}zkj8(5*9m2dZz~zRL<vz zhb%6$#@U#}-qR)rnM`hE3A+ERdZF4r`r|Kir4)skUpM-OCE(rMopOghc|LZG$dQ?C zc1mS=LI#9SxI6+=T6PtWJnMOK_K<{qb4zk;Kr6%9f53P5J2b8|0M_3%6tenLIx4Ys zZin?9!WoE&cF>x+RbOnb17g;n<O!!nWQ|UY&SWVlg(|hcIoo?4x7h~TRcU!#l9E7Z zwzbK4T}bg5^zxs=3XxB?AAEPCL<gkE)-VA*xWgK={)XPTKiMslmsEr^*&qtWukkwU zEm3o-d$3R4xK0=)ZGz3><~{NRJ?B#5ly|!>X0*O0QfY1P!sWC&_2?ujFb1e?#0R5b zr8mHczz0b+V_-rUsY>!L`xI3%6h_|$-ZaeVuC+)@lILJ>zdo+2_MWtC!+>o^6quC$ zu@#?nQ_1Kd1_m42vElJ#osD$ud)~aKC?rX=hn}ealTZF{3g|*^?}g`|Z^VE4|7!Vd zZt3;^nBbA{hJ%8rgCQYKPuJ{VLj5}t=U+K=Fc?rMnC-5%KZrN~hpzXGYAOr+zRyXg zq)-F|0--2aC?iM<kc6Nxpb&~>05w<!kQM|f0U?EE14a=mkWd62VB(0BC`>?9WE4WN zPz{I@s!<{!1I&}T@Abax`S89Ua(&2JCntODb?x7O@BQEV8vXa*$IA`h(TcC20E<p; zI(ka=|9R{Gu43yP;G3u^9>o6tz4b&?<p1^7j~~x1$IQF<*&z@JB?KJt{}w*~-!@n# z?GoOO1cCoP|DS8(Xa29>`fu5rm;5UfC^SPY><@TO+(|GEer^_ZMEay@MQon&d#``H zf1Q8b{TEqppY{MG=$fj`2EeXtR#A6x<Ak|<cP)3$zmeu%;o<3O{7tPOKRL~7QLVt> zt5hw|_mpdUuZgY;M-dDkNYyUo)8qr60}4yp)7;BEcDg?MfqLdaV0Q35beQgZ4;-_D z=Ye9n^E^ylAz~Ap;nyj(oP60L>ZymOYgvy8%CK!pE!BWOrIupw(+bKtAD7lq?D0Ou zU19<leqBcW<so<Bd{Ily7pJ<9naB*{mQjyA23$A`YVr96sqP~t5`(Wxs78-^7tXv| zWIip`eaJ*?5VwSS=uzl0v5NZB<C2TpoLXo;klOLi<cUG=cT}B6l#AP}+AsMcX2%<o z8Uw#axo`IfERXja+gcd+8(UjU^cf%24vkQ|ro|WL4(#DsP9&(^y=Z;}Hf_9D+enxz z-ovt-h*vAQC=G#48Jk-ik5{{Ou`>kr+1OJ1rwFy8i`5~S6?<rw$Iqz!re)Ne+qs8q zIT5E;p!GFeE$<?uK6md$dVTKmJv)P7AB{CFjC+l>wE0aa_lpkoDC$M?dX(Emoq81I zBC0;OV-FAnn=szkIl)j%*9vXQZQmpR1vYNHvh#S4v3#d-k1;}<9j3;)*z^!Zyod^c zNsKqN#v5~6_sA@c$Eq<eb_T=7j2E;*8*_zw#1_Y6)XrSY4Tg;vk7<oJ<TmUPSR9X5 zJAKhJ7&c_wtrgmk`{$kzZAwG#gFU$x#!+hYiz`8y?~H|7ZI5zm_k>#*N2(pZ*c6oc z#`r#_mx+2yjdIGoOFiiXyGspm>X<Rwi7)Fj3dH`#L=8~y7_`fAl{o~L4ylnlR*i`g zQ_~FE7jeZo5@%Q?HOjzk5qC33<lOPeXe++`8}3?8K?16ay3fG4&&U+3mV)Y}IvYUx zj12JYUvYVuUMcPprZ+ivPY&HVvy5u$)G=YCiDgT1Ihar>E(=4E;<y+iDUOZ#Eg415 zAv<@JQuPhmdyG`Ee#yD6IRs}|DRs^<vxGY7*dZ~J>AT5rNtn<n96JYa?)YG|hUra0 zwNmHsZeMUIIU-`msL>q8F9{{2zQVgL;No&v#ExO35ln9)s)1UAcbmsW<dBISgGR3~ zeu=rWMxB_S5>XGRnRv(??pTh@$^D&C6XwBLR4w%+9x{tNlp}C*e?tgDwmIeQ=ZbA} z_i;tGx!&Bv_{>U5pl;?2!5_(X%I&Azz?3E6D!4lMOew()2|DHWP?9la@whu&o^9@H zN;t+i9(RM=q~B3NIgBYggS&#DIOe{jxa($45{!|cW9|zIS+_$`xdzkTOVCAg6ao1_ zin&G5K`JVzmJ-y_$K!ApxMbU0D%aXJ*NscC&82X0wz*`k=Lps01qINBO-vFt_Cewt zP^2WmlUJ86O|qkE=PhDz*<5pd_fiTD!|5R`sk-$LzNsFUX~Ie<s4*k8Tq0KxkM!^~ z*wAsScR(65a4f<GV!Sbjj627b=yi+{<cQzwQBNo%==K=gSuRhnL%-BDJEx3Wpyxh9 zkRZMubV4;!>gU@uzpI}xK{Zfn&~DMV2rgN#W03F);fI(IBKLdoxCFh9_k<3FnjK0& zNkg|s;*RY2nSkO%;)1ziUH7*H0U~ZU>UT<@%bQir*?`+6ee%G&Rn{(Nr|-R~+Uas& zdfS^S|5CSeGxBZyRbHjW=T6JF^;Wr+Le9-BZtJOXDxFBX&=+vqH^TMW56#a3*M0Xh zyT0A)sxo!i^J8E#;J1=1Y1_YT>#Q;;<;VxhtMp6H$OFr(bX?x743t&rl={m9%c`_W z{nM0t19D1s$piUSD3{&RZ4_TPbNknAWM3oK?^E}lR;@XoS_vEvI9FnvcA+OAwWMz` zu%rr6+P+vQ2}mmWb1_g7!17&p`LrDPAt23n-lcLma4aC%chu$7a^Pq{g70gWEz5x; z0Sw<3mrqN9!vRsgwJw!QfkOe|zQr!5mI4O@-c@y$=%#wj-4j%aN>-U&v-j$%no5S4 zUbFXVsstrMX4lNU`=t5}_IK><4c;{N<_2pTdwoN&iuBH?mLuPgDmK9H*s2Wcb?UUC z=fBpg$X-(B1}MjtX2{m4Q-%)zlBcn^GsJ7u&xY3jGJfRzoFQ1Felj#&XMe+9&B&D_ zUy|H6z;D?643<2{BZINVEhpKoLKR^nwAWDQU!D|uenYT=d`2RyKIM~iR;hdvZuKdT zgj%KYNTwU0*bw)xYzmu`AzMT~C24N(dkj(kil(rs8@wrO%7*m{(m4aS_OzJv{s&cJ z2>dHsM*c;Tud}~kQ!~W>P{#~q8;oV-W75DndjT7tQSc9S#89#!TS7LH>et!x*vJgp zKhz;Z@djfF`H)n&KE8_llXPjFGKUS#0RDOU&hW{G_&c(W6tzy7#r~2ZT7CM)ux5ky zDCez<U?H^Mz;@oK-@tl)ywBj^GCcx&ZHXt$8F1k(j3;34rkamJrw#Tl6NEWp7uLdf zJhmiNIs%<CFrN>N$KFcq9D#l|uw1r|z!s%ek8mqoXbYidu)i%4nsYi`$P43f*n%Zl zI5sbpQJ=Fnm0q9o+-2tw^rM01yiu=#)-taN>7MFPkEEuW*CX9hb?T9nR8)OVhYK(S zoiNy#8)snCm*`D7?Jn{`=(xekTxgGhe9oxH0I|#p!*Wua9wLdUs1c~dU}H(rnA7Sa zn-7h}GE+N;p<@OMOZ3JZp^JDvGzNPnHFp>~VlcKOX~=1C5zL21V^61g4nv0wx|iq; zIe)r@ER!2@9=PPr8%1I1sVhU=cLu^G(W9JNm+*O`NbKR%rXlVdgZm3&Ch{#YO31xS zJSl|UC58x}&fs^B^84_CUkaGW0pgvpHaVs;iO}*?ig*8lV<N@Gw6V5DOmULr3AB<J zHAY#)+)NTZdHM;zb+qjp=2}ug0<ueS=+~$ZZ>kuxk)1^6F|ZGBFxvJNleZw2VlFL+ zlXLbY(VuY3h^E4)6L`%pEGZ^ufiA^lEs&*K_hbgDU6D+`^!MBZ^`-60)`?^8VpTll z5fy_zk7zmuit)HFvMEeXk}Mwil&Cqz@4=(Kh^8>93%n^z%7S$=(m4tD<Y_VSeKS>p z2foOXkbe>7qwFu3)Fkm^>KI<Oz(_(qCJv0U7clWj1&^sCc*%k+5!pzrA7#&DB9my3 zsY7`20wWRmkXSf6&P4u6yfjLg!-OUQkDtE7KUol;Mb;6cMk%wHUy?+^r*H5z3$)!i zZyg2Qq5b-{uZ{ZktzVD#=^vb;M_{gf<~ijIIP$v36EJt<&5NPa`g^AcPB~&nR`+;3 zrX*f^2Rfy1{yH=sb1S~{4)n9W<&<><rYOGp4!6RQ)*X5V^V?^FV@{_dxqCbgQ}9_9 zj>(H>*yikwr`zT{ciedk`cYr=wNbCW))dbH=^pQ3i=@Vz+alfKb!?H8c$95Uha+$c zI-$SuYMg;d|4ettX?K+0gpTX4ybA5nm%lRV(ML?N!Z4ipCL1I%9(4yQ(ckzivCnCB zl)Vm(#W3SLZ$roQ7e3SNbA*oK*P$_(Gx52%p(FZZpCxuV4UU4>q0yMr@t(J#L;BsH z>2^7PI)+S<?Q$MC=Ds$H!qDSaZgJn~3qOl?=hQlezcz}*9FA|g#eJiHe@wiJcxxZU z<lePE$%Nju4`Du?(c9U=@6!wXP_T*^u)ovVCP!Ds63#u9>bZZwts=zsX{~LG=;ByO z8nn_rs+F>cz8Nb@d-_RlYfIZV^tIRmIikycU#n4{p6LhN4@9TEb1T@VXVB926`eOG zmZC3>iC41s#M0BaW%j1brxSXbA6QaFJq5ZHoi#?5qPb%PDVjZ2u!11RlGC1++UvKr z_2{X7psi%P#uCz?rS@|v+!FiAl&2Cs*%OKkois+DLbGFmw5K2R*2cukh*tZ#7RncN zN~|dL>8Re^7;PCLw13q?SwP3dvQnQ8>y3<wmk<s1H7%5RbVMvU_35DAt1;SA_N-p# znDr9kfqiBRIEOwKD`QgM={1cBz9VYwPqu)w=tHprCiRVO&^wVZdw-<3K6_uJs6N{} z^6(LE<?g^B?u@SgJC-oJfA@_#ege88Qs)R)s_XU+5N7x6POjs}qwhrW>a$<(4zDwc zN8gBS3VB+w`*0oq4EoAja&z{}-R?o$NnPW2Ky&ts-Q=LB<+~l~+In?$YuSqMP7sd1 z6iKVkc8@$9!ky5?)sBmGRcrZTT~uwTSQl1nB-T}b2ZW<@BUc_~Ki$3Z3wK;s`JFfn zof#>4nC%iNewghXsS^S%*^R0j@7A5GF=C)ukt@O654x*wMUB}lyQQ^8vFPN;reN-< z?#x?qV|MfI&RU}wG$S%Om^-XH^j6f6{b+Y}tx+^OJklYUJE+_BmT?3+sQdgap&?ta zn^ij=i9Q-B4T8SYZFtLjlwGr%UORpoeK4}xi8{OaaF1YG^;UPmwCas+#<c41VS<Eg zuP~Ymb!IcIhrvYj+Z2|t<*MajI2WpPGr32Wg6OeHC}A(E7Iq6#5U*`cmVk?@*TZfk zApW)qETQymHt(@c$@UB@aHdXfCiKXX5uRbm35XXq4o=i^8*3-($IV*B?60a9x(lSL z7sF`I&@vl+CobPc(+SGAQFY?-Y+z2*iOoPU_*FHdn~{tlg;_gO$2YIuVart0x&>3J z%<h6IRc@Fl9?@nq;>azwft7$V)r>G1k@{itO1B^h(PA@M41Q5f3KI~iqnoAO)=7wF zn~q{|K{YmvL8K0Ee%~!fL_D&oC<f<M!@{hI)WOYlVFcpS_nSMqaft|lO<Hl=tm=_4 ziPO`!o9nv^&LV1TLW<jFR1cg8$3tgz>@HLKb#`3_`*kcYhn`g}dQOW_y???J51rBR zc|mu|?)#}AFEl~5>;&qF=QU&JbXj%TNzc5hDrh*hV2;KC6I4r2$n>dGIu0+$5vsRO zi1n$Tb*x`7&X;%QSD9&e-7vkU{(bVexZ<b7dHge~SDup{vtRz?u6}Y?N3OE!l@q!8 z&`BMG7eIt+{&R@~!sA4^En@G9aQ&xcKUwEddUez<hsLR1I6=0}rk=32y=t`gK5UT9 z7we!dhl+JzmyN_a>MwwB)!Y*+HrY>qTG`AU*HL~U4pYrMA+gDJIU%;mc0Qq_4=wo# zl{en4Gk1xD#B8CeI&WzfuVn2xCSBu9Y47~Y+96x?6OcC^tD1g7st5g`v-F&2pZ&y& zoIW0>ntbfW8swAC!gIQPw(uwM<<J<_GbeKOpd&hC&n0%*4L=DkheoTOKH<rgXL($0 zWjG>i_nvUj;|}U{J!jydgF4S!B`aB;loqSWujlw*RMLM9Ken<8xuG+tU3cJ^puKOk z{op(8Ck@s?LU@1^@kzHua?E%@f=XrsEnFpgX;mH-+M~51A9NenQpGmP!c=n42czoY z6n<_4uPJNKo?!Y&yYb%Oa83I|p;!Ag0uwF`Xdd`J```3I<CgAiy*v8F__ns+Zyq=B zeEIXwMc0Fm91A^BR<UVj;oFk=jk5beGxCrQf?c-YC)VZhNY$e!q`J^|It|ZxyR&P4 zqF)|At$Of8^{U&f_Tj$-(<--G3#L_Wv@)huem^FVXL%i?t-H-=)Ba+t!uzcX)7f&B za&7m&aI0{!Ra$!6qDt{G$r_~6Dk`0_sB-g|Xs!K|_SSQ4-&C$0E0DvxtoEfF^=X^_ zh5G^Tv~o@d`?L+twS85|YZXgXF17wo7tz-^WmcxE?GxIXf3c)0IjwZ5N>(dbs={q0 zNLARa1uJm!G4fh_sg-_uTaUKtU$m7h*JFe=NU7D_4^D~I<d1fVwk(YzQ%P#2PpPnv z0c-6awAWh2%kWmKxpR~+Dk;ZA|Fn;4&$ZH);X<od=O_y*amQHyv=3{Kw2GJD4OTVh zDDx^2$H@P*4{E<^r7dO6YIn9;FTo#JWu61)RE`~!t-8I_ZfX^Lhu2!2JO|FI96Bag zb$g=~)F={W?GF~$XYC6X)n|DJA0FjYS_BSpX0-epS;DM-iyJZg1eJ<lol%Zd%dHU* zX7wm~pC7MsCzw~C_1Ypl#wcFpMsU+edxgc}82%ZRD-Go4td|z<L!3!1<3^x4>xBh* zsJ-06A*QWYOE;RW2#tbpl}o|2`YiY0!y}vtEnM`tSW7jUFV;duhl;ge(MDn|^+q6E zB{z8GVb)WNl|jz9mU5#wOeHf|@-WLKSo|={Iap@|QeuIM8SmDbi!x%Uu!2{HIUlrE z8$^v+Ef&&fqga*X;HF{DsMbsaxiPERqBGhkMuicaJj@x^8fp+VWIeK|jy8%`2@iG{ z<_v0eH84gYgIdoU2n|^R3s&@aq{`7?=@8_dRzm~tQC5uwJ$n4K%E91jq1)`H!+!{- zm2cG*Oe^20V@xamen^mz<#mYG;x@C1_6LIr@83~)lr2{-KZI*>lWrpaAxnYx>_|Au zUQ{lu6Qsaj?>KoBTvWb(=tctk?;U|hDSeyF|FBNU@;p@V#BFjD;SX6d-1AU!0{q1e z2ccW}4r`&?$4y#C*k6?|)D=jTFCL;jft2mg7jpPJG=&iU4pkwCw*w}0o7e;#0lz9| z)G?Cbq(jzE+{QPphOlMIX?21rWoBK$lrr~_C?4LnW2Bi=yaRR=lqqK%l0A0&uxX`E zkOXhpF?j_1qMUR{@Yrp1leEq{3EsS;;|RE*9D9iI*ll>z`#M1){LzkzBjCJp*dgo3 zZiAcZ4iO%=zu(kRhf9PDcBCC?n^it?NFr>1yQ#je;4HjmN63-38RY{3;l+?yO}qV+ ze$8F`!G2B4{h?=-i|*1Ql<x<47DHw<eX8hAS$*3Id_ohH%K}iv5UHkXmBcZtdmF=t z-KS|+#dFMhwT<pGen$D~UAkjd*EY{vkWZRht8fv@R|0bHKqfT}s(=XP{JRndxJN*^ zEqrf4_?`B$ZPq@NUQPA=p>fI=0?4*m)BtPSEVlrHZ5AZ}XPZS1@Vo=zZ6o-M_h@3O zBw@<g0p@qyO1I&B*gcv{`zSq{-}Z$vl#}lg9I}W30-G$+Ho#{*RylqD1;W>_?)Of- zz!<<wN=z?VhDIud98L9>l#A`*t=s1IQ(~1<0z|jlM>Xf}((K{FZLjuIVwB?oShw4U zHAn7>?cfdDYW7p2l_LVkx7!CbU)`nIWzA}K-nF)aKiHPJAB<8yR_;OA?cxHNQS;xH zPnbqs^AI?<SD1ugz^hx|q7HZ{M&^lc5Dk+ZL~mln4U{=&RBa)Tm6Z9!M2Zjnf=cs{ z5;-5#Hu8YvOp(cZ$M#|qlmXZyQTygDj?Z-Qki^5<P2`SlMn(o?m!vt_%Z~`8QB2)} zWi0j*=bfhTu4s2w?Y8j!Mv=;g1DbAe-e}%05wF7Dnnf`=cg;>RAy<^Hmk3s2-oDjx z*c-D7SMa-1m9O<Vw`mQ}QtMS%uUV!mC|4@=m8H2&X*iUUr<HE|iqqUaYgm^ueq{da zD@b$uq+#m9{-$)*H&+gOY3A+<epAZ#Wyv!=d>Lt+ax+^dL=jv=do^@Qc~YhGC4v>$ zGcy9Soo}YYbmN=hnC(0>6w{4oX6g!xHE^Y}DWx1=*&^(znWihhM*~$Vno>$F;Y}%} zlvuC8oPBX=?ZsyAQ`{sPK&fmQ_LrI5h5bb-)mNPAHl`sfVJyQQn+>?I7nI_C3sT)i zG$bXmC0L_by$gF@Dbkmg>NcbyE@3Re9-0-pjIYA}G`r+NnNte&1ybAJX*?+re}~nX zMY&LBm45LRG27p0)RfR3Wxm}aung^2x3w_pSGRtBLMadL2?^N}64XAUzSDx=ryh8{ zKnNSya>ueQL8;Q4aHL(T?tUF7go(GLS+>P16?;oUAeCF9EGh9yH@!t6?Vr@QTC|-} zy5?O_59`{p&(f$*-Sj%H8P>VQ*%ItiH?U}nQ``zI4p+KVByP&w<4q6Ylx;B$YM)To zyv_<&$|<6UD`gdt!<D#2gm5KxQ9%=o>`e}7FWsVV+18`3dY#sk>FP}gfs}5U`-M}o zW%8GHiMnhjg`t#GL=RJ9djlcuAJo^1#Er1lEprx>SfvziQE>aH`dksM5hmR7%7PN3 z6z9zfZXZ@3DH1op8n)C}P@<J0yvf1sgX*t}XbqXO>YYW_4X_7WGA+O;rDNW*Ah&nw zO+|u7u-YvrEx<^nL*9ZQw>N4*iUs-1{T||k%zYlBgiLRb!!DdklRzhqA}R7&%*=k1 z8wPwis=`CZg(FpS%Lka5JtoNp{6*9q4_-p%Ym;yTqeavWkEZ`J=dc0)8|q3PIVJO@ ziMtbLQq4FYNXdL*LUw8|H*qj%>s8amvlSs<AVppBpe1CwdmMJ=OsL`T<6<>cJYTGa z!iS30V0a_3ntDDUMdf;|#AiM=S#jiyt10J;r%;)StWu<wBEOtHbeth2ii{iYR-4l| zlA%~0EB~eAYMv-5vqh1iMqg0L9!*3=($3_OlQNr4I`KveD27Keku$6|lqX8ed}LCM zH=0L<dpHm|gKAxQ3>V0t+Vea@Vy3`^g&&_q9rchpLEfn~<nhjC)|k-o<1?s(9@V;T zv$(@Kf(X>D?1Bi?jci5)>UXNZHq(nr!@JGkXgLfgSieyrhMj;ar{eH#QXDx)<_POC zO2Dw=QH9w8N7!qllNc}_b)9;{7WTJMAcoS1GtaSh%=Dxd=(|ng2sttbm?t&a7WTr( zLD#L^$XeI!BTfs=jze9@E(k|mq|)>uWk&kC9KMmJE`)ESs>|US!F1gwZ~z*NLuF($ z9AG4>wZ7XpZdH}dK&531!cffYf-n@9DzbsK8I5e_6dS=XAOn>_mFc;Cz^!Bp>|rfN zlV~s&l|&WjxsBqa+1B>3W}^-?7=wzXGW6VraqqJQcCbfA6=*OT6-Kqza~s6fQ3-nO z?{OX3I6IiYC=J~fi8?}+=(fMb)n^y%hSeB_pxaKP4v@mv!C9=`I=LUaYaQsvTCUT- zBa6~$)5!ZI&vkGH>ytrW<@OmCY|!P%G7@SXlww^y`nWID^$&1RFXJRH$;MZZB_!z@ zIE6J|r!OLJkviAF&sfV0>uF>Wsd|lFVMyDce?$J3PWZv?G$e0Gz9I|KWm04wi6Q6i zCDG;F=Y~61!H-zYbwV#zD}%QJbtgH<p;VH&9O_2WkwYmYl$_gP2&{q=*o`%b44Ixz zU*WbJ%71|4*p)SU4_3ZL=)oc~SW`$2sc8{PB%#(o33el0vdnEYl&#ahAep4jf50*9 zLOOk!D>M|Z(-)9uNV)%jBiONY$r880P_Ry)N1i5m{sRtSyVL1Q+&>LNGRRBZ2Zp)p zggGRgw6e;6hZUxazH@60!`BJ3$it+jRrVX~{Y0@4`W7EG#J-C^IRxIthYYpNV0O;) z`Y?e>1w!Zm{?39Z0a-~TjJ8QJ?nyWyRE$qs5XB>liINdeaV^3EIUaeFC>m+|gxNYT zI)l7MEU1Te;rA^N`Y?)9ip|hYyz>IkhcTEJ#Ub+&#o@?HiQ*>i9wL22VTU#yYMa1l zCb7biIf?XeWL6?M9LY^2gd^FB1x-*gkv!5?iq~Hd^<Y$!XiZ#KB4Gq9#m^10OYoC} zZ4!)Zj?6$NCDOx;4rH%UCI}29i?}k({(xCc6g6^N@X~ogEHatcG|V2w%p{T<xy|^_ zc|r`5K};TI4`YTBMGf3X`09B=G%}p%Fw7prbSa!c;2`FCBB6mRz_aEhk;tP&=@9r1 z(~!t}#I3>8=Ow3+2Z_}}$}IYDtY8{(E2dx?aU+H?jriSOkihk_r?pUK(6m?v6WXs= zIL4AA%I$G26e*e<D@%d)=p~G?77>Lpf)wa$y^~|WBI3IJjRff5dVyo)KD2qPbqd$h zzTgRE5>1GeB||;!lM|pX^c;kgay@Gy<s({al=T&HA*MizxM)v%0+#9N3)y@<O(DqF zQx&p#dN3hn0u77;UlAEGjASUu-uek;9KEV=dLz<e1XBoROu-a_YcGn2w&{&Dvy1g$ zV}J~iVJ~}3`G8)D5hOud^d?7vFNh?2!DGrOS{h@W1Z~#q7zGv(vG$C|lwtJy7(pWR zkzU0pFpmhcw|-0+MAz999=E+mcf{Zlp#r_MQPC{oh`mJE_7+_qQ*ahqqZcwNnn4_} z2`>g`RqbAr``zxN)Hqa6OqCfJue$3s(64Iwn*PR9ZHvCn;ail-&DGt6vxsUNhhp}$ zs#h4<iQBt5x0?|7BHw724y#)dk0`N`-T|jn&0o{w5w~nQ?|`3GEyJuM5JfiCci0u1 zY3avv3h;aHJNtcuzPzGOyyB4jt&M&L@!PKiM{eh4a<?Q7QShrQ9Fb?ku;uQxq1!4B z`R}|1epJ<bP3TqC3gbCI-EACfq13FSG$*K=jgBppVuP~fc5DW2ffK45uOtja`mb~c zZu@5WO>kUw<rTe0RsM?5qlyS)g&{aLO*T-X4eAakQQi1eV$W^eEPG9lMKEnTZ-Zm1 z3%}Csxx&rj*Yp@z93xhBaxXo*i;(vqu10O(7uOp)o1qS{4An8qFv;_2M{YyQuOd6{ zqsy9p5J@3>NVV@*mL2!u<{PhhQHXb&3trQs5XWs$x54+St-nfkbL%!UUehBHK{lPY zz_+S(ztVSe?`zk$vcAJ_ALUKMZyse$!>=C|tU|o4s^yS3+7+$9cX*YR^*PG4isv!w zRY<RPW-B0vms%;NxKk<)$H>$0+g9Q<%4ZepV~ii1pRELGlus(AEv#?wt5&&k$V+Ya zR^S^v--;#Ycvvyg*yY-`Oi&S8>AfmC$9Pis`J;jr$TMvMvyHE<!=&)Fam+TJHi}8% zX`8kJVinvm*%Um-O121js;$||>rp`+6HUQWkMgGADMzhWAkJ2}w6<dH_bC*K3UExe z4Eal2-op9<Pqh-KQpQwdM;XhI$JzretOa<yRY59cL`8B`wghR^u5V$@!y~O|sgxlV z@lnPS<e_$9i)0n@r}m{5@*F(W3P^2xr}E^e_&cOdJF0~|3;)GR#B6(`Qgf8{i1T)n zppM?JY+Fm{SGKN|^eG=aM2~=9JIE7q1~&2PBnj}lJIs%O)5?1f5riD^CRUv!9$vCT z8Uju!o7d9g;kR~lhJc@yEe}~oz>9WNhp;O)(dy`D;J+OtG;=yPk?SOJ@PdPiyV>$~ zFzPvbchKuO&o}K10zWEi))IP^wGQ!`AnrRH>LJt}=JgP_9Xj<8$_`XLr(+Wk1WqV# z)JPcc^n>&!PWvYLFW|WHN)5e7SzbfvQAQkMg~2&HnjS)kJ5V8@M0w+&q><CQNmfgb zg)?__27_bD3kT_q9N{K$Ej<Q)W=C!?IHEjuP}0C@*d(Z>N5fC=@C*irl)Deo8#sS% z3OPh>;5^urTT6(7(|4={vEL~R4~iafYBz<~5+dP;cQggD-zeYr5i=ogHKLr@cQsBr zfp;}RoZ4oTb{6yclmdMVn2-UDJ0&7HtkR6&(k4}M_r)<GVvV#C(ITwaOyUeyYDATg z7hyNeM9yuWl(rU&zQL}U6(m5qH1?Gc`jkw4aVd~a4d)V|PsyNI^c9xpBbLH0`G}J_ zd(7z0>@p2gr?v?tO<$H2mg7U0!m@nGQW)2VAce7g3X&mYGqQ7AsfK=us7FcFmzK<N zH6u8Kr5baN>=KPh$2N(Q><(E5OY)&l!PsVibK3`{H6L*jq*Y_CnEVBnVkRQCjVjIg z(2^iRjaS9w1z4OJi`X`-G~y#pgfwW>6qDy+5oTm!+n~}bA6g=3R;km+IuY_fBeNKo zgB>%IIZ@szHTek6LTWWm76Y@eLuLXe%9}>l*;wZO(&?YpE03M?J~n-T^xf}Y`ToD; zKWE7IkmQtq7hV2ZbS&+QZT%eP`uW&KMdMo6b$KD_$8Sf!nHYXEH0&cWUnI#dm-O0i zl!c}3DV1s;`>M9ix0h#?h_OtHp;W_AY_d^GN+ZRtWPO-YD((4_;!-+=H0)8?C~jP2 zV^=c1ET8LHPW@v!C4V_7cKNLDa=g`Y9CkVO%TjdDQsf^?5&27Du}h~i&i!ea`T(0M z_?P*|7iQfDW^E6%<}c>&f9O(XQHMPQ5wKf(3nE}Q_A(-1znchbIbJ3-JY@z&^I$kZ z`qc{aSP8Il6C9o*MUg#Zj*uR;ggjO}tZ=Wu5%OB?WF8O?yKZvB7V@`RU>>;-W$t0^ z$ni8O(5FnI2p%#Ah^I-iE#!rogD$09&03f85v6sR6$iVpw;&vL(S)WCmZ|CMviWM7 zx*%UoRhP|EgXvNxP{3s%4wkW(;Q%3-SnE^9QLC3&3|QJ;K^Tm=w;&A0H4)iB+SEoi zvy0VWc>n{JVItF`d_b-271%>s)Fv+jv9Kf)fgWWPCEaUn4{28GxD3R=Voex&lws8S zy#hPPBejalKr}4O#9EIsh^jLo=(W8^b?n92K?G`Pmqn4VBPJ5vwzsJIy#>1=HEJQ3 zMW<m06lx5ZMcSPs_ak@Jetdz0-9lQQlk_1ElIYXWYp%Ri_5hZbE|Ej;8k(;I)5yJw zEq1mT%Sx9lLQ4##YrquJ{2YA|ddskL4fu?-Bw0^Gi*{_|lq+Asd*#k``c)$H^^qm@ zFbapOG;no*T~%3vGyVB^h1Wno8+y&KKn`|c_oWm1kftQu53m#KoDTFM4bF+aLi1e3 zQs^aD@d|s7A$^TihBaLkO&~Q%EGaa{l`e&5xss(&?m@Rn<TWVA6(@zBHw5Hh2X^g; zXdJngCXzw345f=;d$IX61#-S%``#<kWab6`U8X3Ek)yJ+i_svzLYY$qJCLUMb5BJY zg#$dY_ZF?7t{(sFBHfU#2R;5dMeQNm&)j=ascUgoSUBy^_r7d-&HU`WmzB2H?}vDu z-PTjND%*LgabV1!?F|OqXWS!t5B}2C=YOrp&Ei@}*O_~NSE8<;sn^`{v~pwT?ucz2 zm8KSZnhSYvdMdva`PXamE9FHK!85Vj{Kb`a*Y5`_Gw!{r)V+Q@WCqjcU*LT{<s7~; zWnj|pH}9ADEfMz~l$+)&*B1`@Wfl1cZ=W}JkM?kJ>WaJ9SScxT3%;;W{kq&CzpQ>> z(C?b}k?Y393um^~ResLnI4k%0aq@O06i)cv^w<-0VZQoBr6})Aec>BFrhl9VI_UJg zxtsSj{Mm?YjphFN{x0|X{EG5|UMCdZEw{rzV+PLpAMie6k@YC>t$&cm7ALRXvU1)0 z;|YP~<va6lB@BG>yAglZV-SBfNps9E#bX}-EGh7VUpmX<Y`OuQ87TED^SE4OaEduF z?N{wF>gXjiclJOVT$?g?@tAkqZu!-`u^g2@6R*iD*UG;_RQ_t-)Ly=(e=51KxEzwB zi@%Ui-OsnhXPpgvPpkF|^EkB)la+g>dY}1ozHQEgP2o5#BiqZiu#At(fh1_Y^E=}4 z0RP5LbCy<1J>s-I+Pt^CE^n9K_I|&E)LP7`Y4dvizWnWaUf<0hln>+u>1{t-?L|F{ zd9+QbpLRRjSpUA5mXjT%f4Y~Jo;?#^_|ESH)e&>nVSvX+p|czV%lVc$yAlT8(aw9Y z(VN1npYsjS&%&!csXt@3pw3ix@y#i5m`zTBle8@AIm{==!tzqLjP2{^<!1e*?!Fn2 zb*1lBdq}%CI;2&5^GGhM(_hUxON}$GtZnP_y?QPiqQ9L{O{C68ix%Udm-XoN?7h^l z=u=bEV&81u+id?GGOZ-g(FuAZOq(3=STqEGZz)a$?LB<c)1B6)dwg@xli;gAi+#Du z>zPusXQf&hUh=DDr8=Yxj&0x|?N@3sI?FC_$d^vaS~uOh)c?Ke&xfVr>~_8TvDJU^ z*RuQcwts=-TS}7Iy(+m!3G#bi%>F9fNQbO#lbI1oqd$IT$f}%4(?24x<Gxv>&6orB znxk-(l_(6#wG>Q23QVKvzp?M54{x%5LLP^&M)AZ56#A@v;b<8;Ihq#<4M8+m(wXc& zm4X;@B=i?Ok&s-%|E8K1X5Pj}<n)~&EJ7}25A`>(J@gnOq62Ji?ZYjsN)^FT-tIp5 zRx5G}yAypWhMWN9Yl{=u`?Wh0z<t^3M+joL`lijE!@x&4&YqPhg%s$K6F`b~^2ji2 z0wK2(NNpQ527TTYcO`nA<-nQ)PMYq$>~mbB+7|TIIQ-0(z3=u`krVrEvGwkxp^@`_ zK6i*`7bca20aB??1@YR#Nn!uAPc`x8d_sf9kWU2h-h6$7#;{K`v3XwJpfTbTM|?SN z+t@$plR$hkf3mTE)Tfa6^U%FBW`7sUEX{br{xP34;`jN<#{LgJEFyg2WLOoEsI-t7 zR@GMgZ6EpdbflS}xIJ<8p~eKvI0;f8FyX^~zg5r>P+qJ*KiSk@Ui>YwZ+P2p{o_9A ziM#3p_{Av4>A{E|AI=>uNA%G79@xyCz@+>2!v4x)>-oY6v;D;A(=FsTZ{PyUg0o<) zO4Je7-?NZop&H4iPV8Q#0v}!!<QP^gXYJFF32%|#!)mtDzO(jgNSO*%P#1P%D{nVg z1O5)R+)s#xglG`-Zj-g9Azs>yW}qK_#}e3m;My6m$kGDnhwQQ-_e1Q?v3ih8&Z0Bm zE$oW2-eXHbzv}*Iu+WL54Qv+zub@mV#tPfnP-hJ&!J^V7-N?B#f(*(sT=|Ff0lDfb zT4uLkrRNA=pvi_!|FA}pGp^)ib~Co~9AN><Fiif3HH;i`6)mwJVXMy(=Aq$+4*#$Q zkzKBgbzl(r+?BAz7GPQDB(p5-vORlLqz{4Til+0vg9i@%f)xh=<In~J(RWrIT$aLm z2eBiGzk_wysB`35=r4w%RnZ${jVtYuVxX(>jt=tb_rIwn3EQ^M`-plyi;{)Gi@pI@ zRRr7dF2M9ONW&HcO#?+3!Ts~!fm)U7AkiC$plKB&OHnj4WXM7i112+7-Y-H!SjF&> zmk%GY>i&SUEC^9i;4k?|mNWTe1(bI1R|E3ZKF|RxR${Vf&G1(iEDY;@rT0xcH0vx- zi&PxkCwd3}qpU~uKh=pgbwD}Cy*GU%EUc{DZc!-=obj*rF19=+44n3_P*7=$Fi`5x z^FC+!sX1`U|Bkm`(CPSXuPb+5Zx5L{bMI&GUn~za7gki7U%wTyz0d!O_Z~}l^T0>{ zf+A*p;Ya_xqSN)7<qGfw)oXtAzfj~=KQQ5c!P_WkMyz1r_WwAAu-FoQPr*k^c({UM z8#~W76_!@2Uf&*azsEn*`|B^Kd;FP2T@ME&{>eq^Av4|niABmG3Y`5_xmGk2d?EH; zivo3B!OF4s9#_s4-4DJHbFaB_vgksva*P6BBSoi!FGSyaR5?)O6|5Y6?_uSuqV2&m zLd`+{6W-@7HZ^Fz_dn*HV)5pY<~#pj?+A-)k2K%<AM*ai;=tL!S-%>OXeY0JzngjO z2?Leo2lM|-2&^m*%=dCp{$AZ*t{CiHF378U%iZ&pU6ke3JqlC$jPr#>b1#o*gUXb^ z&we*Nju`BoHvhYPd;XOK%};(;J@z<xeXH&)C*(8#V`qNe8RxEEzsq?F<bCwJn0MUS ztJm**UXZibS95m{yi=FhFDuVDVSrZ-!*7ySca#J8tmJ{xa!mfW_`-3&ERXMw7i8wH z3fOt|_@(6OIxF}1aTFlz>h@!MfCk@_3P1Rr^Z1H?lvMa1KgSgyEgbbb>(Ptfom4pD z7w6H2|DISl>=&&7+oQz7A-@O@KK^W?LI|AlIFfHnJUypCS$iIYI5TVhN4YR>!s+y^ zd2RWhdF@U!Gv>dS-_Jgczi_sCKQ%&kdq3?)w$OH9hE|k)-?nguc8|)(oOLRkrd3jZ z!|Zk{l+yTAHs-ry;S{Zy8i&~vQT<v$*)DzM`0Bs;ThXT+13%HOWS8lmKJ!1w+vKP@ zNz12FbZ2^Lc~nQ;`@OVF*{A=5=OyYk-R-@!i&O=z!>g&(&AJM(W@hW!7V`KI^fd=f zo`Tn_HUr}d%<j^^&!~2#s$rgmRl889H*fEuaTTT#um4CmxOqFnT*TkV{-!{bc`JYE zKSafvKjANA|EYIB#$3q%oP9-adyIJ#e=Pfq-u-Cv2EKxmdJ6E@^SiTm>D`a2o~50n zUPf=RQwRt;^&I-s?!b4n5Nb49VL^IJJ4`)-KD9gWjc+aK!AAYG*?!WgRi%F4>*+!3 zFZ+D2rTedM`))Q+>XWf+{hr*cuXJyQ`uaAxnYh$7<J;Q3MYHZw^Y!{4S3mpSB!zAy z{OGSJ-I;NJ?dLw<Lel;X&1tij3c7RF6qxr^(EjCDGY``4)$_f+mq`|@=f9fmA#GWW z_^JRkZaqP2MkQ@pJ+FXwy1G1oSE`-?k!wu&W+=%1vd1@@v}t2t%B;O~Wvzb7%!Tyn zM}*AGnWVIlFr^?fd;_-9|G_t%^nJZ!xqr-;N&2*&x!gbMn@D=I?!MeV;(LblY#p}T zKkOSrdbHlL)Ia2VnpC--xzs=C`zz_%dcykoxvB@H9qG#dD9u&<QTil(X7&7RRc&c~ zde^GbY}N0jHR<<<$g_yU_JRoLExUpU=nXqY1oU@3K|R|`k2Xr4LD1|OLa-lQ7{f|{ zmh0h0$x;N_Ue*lupc7(P@z6p$K{NOoeKH1!hhEpaQ4ju&4vZo9A<XTqo7tXv1ta81 z1i@a`1bXTv*Ml$64nyQ}wDl1ABSI^h6$iawR}c=ps7D(C%Fy~lEIwLu2;iethgdu` zY=}I80HT37Xoel52_)%RkC4X^t5GZlG|f&B24&h6C<Kb0=popK9vNg6qhT=s1Dc^H z8zz51tk?+}!4~vnG!P3-(i04mM-fsx>qf8{-4PAMKx6e7!{lMadpkh`_y}DQ4Mao3 z^sI-;gNQmk!m#K)qQef?01D7)(V|G`5k1L}=q;k&uHX?^gAR!norWIJ4sQWw;dV#J z{qS8!6!f$_LjMjavZPHz?rVFt05fnOtN&F*N9l4%nKr5gkiuQ9Bq{7}6~<9kAKcE0 zm%@IfLO&|`2DxfUPhoedcnX0}3N?hAhFsCkeF99v4Xl9a|B-E=hjw@ZxK}&;iKtA) z`Y5><u6~666>>qFoWQ1PTPLvHv<V4piZ(8RP1g2&0`OD_M<qRQjFn^xlC5q2gj}kE zJId;TFNKhM;NL>%GDxx|A(>6o7R0kfD!@_67f8Cc^fB-OzGTTuVn0!l9FZ(Q61A%z z1EcWImV_jBlZxnwWF8Wu9sU>?hQGDsC9>;Pct<32kYBaUAB(<2PHO8sX1#~ETLOvf zKU9*B@Ma+)+V6#|x9~qLS!db5s~kSUJ6-j*_;Aut{nfk0yXG?^s=SG{3nwG0yomP} z3WXXoK2^k<3xPt7X`gc9`2|g(hSaB&n6fa?tTE+Nm^d`@b9~jSV(TQ~NJO7c5%Iu+ zX@pr<@z$gZ^;ai-ej`#A<V_kC#Rdvtb+x=$cfKRM>Upu&d`HvOvSRi5`lhQBKDmib z^;h}D%Jc5wRTQGq&^EEpc_KKZ6jwze;uj{v%$^o2C(S(6823p_9C~=Qr1;yMZIebx zF)T^=@M>`}BndJy9c%Vi@oM74a73(Gi$cD&4^PLKJuaR}EE|r9F>5ZKNaPGpN1HVk z4<#NSj)*pURNR;7Has0=_D~^Gg$wQt{qKE_6SL-F4gK$YjuOw#cRcEU>k~vgHJ|yY z|BcT<;(`6Vvyd8%_qO1EjZRx|pGK1{`;F4!TjWZmY9GQ`NVSGTF>4y;Wk&u_@#Xpu z5+FQ{l{>5{n3I{v5%kh{e~0xMw#$s=$o^Yt-!0ZB*mg5Q1f)>o#vO8nlBW;h45UCK z`3_K_<a~?u5vISN*9+6x&x?ax+$wSa_h@+9vY#oL`vAQ#%zlv=ro5jeh9UNo#W2Wz zLL7v(mF2)DX*k?rl`84@@EDMDTgeWftHyg9&_$!uhTWzlxyh2i7WRp{VGuLGf&G`# z%6?ufBu(S}ZPpm<+g6r6`?1pd{k#}Rg2s*8tP$A6R=}R!sMNHd7Y&KhIDDHm1nb+% zvSU9~y0KrOX5T3l?59UTj%%Q91Mgw2TP3^MbxMr=^hijMM&~WyEv#-UeK-3)vfh>T z9lUM8n+9(hu%^N527*<<8(S?0-XJSnf$v}y*7_WI8tQ3iy$bXqGhG#{3Z+<C8hHxp zU`U<@Z)3%2<j+uRL&gu*&saek`4iOCh4l@*ip`Y+FOlx9z&9`-%aXG^u#7ZTIntI1 zD1s}!7ph~(lY-|B1S`NZB!Ma7BXyW$J`%?i@sKDcnTIrW1;kLCp==7w!O9kar$|j# zUJn#yD4GIO4R}*vih=bC;EctkiHec$Q^*o1U?^J#{zA%KSYN<YtT>fC29+5wmVw8} z0mV@PFdkcwN*+;I^JPmwBeLFwH4jE&X{qEPsMvt91Uy6*x=2=mKarPQ$a7#Q7DyGn zgFZ13e+TN2Q7+_J@E5FzDS88~F`zwC<fg!q-Vd?0AoN45EhK%AgWB{6MO`DFkTn43 zDeAd{cU8=fD8`_@+5{m>3};zN;=vLXX$UX{F}I+{gSS*VLx9f^OKs~2ut=pkgjE5j zS<=sdziAPgS)FjQr6dk4(2|9Nc`A&0)?O8QJ?lAqXAtlaqG>_sg=lH>ngDkdhkAgj zVqOopsp!-L6ctoGs{;-M0TYmooe~C^u0?NRwZrAV0OOFAo%9}vd?%p?g3xA#fgF{l zhX7Fp6#_^g8(NY^Rx4a)L5~HQDxJZ=7-T_<uJEqG#TN7!@QlJl28=+)v?L9z2Dm`s z;sQ^rcm@MQkZvt{1M5$Ch&H)_^#Go$Q1l>OWhF>4pAc$^9<gfS;TD8Q@UTi#5bF)( zK1R$0-a@0CSa+c(oq)U05GT<LxD(Io0|T)IOke<d$3P?pDv<;ikrZ^t;+TrwPcslL z0>wy)Gf)YQG9WJkH<2P|(I;^0e>0G4$btl*3%bvM&<C1gaVbD2)Y$;&0}b$^uRtC~ zECnuM#L28ZNV+qt3~K5mngBJiEGdwKp-X`*3|R_rF$5{V#uOw2WF*;HR0`EM5cPnn zSXwg66-jUgN}+R(tP<#?qeueE^vN<H2}7R(*hs)x^Z{JMh?9U;=p3H>1xP`Ph@w$& z4ns=<gwR)b@&XX2*!vU>gCiJmBG3S>!IS5K2qc*(8U$ZqXo;*@uoGjQ2t0sh;(<Bf z7*gg$eg`&T1d6#1^dugb1r8wvPUJU05K`pC+7A`mvi3nmwk&VxVLYo64Af=K0RBjp z6RRJ*f#D?p6;K_#qG@hOz)4ZuDjCCz2kt<5wyf7+IED}p+<-Reiz>jw7~UD+3WDs& zdI`GgvL*pzB;d$;0g`n^<)8yb)C=gMS&D!ZgaenLG+UNC^sqi_0>GgqVn7wm69XtT zT@1j`1TmnF1j2z_=!y;NDY&wkH4Z2v#bH1uRAR$&fr@Qd&QKkFpaeu=B;CNADuDs8 zpeuT;55OuyWY1~=rHcJjAQ{@E#~KA@6sm~T40fUk|EZ8<J=QQVgb>-W9)Z<pLNpK# zb<krC0$m6O9vB3kBM5dZ0mwp2B7vh&sV?viXh86GvuZ#(T5=jV2(4xYAA6hB|NHc7 z`EjOI%kO_wHun9#dbhRu%XH*wQESB)>5kRxR^FGX*&m6mcdROt7T=`V9DB9=tymt^ zdhL&j>)(pgOj@qQPOAKHZ7mq{_~YYjxDl+xA!=x^Re9srBl2CX7slMbO#HrL%*3{2 zkGWa#lf*luX!+Jw*3pjTp464q6!eGo#vbpLZz;=9n07sGV{O_!J66V0=AW>wB>yb0 zww$y2@OXKqCB<qib$O`etko!SxvM44YUH0KVN0~t@Z+WXEfH2jsY|z7PJQ{lCH2L@ zIdp2<*dOm^=b6o8wTEV3Garoo{%)pL*qu=F@XkloDf`FGucMAWyw!i{v(4@1S10#E z2yw5DV{C5xHTTIfKIQMA?p;NHM19&GkkaL!x2v#pZgQ(l%1bYwU03p>CXF>xI^8h4 z3Nq$C8Z0KiaO(akFE;9<Zh!LgU3ouUIyN_<^)UI_cAuXv_(n~rU%fG-cG01OP_p{e zV8J@azGhtY#7etXopqMk&T$lVr418f&DE}vz(^eI&a(qFQnUm@+%ExD97KSY|0$0= zJ!gff+-=h1nvs2hgf6Qu|K|XKRk%I$b{PbQAQSkfRP=0v`=TgZBQ)Zt<1usxb~{X2 z_4Jd3ADqvZZ|-umzV!aeXXT<Dr|YC5)~^?D?LJjNXHY+1ykX^Y+`QmIP1o(60uzt6 zc+Ix*5yuO~<uC)d%sj*6E79wN-%8$}Nt!L?lX&<S^CXW4M6XdlY2L0R&F1nBJbb}C z)+3JSHSG63@6SZdN97fG_`G?T$4^ABLBBc=BVyNkzm7chL`^|?8vfa=`4NwCr>?ht z^?6s$YSxs8;GfNyAD~9ym1k*o7AHjkY?{&8vHLqr(|O^#UU&I)-L6W04dz)NZ996; zS@U~T3chQawlBxuNwbfC39~1`oKFSuT~jpYoIXd*Zaz)7>od(Z=Zd4|D}D&(R3B|; zj=!Vk&s4sC*Cfptz2}ViZ&bE^*GC!w{i&CxpED6|emT2c5vae|YCh+i>w1;(cVhO$ zndfGo2{+Hq_76AD%-$7l&dF8}H$P7WZ8baiYn!{qX=|#_80J~jX&cRUzHD<>317O| ztAwwLf%nj0IcLJm6SLJFG)4S1^s`v=bJTIYt}&WC`-;8h6aEPLS&aEvDqpW_gf^M2 zZm-$Ie}aA%Z5~Yx)$1Cf4P;-j)2!#;K|hN!Kc#Z-is|{^;J$z<KP1P^uJ8dr7riCQ zoKF3rd*K~TnBBg+u$CW=-V$kknA)s+;SKG6df%$X+tMhfmu|T=<7n-avT3uMzwY~} zJG}DTq5DBz(yNaK|E#<qW4;xX(pO(rmey=^^!aXIcmHm7k3?C2IqkbI!+%wyuk_M} zyWEUV0@q(o`8sFx{m|$xrLDgF>}#8G<%h<r(h$oVZRkX67Idcs)Oa6ZbO7fJhEwAt z(|BqOVZ6<KMhOfw?ecu)eu<@ObW^VJcWK~;d!Mg)#;zY%JxRZ<{XFSQ$oRIR;Ymu7 zYrH6RSpB(N;oSWBqp#L_=2x=|=~tv?7fF6=N@b<`tLOQpnyX6uQq|S-yi(Ze&lA4D zI_#@i#@^$}Qsnz8`$I_{u$SsvywlYeCuL^o>Bm>DwwKC(D2@BBtex!fm9Lrh_#!em zQ)V1e^P&ckq_n0a@!d$DSiag?DqBDK#f(XM`H#|=??U?V<*UL{@%qUHvooag|0s?4 zj-^j5U2Vw1CHtSqZn(F*{^~&B!2coYJLB2zzxNeIY>B-kF<P}#BSwjc#H`X~wML8D zd$+a44t1-&>7rJ}Zf$NwLXFz9Vy~Fh-v4}`{Qgg_*X#WxPjcSpeBS4r>$=7ji0t5Q zU8GJlE&U%V^DC(%8tpYuW8tSi$X!X7J37Ayf0}-OdG9o1+jQWi;wh-MF!~Sej^vX& zhnJWs)25eoC!nfA$3L{&k`M3HUScLpECah*GK|%U4H-u2oedd=>W}BK<@pvf*e#QL zfsrj4<N0s*%KRkD)cNMIBohQ>Xqz#bAGBBIE19QW+>kMx@4N@~m3*t-JU3XD|7fqw zM>0JC(VQ`ukDS4-nNZrm%^Cgq+B1Wt`Ko(8L=*nqXbJ?@IZGy~n>A!0)gRAcS4`M< z*9a!eyJZ9uhFwR33GFVFU;+%Jc9x7+zo^gX&OiT&T{dA19CMP4R$s2q&{QYZXK1MN z%>fGX8TQsjOm=plUX&s7#VmHw<T9WOpV6LA+J$;b2B|mCV&_e^0ucC&=KO(O=$?q) z%bFFbBi&9Ajif<{wkD?d>A~6(GE*EKCZ%)mh6?lM=fqgKQF-P2w{J2t_|;_TZu&7O z)DqZ4G^4X~^9qVgmI96%LHPUznX-S!L9b0D-P9k?VrNXo0wNnT>hs_3mbpnz<)`gB zx=Grr17-o!CLIAQUoxumy>=a4B`wtlX8@BX)dBWjGCt%sEJUA3<a(5BN@ROPZc1c% z)P;ZyRo?r7CUVObXip?6RTSDWo5pBQ#SjoNH+q4VEK#U(*oN6KR`o<|O5~~#+c3Y3 z<vo3ZGcYQ(ZJ2dq=zR2%M1~614>XvI{NDp4RVBhNLtn+a4O^NEYXMMT!I5ap>sdmQ zz<SgLfqHXATLz1Bd0Q~Wx$G^2MY#+un4(<h0xiLq-ScQeB2MMd7u220xllH0%;4Fz zArb0PvLO-dp%?_xP~m7B%+H-}#w;0Ac^(CVzUGqWqxU63Rfw&a1%p_sqG(-o3bm=J z@qvd=AgDF>$9(jjgs%#2HZDSv*?7f+IxqvD+caOcE8(W{xD`8NJmwJ@kWruecD`&! zVk$Rn-f>65UIp;K2ULg0iho9RuGhTdwuGh1U<+W<xZ1<sKjTAo!$`EhMDFtv7m4iW zkuDNh&+FhIL#6jH&_s6G2(7<FrIJEEX444mqzDHQv!h37{Ui#N4)ZV@MygH-7l~XY zVjkv~k-U?SS_Vd`HV?CI1Raj{k;qWO!a#%B$Pro}iBzQsScblmcOJGh8<qp0z=$K! zh}WsaSpxgKP8HOfEt)e}oXwkqDb8lk87#_X$iWn4Lq}){M(j>UP7-lShf1LCY|fFg zQ6mPYE+>i5=Os=O!Os;{K^jUNd4u`c)7h9MBPypO70}mg@^G}LM5q!m7qeh=@Z3iQ z)SCTcINC$PR|%JknWKoR9w~$H*$u<d?h>v_X1SOdBf@hZWl(+go8dJ$s4hE6%?_-b zQIqjwnAT0=iPB*XX3D7PdEISLRkq_Wt*gXCrCK;(5p!vBq>^z{Ly|8Hvu*guv~Cmn zNzx^Jup-mB%F$KaT6!}CTag)*4?TjWzm*)+!2ZH0XE|QRlMLm<X)O*Ri6WSua}IqG z?`Xet1G(mg^yZe#>8dpk@i6I4E3C+p0kx@*fBH72(WJ{CSd$T*oFBadEtcfa93)}T z#t-e^I*G?fU(^G8GO55;*g3-oPwY3vGi^&Q(|=?Yb*z!aE97p312zp&Pr#Sygsj&c zYscaRa+_g*4MVAR$7Asvxxp~NFGJZUikss9$h{B4mSx@Ta6A&n*@7?92ePy~)(*wf zY>!CdDRSQAbd;POIlV9IRtR9#kh2|1G~|9#at=hwsgZ%YayQ68gd86ks3Qj;r}t!0 zg#cCz|F*3ih`+S8KTq$<A_oJO4bR&gM-9ns&{0FWCy^WCk#fz)Ky^7l7+}fpukFfN zdPmklyW_rih}>W(V8L+D*8VKLC5zDRxF_x-hYbbH87|naoTfKs)wDbAiocM<gaT#^ zM{Mm+)9bRVpCC@tYqR3pp*!MF<t{?7Q-&?JT_@>PS<dayZShBP%^}zc!w(O}T7Z+8 zZkCk4#nBQ_k!fu?xMgsws%*@_;$eCV@JD7|b&sETxwM$gAjtsv5ZD4FWQJAu_=+YN zz$fT{XuyUx?a#S4cP$5h8NeQ<Hv_+CT30)c8Qgk!uNjDuF18+AGXPg1eZ+Cn5rU5K zhyCK>snQX!w3bYbdn-*qeFOfgXdI~Wb6@7IdtIt&XwkqoQU*9@@k9g8Oi^lTEMl-Y zllR^|G(fB!WI$JiBp6UvLA}LaKZtBfzay<?jV;XNtuFHt4}XAY0%}SBtOsgJ57wu5 zW-dL(E*b27>KQShen{Pv{x$Qws?3uTM?bBw3kF9IBJt_1l+Y^k5ciYLri6;Y$^&YA zIzF?hs?1&7P5QAFcE(`rL1bfkedgP$GB@$5%(N;;H*tGufE8fcpyR>Hm-OmPum1(L zrSzaBVA7!af&G{C4;c*w(I?Pclaftnwn^kBG|Qwe1ZXJv-VZpDQC2{E0<Dx((8O$F z(58wZKw?I80WBF?D0!%X*}$lpA~vD9l0*&6FO0mYPjEU$vQ`7Lj)CS!A3-xDv3|h8 z3}gZA5j0gY!Y^H4(pv*tngN3YC{W-?#PFJykf2zTx*%Y0hA4cnID;3CDb8Sr4;E!G zz%fM`&;nWlhTZgN0~#lJ=nL%5;4CN`#W0w5Z9qd!N;aUuCW=8o4M`4-!TgMAHOvx* z%Je7@_%(x^AH5F^l_aWT7BB}UK7qj2j34>Y|Etb8b<7-Q$>b;ih|g%qkKTp4N}5q7 zyBLCrPXMq!<4yir2(T_ADId85wUfN2J~)MGGa2&-R%f{7Be$WCB|G7R6PQmX_im?8 z;%ZVH$I-B4=r~$Fd2I~+K;Pa4nu#ibr~kkerL6fuD<C(70Gnu(J{X=(z`agc^Mw{b zHU$A2XsKjJUuX_wP!RA7Evv8S0{sW_UJzS`yPM+Z1I3}hYUu+w?UXfdXd3Fs8JYs| zhNYt*cChq5+%0~<Dw;DHN<?$(m#6}f5H%Q37jgpzL_qjpKphAGmfnM-;s>mt|0b<@ zL0_WmRnxn0WIn($`aH>T6irToj-u)GBb}g;kY**IIs_mHSVI3rt*E4T;0}@<J)t3x zK>@%5dJko<lHP(NBs+RQeIQr?z#Mu3wW6Hfh^t9<bceoxU<3d&=n<5?a(W%kS|6dD zUW<!QhPpwYLN55RQ|J~{*X{HwoO3eN75WI$%#WQwf6yAc<d{r#yNs_$b-ZM#NVUEk z*wVihUN)w0aVPzf<40=V%N{bMTtw{807)Nt2YAUrNDX`0a}3EBS-xT@Pj!2VJceY8 zbY2at>q~_996>TgaAb~uQ;l9i$Mm6hfEOGCsTwb7$Ml86dk!HfT4N+glGfNcPEW+{ zD!wEYdO5J7&v_@31c}qKCqZJg5F|*H7MKKy&`Lk&&=%3T8YoN^eAzRq&wS_JIZjJN z?24f<b>||!Aa(6xU`hWV40!+v)S{TLMTlIx8d%i7(i%JC=t$iONA5#{MLK^E%<J!H z-8<uGN&Oy<+=F<FMEo9@)t}QEJLPCh{S=Peg}8|5{2utJ|6S|eDQ;VTKuhtIqc$}< zoOTEDMC9-iJ*D5IRd>QsmFgHyyA63LQhSM>Kv}AEwcv~ehz&R+fzAe;p}^z$`0`|n znfNW#J(b87+<5X^k1{_<nE>B>JPCzRp>DyACI@+x`9kspiW_jl$<7{7U&vd5=DC5g z<VPN5K9F=}L^EzM895Wbh5}Qz&v5<8+A{;C$*LYbL=?Y!GzC=ZoFPd9W(_!`z~j02 z6%@Ps8Ue-ZUPeGMxH}S1wC+#>3aCQu42c)GsK<3DpZ|<sMlq_4IYFWYmg{kv0>pZp zh5+9jLqRfw$Jz*L#|`QQc`a};8^4IURPMs#+LKA{P)|sZK=W+;JZeiBfyXr`54b}; zC_8Y$v+=X28Rf1<+?V8c?ofA#vw+%c{7=-s%0BZ9KT&<k;QvM8Yxgx*h^@fp48s(v zQMu#`?o;wp_q7+02LkU~(A#>C<mxuTIkM@S;J30qo8XT;wSG7Q9<z4zmfl@CpAe4m z#5cClWN;}DM?0FNr!99B%rTniXB&MCej{5K%rTtkXiIwx&f>}T;}}Y`utkpPNy#Y& z<Ip^~HuRdFsN7Ky2bw3?kE1_PwFO<8DBpr!)#J8_J_IMorjx*lJZ5bSC5eJ9@x_Up zEeyqp%q{UniL@=~6+J2&+C%UwS)U+|J3I<)=w-c2>*xb;m~7n!I7Bvm102QE>C4fX zIM*DXpGa#<djNjLbJ&Vr)H|203*=}|T(hCw2M6-hwxZ|tNV1B79L<S6Hne+SPaf}9 z^sL^rY+V4ym&7s~+Fh^{k3uW@r(QLWSnI&FUXLs=fTJcc%%*1>Y{RqMGBByvAe-*b z@hQ>Tre_O$ALNqHu#HqUMvfyDjcLb`vc``7;D03Uxqv@_F!>ByNE1nWIBpD^X5#1v zE&&1Z8AwPi$rUx+2-eFadJL&7S)zvfj(uvf<^#@<uvf$Vi$&)!tRp2PfiB>55H^ot z4Jj;1?E+4fSW)HB2f4sFP#~AQffB5|36h8e8asM}6F@pJoGwTKhC_hFU^pER2MnhT z!sIa&VMR^WMv<(ND^B28(2YEFA(q`FdK7tTgd9a48991^gCxMJICW6167Cz8%4E$G z{1UX8%dm(%l_*icwPBZx*F3-hp!c~9^T=NkU=>^w_M7pVJJ<u{lFKlQoRlb0#x-Dz zjMv=2&p|hG2mHZ~AimuAX=IlKwKDEAHpsZl6>JUqnG-*Wtdoemjr)jwWL&l-R>fu) zK2Q<o9D=Thdm1uO9`{MBXH55|Ch|n=J)2JWz^1N|$h}MUvA85HBw4JO?OOQ2hOUOl z*aiDY+})6YU%D`n^b7Xyan@RnW4gCQ?p?5B*owmj)^x#|$RjZvTSVBvs;;Q!8c|nJ zWQ8P_EZju_w#Q`lzBs86bV=MTE##qCys!^RELQj)Ni14ekt7x=3?zwR*{+e<d*ZHw z2bOiOG<puiV%Rp1*}LKnf(HuXNWtiWIA$%{QC(UQpAE49Vc<FYx40|Ko_(=!w&l=) z1zoam`Wbs$+?;05o|r#dF-4e2cTE_0#@-ayrrEPA=FVmpIxwUALpc4Ey&*17vu8)_ z8C$bf^p2PV8%HR5TKAjq(FyzKI6uwkZ80l0VhDOtw?^3Kg#BZjg-n^h*e9l+4eZ8D zgAMFPOwA2x6ETl0(d9AkWTF0I@0iqV;x`cnJcyPwVoba&)K9F4>B2gG0|DphYGyZJ z`e_~i3!%sp*_<{MV`Le>j=0GKb`g8Slx>YJi$TjmeZ<n3f~^_KVl*t{R}q3TWkdw8 zOqsV>B6n94yB-s!A+0w?N|u(0V3p}1AQ)w$2?#nFBmqG!1N9br%^lg4c85vLI=(Q5 zSGLScESwwB#ID8kvz}d(X|O)6GiK>={1RgCR?i56nuoe6?Q6`rOqr)x7}HOy_yxof zcO*WoHD+3-%tOqNDcdT34za>bjZeeJG|7~?i@7m9wu+xYjB!Ucrq##1l__%*n~F)3 zadZ>2X98F;Od~qDSH7fG$9Ty&x{6sc4O%ixBC5IVzodPLY7mY-5zS>S*%ZxYjocK? zVyz2dH>7{>$378NCQN%GT1l^<iQd#fvnhtK6QiPqY0096^oJVg4INcB#HMI2Jy8Sw zOGlo~CpZm5U#o#$*MSN}ABkqrWBu3%qmaV1N200p5q@d<^xhirrBN_A0|kT~i8{P& zB_vTSYh4g~Z<Hu}pg4*bjxLU3hYu7*F~HG9QBYx8f(|>|(S~Ro{h=>=cNC{^*{BW! zTi1qYC~L`vXfUf{5W5CFhsHpD)U+CUNr#H<D3JYY6j>;GUo@1SsE%IHIbih(WN(f7 zAr!qQ>PwGPN6+aju^t7m<D(jcqIX4I>CM#9GdcuTp8)pys5e4uA?$TgNkYgSQ9Jr; z>H||cZLDMd?A1|jLdb2=#}qNWfeD>YtoLrGO-9tPI*x0@SfJzD@+@m(+7IaMT|_hK zO5kZfB8pho{6s5gZU`}KYNP1E@H9fiYt}Vi(E^G>62peJ6pN#;Xb#PwAj2<hS$ahm z(SK;(3&xj4++}t25yjDg)zStcv{~1@MbqexoJCV;ykTi58ar58U&JkbhE;7&7AR4h zo4!Pq9Z91GW7nm*0b@td@WI%1XaKOZo(L*_h869<%xhkvFX`-6)4C$ad<@Il=gf|y z+GJ+vs5Tvaq?2eQO|ufaIt@UOVM+Th-HJ+DN5lb(qo-&H&7c6og7zMry-He31c9=H zA?ibe6=0atUZ7i1PHT*)VR3X9eL;f}V3^S!p|e*`tBbIvM<}P&M#Qr~-9(?#T=2(F zX}8dI-A=2DaAtwJiaw%g=8vDy{_vN0$u{}Q?J}<7mE$FS#VhN}{;fN={*;d0vG@;n z$@b$_-rsJrNcn%FfBH#xkpFRP(RN$nCXV;S^16`+<nt@4T?W>_RDb$6?r8i+ykHx7 zb@#IW*B#h@xC^%LudM$%jNQ5QpV<W)=D(t={<S;cKe|UExc^>X^{?I${j*BEBlzDk zNhJAiCk6g{L}u%IC3UG^^6J)K-9wT1zuqK~*uQ2Zk?6k)B$3F!93&C!e^g|)o>x~F z{mXZ*uDTCIV*Vo?vvs{Xxaco<MY_;0c*XpeX7mp2f8HA+0e?Bp*}lEH`qRBH68_)P z@BW25<iEHxwzgMuf4cWX{QoQZ-9L9{?Jvg}ThptyKi#_`?*Bdg-9K~Z$6wqjTf?io zKixYb&;D!r8?__i@E`kc{pma3{vMvNeSYQlCu&>7>OaDz{^XsSzuqToA75GQmimi) z`aRviX8e1gfz9Z5Q$y-R_@f#9^6+<i5Py+(zg6esHnj}SbXro0;qiMAKarx}=W}rz zTJW>ZW;TQ0({pjZv=k{jlc_`DMl*5iS~t(cTtwcyuvN;P-T9@`tv2FQpp?(EJq-5E z9u9jBt5oaEpu%XZohqoYwFS$M(0B;D9@o^TqJO8&={pt$8jU!3i=-Vp&-Jfr2=3C1 zX$kLkdyAx;5}ieoPKiw^-@|Xs(64H7RzduPN}~1$j#)LM7p|SA$ctbXA}Y^P+I{m1 zbWa2`N9<fg61UMdaUaV4KUGKhizMv73+bOwuK`y%_z1n(PHSLo3_c%T^%Q=6%rHk^ z65O`CO3+w2wR0AUIn{9%PXEmdOC1cpJL2FbJQeu5140(en#6r!{S?k%88@LteSx;_ zFZ37OqakRqpB*|0Id2Ee_AkS!t4axQ*4^$=E#@<`rc|xpqI2|x;X6O$3c}ZZ_AhB2 z?C5%l1fJSCQ9in<=K2@4u1<+~wvO<fU0qL+;NRb7`{%WGPR;OaE#cpHbv;D9DI2K$ zvs!bf#74Hp@K3wC?jkO~wP*W(YJER7YfRnN8aP#GWUCF2-lcI9dGh;UMt@4H>9qC> zTUEH@E{&_m!{0SC`V*R#`<)@F#%Bb-RHL(ReyN6MkJ{tPLoHh3wlweUM}(w~hrV4X zB@36G@wUg2G!gsPLQ+RVgBD7Uh4ape{8EQQofja-!f(%-+WN~vA1#y~38(Mr1g8#$ zB3t6tG{O7Vf>ZlLwOjg2Lsb{LiJJWLQ54v(B?%{;-StaFo;_-dThU~nUnOWV&zBN3 z8Ri`bnzZu}f+leP8c8_*?A$lCJM_FcZdsFYpSU3$eYWJAs(D86P1QK#ZKE#;Wms4p z(cGD%Na(&kJ8z9!)V$p53`}hgCCx+jg@ewTTI1$5xAt@bQ=3Bv<{^8Ob%dbSxLM7a zz0QEtFQM<|A-lrPXR57nKQ;f|^KPgAso572KM&avetLG^5;t|b>6A=rp?{cK<-)$8 z`%(V^+ow?L`R*;@`x=%To&P$FYruvf;~Mf4?{ai7-JJe?;=)<KYT;~#$M0Zl6TxL8 zH2%Vs$MX65o0K}H@UO0)aIuDVaM(z<FIX>@`Nk31f~v+>I8Q^$xI3l)IiqmS@xWTd z;3}|JEERKHvt~A^OCff>Iewd`UlxoWf%pig9S7ynmj!FEE6a4AuPcevDBIPq7f zDx2Oh8kX7{EHy$y)L<R%CTK7YM-epWhII)V)WZ;O;n%++R8#L9tLDWO2J?=TdI^XB zqEuF`<7p)}&Eo;3)Xw0gY>I~M-uLbi4eE_+s;OTox1iKhIP7>jH*P`W=vRbFYHRTH zaH)r|-|^erxH*lLU)NMp@xe{QrS8IR$B%O3W;DirMJT7%2frOIrCg5Sv|-8}u|H<W zrJvU5__cgHwK~{q*uhoU@^~PJeo~|Qm)-5u4}lFGQ71yV3&op4*$WYyLRky7m#l{S z@5rnZfn^;uCqk9`^5OcM>gYv<ONy9nbO#MtsBr%vOn*aNbx~(iD0iO_rvFP_e$o3P z1+!lhroXNZZI3z<%Gi%5vknF#J7|uCQukkzQ}p+}!s1E;VIlMs=x`va^DY*Xgs=;> z=d8Vfq9OgofxIF5#ewW0{Y8NcA^Jsu&<+}cI{V_`hEUx8!7*!hAZJJEs5--9=Y~+| zLh*)B@PfiQtHwTiSbu)tbg=%CI@RLg8SB?Ta(mRiQ0P7(RDVJJV8Q#0wKecZd(@tg z?|xb+#o2ag;qa6dAK1_ywJYShe>YTrMxC(Weac!N_@;gJlC>@{shy(NYqw7o+CQb< zwm>{#tqyc+*WDI+y#MWgiR#mW*_V__|C(xtaX73BG7guoS{;Kwn6h&b%A722N%`Sl zRK4maR6!Y$(r?01Q(`SC1pn97tG+@7+azoH4Y*X5gRfA|_JB40FSzWKf{V~U+wZL7 z%KYzEQxYI<Qmi>;z+bz1)mtcS^3Yi*W!tME1-1ROA*Ij%mL>fvoRVRQaPFz%CRXIO zY6Gk8wp0TvVw<;tmBM&yNa^vX>`bh{|9)Ea5_&ml*Ob!bPkv0l3_t(mFbXGsf{eoH zrXrk#BDb6BS=F~0tm&8Fe<zpmDINX?RSup)A=?91^b7F4NjrQ>i$9^t!9&PrJKl<Z z4!$tC+?dknUsL7aF7#p>ZACu=ADOgkOsVs?p3-Sdsr8Sqg18Ai-9ERBn}WAYc792z z@^`L+xC%YmZlWY+_=gc<2<xP;8$7PU*AY%%;cE@=-%`7kUpl5{F^UUe{XwY@-DJV? z4N=X0k{WW9BZQUU8&=SLESSHsq(NWq>sFw9ESSCVO{0HZO(MVhNHB9F&5!k8U!wxZ zm>P7HBbar-SEGPtOiehy`%o}tgh&!h8X*Ry=xsdJh%51h!uwa$I7cH$f^j2uB*B;w z9g<+wh!{yQVgwh&s=cAD(O>8*SkOJH#yn~kl%lmEszG1qyQ3CY;Jc>Qzod4Mr+Xk6 zIAXUU7_mX6(Z8s6H9`zz?eN{n*WDKk-uR~8Kd-hkViw5S;`=>ccTdoJ<F$JKtlHcN zF@Uwv_fx*^uAs|?wtD|hweKTl0V&&R10xCnthK(;`7}F%Pc{zV`crC6BenjlRlbh- zG~0p?H)`Pe6RMW|o$wUnRRS!<X!RQ`#c=hJP+YmU1%KR@>b?F5c*?l<+mup2!Ln6e zp*WH%qW>B^Wz;(;rPNn2Z?y=PGVJY~0`V1myV@k!U*`QNrPN0-y-!CiWzZYRAGf9o z?!Ts%((kR!-(Tvjn$k^F<xh^HK!2^XVAAScSPF9W5oNZn%AUMRP-RXoC8#naI}lW9 zlOY6EVE;8|!T8m4rIc>(bH2D`RmOgzlVJ4fl2VH1DnThlW0jXe_48&(SshW`NrHF@ zzFs{Sh+9;>?CVrXY4;{2Lp%k8R+|Lk=2f@)bW~EBy$6yZ9)e!0K>~5Jsxy6^$|+yG z-z7ub1)Wz_1>%0H{@dp*ME_H@uTM-lrN;Yp@~W$#?J9|%eoD2mulRP#C-0}pt1ko} ztiHQMZL2)`TDvKb(}CL*c-!H<De!TzhMa7$c=r!#OXcoY?@N|(&o?il$O5H{?0--s z745Hw7c8TmelMer1>SVjUa$;%I=-Yi7RXwBOJ*7Jw0NmIrXuxK;UXEmn0AF)QxW}o zc+P@e3?j4id#YZdN<HN-QL8H4;ZcVI2^~0+K;q)vE4mU-!OPfUPtHraVo&DF*dkBb zOG=xRDxBs};8lnBIm?|z`76}2%4Jy8fk0SC?S?=|2W~?kYVq4KOQ+}DMQpw&?Ms>i zfme$Mzfp@S=N+|YEbX3a;WYaKfr~Z2QS&OK4uvz8X3w5*nmqx}MX%qeS(WLI+EbP< zo@L=Qy8=#&^1o3(RjL<7fA>wR^mK5XvebBng?DcY*eotx_D!lZbl^@{K6zS)QzT38 z&pOZ3Z7VCg>y9fcy3>p+%ep)G3;fe)<|6Q67Cle5rEG$?Ye^n+PxEl_6DXNw_}@~r z7Jj)odBokzBWg@p8DHF-{N4Sj$EuG&Mx$MG^1trr8M<|42|S03K>BR_9Nn6-F#ej0 zKx*T16N~<=a{~)%)_Jb4#9iJ)m#7SMckmWSnAL7b)}57aNJh+xHYDrJvNt4a&!Xq( zirhs#R!5at@ykvEv9nThs6uykkEl`QQ#ajF<s&x-FM*&&v8H78*_!&~Z<HnNRZoGJ zv!q$NMdj1RVtjI&`;z;rhd{vWyIHz<<zJ0r_~a({Z|<w^0v@x@vvjk{la0lV$qnvB z?yGJB&u67(`}_qQXL)C1rzt(eYmLdD-GkgqT?MRXr)Od(mFpTKz9fHif8<`e#a}h` zw7svw)wu;#;rg_tuiW*MZTHyin>M;9{O_l<+xs?e8+|qlVHtBxvehN?7f(^O_ifzP z_)H9D8F9Va()a5&>@zNy<-4o3t;5*uTc6E>Suj&YZGCIE!8W=_{J5#tZGEe^MQv7z zw*@~hllYUXJ1H>r$d9GZRjLJ5;(E(g_mDrn+MC25TWv<-kFHiA@kds3kSHB=DnFJU z*Q@5f<=a=*-3R<JQzT!Cs`){4Ux6#B8C5`0L8KYIP5aq<gFm2}BZ%dj>y=ISK7aVs zQfuGBZE`g(kfqIa&Zc{h-+!v8wQuhBS~W)?OOtDxP4_Op`_$9czM0!Us&N4<4X$}M z-8=lxrkZS{cK98p*jrK4x4%^%`m=m?^|OiE=C_(6w4f$$*HnA^vwU>5FfR4y|1>@g zV=*2dfUy{jH^Gu8Tps11%3a=>K>YdNjjQIzZo&*Ib>PWFmv|G1AAiyKd0y-W3|`r( z#$qr&ofrEHrdS!FmOSKQloPuSyICpb!vALcZ62!31#JTH;ZGY6%A+fD(a4Eig$Wv$ z5@Ec?rQZCB6`iUqdjA`^^twoy&=6s)#@z%M<Ns9i>5O#=Flu9nH~;I32-V~}<EnYF zg)Y1%rC$8u6*{UcTI177ESlp3O39rrOWCnYusx&h5g2vlHPz&=F6YLjp8R3s)48z= zu%n6ymE=~JY2#84e!uazxv_Jwm5OUB$#|D0<5G8ixA8~0u`{r-iU{T8dY8AxrEdIF zE@{RNZv6J+47qgEu#Sr5+sV}~Ud9ft{FdVbIdqe->I%Et$se2=w4zS<atn$#`LYWl zHu<s&YA=}$N8gc|C!ET(XioSlN9Ds&n@Z?Hg-d3lQ?wQhnXhp4APlvkq*|!6$(K7y z2t)l+k}veWNWzTPgrU}zpqf!fd>Og#z>f4BV3n}7clJmO+xtp@ixOB<7l`44VEmYa zx@uG)M|^3cL1A=dP8uPxt4e~Jr9>rO&C)}@#DdOqX1)K7gnFH%v}lM*teV{fB}UCC zf)bskE<uS}6LQG+x**~_>CUKXSZtvauU6>+UwDDeIkVR2^f9yM=)iGOr_)k!?2^)+ zM)!ykb>X%1q_0lrnx*@EVWZQbu?tE^1rcXStxnULrF(pSqi;iF=ag0ouAL>}otiXD zclq2#ABD!wD2)|FoF>&fz11w;;hS<w({$M3vma#$rJGjjC|Ev8s&?|yblB#z932Ru zn^dYUusccm@T@^L%AYUypJErj?0+I$__F?~ZDBSXde^`_@vKai#-FcpNZtmusff-} zXkjKki<YJF<0~9Gutsets%Gi9@Z}B>tWm!d<+Hq-lQ2Uy)~Izws7#a(U&c^;1M}cB zq%4gOU+U27h9vzVFYDOSXD~}T3dlMT6?wCYo%yi;)HX5qJ`=U<D}Kgni7I}^ZrNA# zjKLCB^b9IXLr`STI&|WT8#<_G?taE8TRN)9kk#qL7y3`J6JPK@3Qf!!L+sXl`Ol^w zqm~q@vJUagU!Rd>qCELRhX_`v1;vAZyz$Jf&wj{6dGPrTrCFio6qo)vY-GkiYmkX@ z=W`vpYlWIoB>dyu$Xx&Ijm&BbbKSEf8C^F%yCEv8zA44Fe~4e0tDm{a=(_Sf9{Oh4 zH=+3HA2a`?NrxI?hj9g%5M*3IUTAep;X$h1CU0g+aY)h+ha%xsGH*q{lqTJ#0xDH3 zB#GegT6p!Cx1gV-LARkGCFF3-o6|p_LHA2RHdSGh_n-cE8nI;#cZD5}cyTFW!AS!S z+QO@cylE+iB;J&MFTW&I|5LxDK8IUyx>W^EAqY`{JGD578QHJu$E@2g<;RTZ=k;UO z>1Xgu>T#fg)2%4{6<j^weVJkxl+@)wR-;>1I2UvnRUivOMiuB%BQ|&=`<r~3)%zJV z=#~`zrYr|0bvPUdIqdU>^be@hEhy}z*aarFI1q#!_IQ2z<JIZr6c$pJ1CkmYYJ?ni zd0+IS)#+vwMpEnolIk3+Q*{E8Y8~Q*AUnKI`_JLAQwl99o&HHx6wzVGHt(bUCV1?G z!iQKQoO#mDjX$=+&XJ$4!p@q%Z%h6bOX--rMH~*!{KGDfwcC%kyjxVLk0g(b<A5_0 z?7~>PeR=b{mjvm`?c7*(eR;FHzX|rO%S*6y`|xIVr@@&2wKHOcjLAdeIMkR2>@-+u z#^i-ry1jW*Vu{YYNwGxLBt42TWNe8Yl)rC9o-;1OnKv%h&Y3qRR>zq)Dpt&yHzF3N z%B<b3E!bCRC&=18D$g8erkbSHEh<P?Xt%=`TVS`w*S92pz^v=V8yIWn#2e8~CD=## zWQirJFn8GPu;_a726ulG=$n_{i8WJUZn68$qU*uy-ThjiZ&rRTmZ(gzc>lzr>(1-a ztu4^^Q~rCbnR3#${6MUNGIOn6Gz*Oz?-NR0LQTmx#n#?tuCjAvp>gGX*j>Yqnvk=6 z)p?m{{FOjXH2V6DoM`y<(Vv*|Cl;46TXOeaMO-G1KY9DNgv?X+mG@5!Ne=Pq+GXPC zlc2vP$2@soi^z$?Pn`dPk9ppHZMy0$d-CXS$q`TbOP!0v!6(Sem^C@@t7{jD{ZF(n zdrP0F{_P^l@&AdWz^hskPtw=B<V57xM^`Z`a_oOr2y)DSN(gccf9wfzw12<^IpC{n zB%b)M=f{cNPtGr5mgN{<5jS|Ezb+joYJMdgCu)4<y}DlTgyHYXh}_N<_<-m2*Yn>o zi*lDQJI@l^pOF55_j!W8HvNv7m)m-&bC%frWZ(~YkH_n4(C?U8xtW)pr-@&ly!!** z<#GP1`a9;Q+`liq|6Knm*Y{HFG_mH%>pv^oJhop+m)ED{8ebNlBz}7G^v}u`&x5b; zX7sjY9|hLB@Z<#GTzK9Fc)Rd?Y^`ZXG-$m$ueT+8H_*F<Y24<`UZfvSX)F8xW`Ej& zhs{i*Hhz1NzC3RNYMYscZ5;QgeR;B4-!?D}*;wo$#$=@e6`B*#t!Z<5YZNlqVG|R& zHK>89-$r#tuhd3<MsHP?dpFXXCm{gm%#+x9ckX(Ljo?g7u?^?U^<o?5nV2FQ+8MnS zS*l%XZ=P2H-c3w*TIJ{TmSrz@BE5LR0&1OjLIQA3JW;LR>X|xi=6=THQ)ZFWUOcZ_ z4`%fiWzPd@@l5SDYrE8*Jb|q>vwHKgqyPmxQ?pIa|0rditzNTwv$E3xwT(<)Y|3`2 z-Fci^<!AMN%2v0E&h}2r_5^S=GS%3G?RL5H*t9Oq^iIk)1mM0feX_CM?Rvp;AMf0L zeOpF(9x*PXI8Qw;BRg+@!u^kj*(Ub~Ji7h*mW+v~T}a}XRoa3*nY#qf(0-jHqvg3A zoH%0TwGcTbqwHB6ocP`9>B7npcZP>uaN@sK=$7m2G7_E~o80O6__ph7GQytMHn~$h zmV=n|@y>osD7<r9Z;6%s0)i+5oVP#ZPQYvXCF<hk{Spy)QNKhTJiA|_HXhw}y~s** zVP#Z?)pL1+I~Fh1rdMdiz7RPob2^6@l{uQTKj04X5DQ9F$Jh8KexuM<R`$7H;z_O7 z7iCU8iUSkdtd{0i_P7J^?^>_V%lz^X3ruXX`Zm9^%k6=8ZoNJ$GwD$rkl0{VG{3UL z{TwgV+Izz7i0A#^@Ttq=T0r7wtDyOkZEkD)bW6;nOr1xBf8s}qx^u}3?yCBy`Mnj7 zopbam9zV_LEr0xJq-*Tf&0&N;_xpP7{NBx5MowmMrm@FKBM3k4;(Dt5-i=!tPDC}P zk;iv)dVk%5IpNfpzCX4eu^+p2%gIcQ2~%H`*SmHLJdE(+#?`;h>s`GiI=n)>CFr#5 z%$@walLGaRU`&0FrE>I29^V>4cyq@;_jcxveQxH=9sOLvnLF}1hch>}o(jg)^Y|*e zclp-U_bxB)n0k^DQ`h5z?B0UMq-?!{$IK(tqqk_Cyq&lMo^z-&eS3U0+~vs~UcZ#v zyKsyA9H+w6_IPf%%Y)m$z9_eM?$+9K4i%=R$8E!1?%eM6Pjh=`ZvA+UQ)X&-oHyL% z#{I0mX(ZB(+o7I4S8w{(x95kqnLa=E8;*44wyG!O=uO_LdG39i>EmOI_L38>PoJmB zjK-e_$c#pxo5%?h4<Ci-l|Ovf0Y2e+_gOVOW>ebWiOyvL@nL)in9No5`8+IULmK|1 z^McXf^K@9uFKNXm5f=$V4~;@%)}?Pg5!>W?^Z9L<UfDx*2l$99?Q>Ar^|FT=Au+4c zg6$<lY2NmdL#{;I&T~e+&uDT&??b5$YN9l2dlx~Pu|1L?P1lYfNK?0i54m33Mw}<y z`K%fiQ}~d#qvU`q+*aqDQS0;cF{9?^f#ZbEhfBdROVWF7T_e)ePp+LOe0_M{Ub4>> z_IWxqW<mPMHsUOy_2G1T$sU*A=eMCTbJ8oe*Ul2~51ZOccDdX>KMIYRksh;+I8CU3 z__n=dhimF#TD$!Ym;Gmk(CgFE9k$CS3Dpn1+U>WwEI$u~T%VM#wzWG+_;A0WI?|sj z_d&4>SN4Ml7p|-awJnT>AKx`FPTVi6ruOHm{3vguw|Nu&P@#p9ct5(D+K;R7<AJr_ z#!c0SIxbwf9|_iazi!Gu^lnbTe5|q7TfYgdiuB>i_!!^7ICvjfP3^;#`tfx`g8oM@ z>zLB}Fw5%{sJ18G<b7D|%!PeW+r-#=U(~X<_&%?tUh#c)%if~<43>ID_o3C)gq!RS z51qK;J|5IFcHif$E*ZVa@UYW~EA&CJ6Ibv9g(gOgkL=dH`S+(E>n+`+dU%Lu{Cb~U z73s+p`jKFzw{Y{|fj6G9_5P2lNDnUGk7-tVb2pbB95yoI?>AIMx^uaHylbU5bCdAE zyOFW}{+p_m7RI{!NmU3pF1wFZR=ra<+a3_VFjn7pt3tSPJ^uL3vUlR<rw3;K36thE z1@_}NVEN$j8}j)pV>cd{+HG=XniPj5{4g&nSRr#(R7z=H-@Jh`6$?oqn7=MqIp!>= zBxzjVxFMBqf6SRvIiPX<*9}=yg-y<XD&J|ul$qZxus`C&nTQ1^447*dtQ>NtnH-Wh zQ!2gu5>S;-{Sx}jZ^5sx-r&p!6K`;v76&mRD^>j%bt|R(7!j4cevCSm41Nhc=2Y<O zD>we;tsHQ^G_eax=rSj(U0=R&o@YOLgPaE*y+LOhvB4Qx+2qTpUdf<wed)$uljXpK z4)cS2`+d%k$^rH33pe&m>;e;7%nAASdz?O%@#@#-ZY-EA2P8C_*W}ypa=xfUt6!hF zF=ApDkWgoCZK@NHP-`Ba58mN?T6qqSnYz(p(&?X2MUfK+Z*xAXY=Xy3-1vYZ!Wk#c z-1uWE%pCczSD0Ccd;`U5a=xONyiw)6$XlUhj{dEL%X|O^#R#c$28SD1C+HUA;f#i* z?;K0muNMH6K~qLKkMtEzv8W_|GmGRd#>DY^8R?Qz&(<LloR1|>IeGYQ(#Dc{#6YDI zvXVFwq%#iT&-uPoTc~$a%1GY~PSKS}N<sK>7E{zBdN-sr%=}U!eL3G4$-jU_4A7R+ z4<pb<P3|i!M?~aOJleuH^_`%d8W`yFA>&{77liZ=RXv;HcPq6G-F!?gZj@ar68jGh z*j5<&-haPg1d5DGV|Y)OP0;P_r!8EJ*H;GxLgXtEN)h_co+E~7XJ+Du-w$&nEuowZ z6wQ?F8=@<24EA#rh(9oV%HN6czpXq`Rt}vgHTE)dzZdZ`=Ug$@Am89FV0iF`VSJdl zwxPw#*c4}2WI8|*GzH8scxu9TPljWY2m$v_)FFJu`y}+Pp2fs8!4R$-g^&*#a=Pzg z-@y!87!1P23>0)7WvPv#NsjSGso7v<-J-28bqQ4M%<C`K21XsqCrsHt*@!XXyvjb& zM!Y{JI*9h^a^_0GFrsk&Xs|EmTPaz61whQY)J>gF_bv6(wb^6J%<iVx`?#`OXUS`3 zx{RBDG8L!02`i5qHIEJ`Xo=dmQCB%lU04$OLT-QOMnmP;WWmk)(5<~28Xc7jD+TGH zTU+$!7E?T1BKQ6)vb4l*vlYE!5c)&&KI@l=vf6)3d>3&ecfzV~yjVM@*pI!Y{rN0b zVvu4@Ca=WAGQYGY?_z&)*Wcw!6x0Y^7#S)BPDc1};!0l&_O42aCa(~s1ofAlIg?SH z6exWJW9&1NnxWxKK$bodj9HOlpBMAzjJwO*5(Ng27;wg*beuV(P+|;#1np8Z@|rVe zeCfGTLbusDU(B);qdt-T`m)q{(KO}RT{@tY&}p`$Bc__5o;$!FlW#_=^(BGYi}O|K zfq<R}V~5#JGJ;KSLFz!+ThIF}-jp*yY73>KlF-cYNoq~F6ANa&E|`EfYf3J0=X5K5 z6q>*uGXqY5aXOc(>e?wM)R|f9>nJDGn#CuB-8i3?o>N*%lpa*)?Sv{b=VY)e=cCdl z{+J1=4_d@az@(|$Wpst9<0Wl{sr6;gmgKGQk}*k(JGe{057WGtU1U&sq3E9;k|gpD z$0dMZ8uqg57?fYQbVXZk>h=<G49YJ2cGa^kDG}av1j;N-BLn_5HF^milZ4*kxBv{8 zYP_T#lN1i`Is~O?5lNsVE#f&=ukh(rbcreSvS&q-^G*Z_6sKiJ0>x<QkU&vdVkA(6 z7VaFNU8sH4Q)nvqvTIb5`HtB+R;y6-inh>n=OVhmbnT*NNs^*9e*g;9vfBVf6jELF zEJ|K!5zhb}raR$?eNb@Wx8FVUk~>;vXMh&d@8O6&kaywh-#xREb6UhxK%?oWaKtXi zrBM5K&riwkT4tx%ZOH*Gg;PMSX>>UC4(LhY!6kA^vPrA<1W;w_7*4$ndRSO<iJYKN zF*;kY#<_$BtWoZ_2CQN3qxtA^V~d&SEs1+75iQtp<F_6qexR~I`TH=17JwP3IG1X^ zXG20mh1d)jF}^#~^GgDzf@=mCfwCkdvTFo;inb(fs))IO-sHZWLzWq%J-|MowA`RM z+A?E}ndnssLH81lxAYpIB<-4P=eeE|Z3$kL;sGQWl$d*$l5={Bjd|-Dun1`dd9{gV z03w$c#<gJaD3`qft8M<wxcm#Y$Czq{cE!Rf_uOH{3-nUit_j;^Ob(`9v+&3j4MVP3 zc%`Q08SHW3ZmM)TfkK7t)F$RE{LOzF`>SvjzGncqBSs~TjBY!B=+V~>=_xg4_MjfM zu*+o+V;t|vH=YirU4=l6*PTHTxm2)26|OalOp6HfpV?a@7Lif82eZgUiF4&zm5Bml zk~=un=K~BBWS(yv(}-;~o^~(s0Qp(C=f0hdo?C)QWXI3a&Ow0gEAAkV)TiV(5*rdT z!nMtSM&nQJ2zSZ`pLVW+56H><v5B7A#G1r+VIurKm&2;XgIuZE9)FNyE^lZ8QKCng zqY+SJ9A@0h2j?O|v_&}JT;=-lCSNY%iN-<hCEFZjxl57cc!S*OndnK2GGj+~YFE(1 z)bqLM35b!3nLl<?|BaS-FksTqttGnReYK$GP248%t*rMZ@M9_|EPBH{P1bG`m?>L) z`NLriB4q<U0lv#p4ezmEwRkOS7lOT~lO-CCEHzBBMUa8TSyb&k8{!(3mp8*0{chG& zURjqM1M{+q{IJ87h)Vw41h)^{7BMd?;CTr|ahSPC#QQPxSXo>!;Jcx<7GfNttQq5c z_#T=1w7sXy@R4na&xhXkK;v>}qBzvJSLObq#Vb=Gux(`e&0}CjR=giz&=6@$eFRL+ zdhLhR&+=-EE;WR;&{DwGo+!>MS4;w8Wov@~y@sMKJ;jE+@aPJT5XaP}YE5d>Ih%t2 zInzd3A?Gb6*W)#!Q>VxK4>)X}Ch}U4((J*g4t?^U>snnYOAtCaGZHXbR)GYJl;xoO zWEPblpvUm4xo27Y%DU?S7?VZv1#}r6H1`x3lA4hPhRn9qqvEu3-W$LGS&ksUH^VEN zu6<y5)>3QFf;d?g7YJxGoU`fL1Nvtbwf4-3ugP)*0-6llY`S)V?paS;duGIc$l?M3 z4TgC(U2dO|KgFxFM5PrTAa;OuxIP0N5u!iEt~Xj1LFTYdJQpzg!qCG0F40*t+KJ)5 zVQw6u4Tc47fZ;sLeAK>2>=|W=QZ_vffEvRvo33r3O->wdFXu6n&J876r}$y^tO`og zubcLvxu%ZpYc-$f2LDRhDe*?xVt?!>!>2YYTfhfd?{X+qfkzm(oahRSV-9Tv#yY2G z3wq1AWDIH{iGu@vVDe15{D9>dqWL`}C{mIG4j^E{gjW>Oy?_N7q&(UUsFbn2FE9tH zC>f!~@Z-bBTfnT0w=lpE#=-<K29=UjP{X3L(P$H}4=@cXEP2fZm@2WX3eeARh5=9+ z&Urm07<m%}5ehW6_XZ|pXv46&8S*eJB1060)yZIoVYM^Rd9+0sQInNXD68bM6EHSI zDi2v`YzmAnfu0-LvjH?S29&U!6PP72u_k&n=AVqF`nVz1Od+YS@S^k@CG0m0mC1@H z@MQ)mmsSMZjv>jU546%i02;tR=#GS$3ZMn^-5B8k^v-yl+cOKDV+Uaxj3eEFt{Hc8 zkuy+>s!F9`nX{1rDggBpD;zfOZ*Fxjj?RHVNWwKsCnA7~u+hobfHEX9D_3!tC~a zX)f0a$#?W)@0E?q-&du_wY0uhG>+L!-2yTw!EUn!(siP~z%$F=-!v|V-`}!$XP$5J z41RypqRc#*U!iU-LNt?PQEVPza-x>GVUcg{ZKCJ$VYu=^<yhWL-<#jx%U0&VGS@9K z%`rI%J|70(gN?=2?yp&-nQNL{cln@iu4c0C`~hXIkn_R&gPys9NxAa}q`8bqxhhx5 zdsgFT&L0rw962ut7LgT<u=^_(uPYFFTcZ|n<`>!jdVT16e`%zrN=cfhM&(W)G|X8{ zVw`SvzTY!?=Jes)d#b|A;~&cwugouuCseo=EkeyVjWbm!$vTjdYwxRY&0F}I4;pi+ zaLrkGnm23Szj(85;ci}Q{6#r)#=^zC*w|k=^QXl#bDK(V?hCh@wePzMj+D5j&0|fu zv?iQBe16|nv6YjseM8H1H`rj*!phvmIP*5wq<M;|TF%zi4V3xg|8uE@-JgJ1igaH7 zpII#>$xt$lqNYFThHmONvc(oeR@453;~!zOO^y$#=y2LCh>3{ZW&BtrR7B@8o~R$M z1txP8rJnzPH(Z8MeLt<p<M;ZhMPv&SL|LTxf|CSM6p6TqAJR7piC%}?6cO9xcysMD z&&;;}X-Iav?X%BQCnw<0_C8y=+9~NB+1%7%f$xh?sdhCaSocq;L)$xS<vve++&)<e zX>YWZtD2JDKIsZ+ueOzwR#20F6NPhBdy9!XRR8{D$!>=VZd6j#{i)sdzURa3+1|?l z_mEatyG{0jtdZwbIo|bTw~iceKcv-SvHAy|=|na-@Tcx0hJZ%i`rc@nK1Mf%ki<cO z@dsbt5}Ti{q+^Vh?U{L2`!l>15_@2>u|#|R;g~UpN#Sl~USyP+;P<7cN7I{io3a)8 zafce;H|(_8Kp{NR?ed;3iOq24lI{lCUt{(UT01(R_HI{(^ESeBwksx!vg7!V<Xv!h zD{4`eh?gesQir!{Ey|AKKOXO{gtbbw+vIv?{hH0Z2(at0$yksb!hby4O(zGKQBIx@ z%kYLry9r^fqKno2c&4%06Vk3vSgT;WP4a>)DikoAIBnZk9ojidq2BSL<Lvz2_I2Na zCzW(NYN7UaUxc-Cx7#FnW|iQjiL+nM18#KK#4l9qdS(^lKN4p3NW1*x06<79b-NAL zlcxyJbhvwY93a$T^KwD98~^cOck4KSqr)a_p<2^3s{k)OI(z#(U^%#zcClLBGb<l2 zeXvU%*7|or_ACD5{_aX>>*<1QJ6?K!w=1-DZ$Y*d|8Z}(B((L{f^0KhdT%!}v~^)Y z7LWh9yK5iXI=LYG1uwn3iwJEUS&*&Af85z^>9BG1oSL2$KMja!w|VM0H8s0_65!u% zW9>P`ou!+Am6G0H%AS?2!b@-Oc7?Q7E8>T?c0YtPTRxGU%yJ#Kx_7(XI@?y(NN%b; z%k^Zvj_h+kq}k$0^^Yv3lXZA_v(c04v8+V$I)8XG@<}xzi;28`8P=@vq<SPP@p!$2 z?Bm{Hog??~*HFxbk4=YlhOO*S*2kmubh3{b<s|p8EX(j{Jt3@F^htGp^IGTF<<R7l zAAjp6PkHc!_W1O_*Y6hTyb`-bKK9WGX%^ONa$EwuSSKyCv}$<EWvvdalh$95eH22P z`JYtl$?>cXm6O&D$UZV1)(LVvC0W~qp=YG^n`9r3kY>7e>qy&bZMlalL)S^`h_L3r zZPsyeS%q0c2kSS(nlIa|qvd!Cvs_1q$~V^kJ@#Sgunw}7?abO98G5#{&KTBA^`!c1 z*3ka?R%r9NZFPH=;r{x+q0I-j)vZ}Wd+Q%Un@P6S%~^(f>j|OFOSaYctfAfY7opA5 zw$)#<40qQJLYoP;)%96JJL@+?o2%t`eh%F}^})7V+sW}v51pL&1h-q;$ni`KeL3-Q zX}5kX$1^$Pf8z7F-TMCigYDMdOAq%DJgnU+dqKu%f3iH#^<=(|>~TK?Z?RbQBarE2 z9v+T2TC5riOeD|qhvSipRfIq$^895OUSqLpBrx%KzJ%=I-eHxq|KL|^%!P+dhgHUc z%uwLRqxp2QhZ*Ix|DY_;@Mu0E3@^G^)gQ<-)_Ovk_X)!bwp%4H$e{KgthRn3&D)3J zIol~5%c|Z$!^3$5*+VD<&)jYmvmk?DE}(nUINd83>z(xMmLr&lug;!(f3hghkkHCS znl}i;Q?*;g?@ty6ZXe7a9eeO~SjFr=C=5(Im|r>e*bT;$+pK~YsyYLiMq9<tJ?4Y) zJ8f1G``k;dCubfP?N;IY+>5PW&OA2Tt%CQt7h3(#Jbt!Y`R{Yjx86SU7;Lxl-shfc zJvsGgZntvZ=bml-a_aHE-O6R3d#2U@)FZpy>e>F}$vimp|50@A@l5@H952PU+`iQ} zce>}B>)dalE0ycF<Th+Y?w4UC+fezU%%#GHxnI9Evly1knIy!_j96|H!e;KqTvEUN z{&_tfpMTH!JkIC5&v`vxFLEbKqb5piaY6`5rm!@KQF!jeG=ki~(jY{saVI_@$ki+j zd=!3RC?KZZ1xm0bVk(@~2Js1;p(b7cfda-r2v$VQ0zPXupqK)#Bw{#t$K8O}6mTgK z!^TVP20WvH3yGL{{LoH77zLaOA<&$yH->sp^;%E@)j4ng@4XY?NCCfrX!JM-t`8;i z0`5@2uZhw>@SEEK*AVrm0B{-+Q|gRo;5*nu0jPRu0GvX^lsKy~@b%jPDirVw2;l}1 zQ|yfI$6K(6<aq%{5%od<_$5)g$XRS{Xm=w(i2{y=XtX=ySBDlh0*+I_C<wufh$&DS ze;@+-@KGdIe&N4zbFJrB+C*cu{yi`L{eak$kB1+FZ)YhPhpb+$y>ayQX~)cCJ9oXi z(iJUbO(k6Fz|jywo3j`le{nltBD#LD1^kRC{T;u_57+^~F+}M}d_6ya1AwE6(ky%= zKVSp^M-rtc@cR6KZU7uclpe=#ZUr;~;9#Qk7`}ch;4J|5CrXdvBew!_0I)Z4cys6+ zvc40dQRA$(I3$Fur$97_&Uo(7G@`x%qCs$0;|_g7)K^0^@Xq)JT0jiRWwOc^hN&=A z8^k4WXiYpH0>u@>s<MJ%7I0a+KE)K*N*IQNbKLcLO>r%SVc0mSU7u$Z*FqR(9yhe( z6Gm~(WL41&tv6^rD3aD>71c0s0O!5q<4AFR!&2`t3|yxr^L*}5TwlYaf8aK^eXb!$ zrvTS97^c(^&%kxCX#psbG~k*7!;~1RF>v+UJ}MN~7p$rqFif!_z8`17rpfbsjv`1x zfa^<`bdjOh8f|yOM~UJZ%TjMQ#IMp8Hhhj#Tv4nlGZ?18P>qh$-}ae`CLNxvx(vhQ z8>+3+gixekEcI4H{0eOvN!ntm1BPlVv`<LVGE2SL5Wh?-L6YWJ>WzkK%e3c65{soy zHpDN{JdvbfmU_LR+7j(LlGMjiCmG@wX-yQ@N3h{<xQkmp34rSZ*f0|}yXg}NxVpoJ zhjHbbKHh+<3v74@=e_CU2)GbH(p+>sr(=NU>5Zt-0$i|=sv#Nb0NRn$aWAIk9#s9S z442oT6H{XaRUeQE<aL~gskshS@0a0jbgZLlOrYw0GUx$x{f?(U#U%$MJ%^6m@w7$M zs6(rIWUL3!7J?PU<uydTTSjfY<2kD46jc2-Xt-2{y4DfS^VCPw$O0~@py3i3^jgO~ zp65kGjWkrfLq?2&j%0V}@I0juHAeuKc!>HnkW-P2+FFMY&+{zBB@R;6E<>fGy|+E3 zC@wJ&^-nTrI=X(oV_?H`Ho8Uxs%{Fxd`Cylchqip3R7I3f`%v2vwY7@zy$>wW}(aZ zp0j|<6VUJk+MDk=1h_<ihR4wt`JNqsODJe~3_ZK$Sr51bfrdxX<y)TRfQuh!cm(ae z<(UPzFlEq-9rDPU&ycG3GGdDzyNH?=NL95An%gmosHuZgRmq5PJDLzRRgkK8{CAsx zXAIE*aL#4nZC0cz_zMHb`dx@Cf~X2Ozgux!Kql-$@+r<0D~=qb#V#b3;#{)g$VLk9 zLSiV+1uKs8$c`PzT?El!QjNw}-2gtJh?<l5J1beUNXH$>9R%^xB)*%kI)J>m1F@hu zzgiiZK?-vK1P@|NaZY35Emx#U`R~>MFb|?laZYC8Z>|hYBg?lTXAwk6z&VkHzrG?> z%n#^CUStEJJjg|g^HY}EXZ`{m8MzJFi6)9q;y>}<(UBJ0khN&yR*N%&g*ROp`i@MP z2Tq`f%Pr22S@@59(N$m<N&LyeQ~1d%z$lW)W8qu)qANfXlDNpiH}R8~fm|f<2Mhm! zFS-mMki>BozJZ^-1b~smuPl5WUvvpDK@xje_*(wLF61@f?6s2h4Jow+c?LK`SF)JM zp-o5_;Ow@NHH^&KgggYComaAkkdB++dWusOaj1f6H5i!1ssF?Sdmso-P&I3!V>#1m zv)(F(@DCI}$n4?OtHuy+L-7oz6|Y`2hHw>%r!#vt>K9N1BdD4cacC~Ed<X1JampqR z%?9do>T6L1RjAq>qLg5@1GYdAE<o{Ln4;_T2o&KA;FJzg>tgmW0*Bc3o;<KV#R&~j z>ttFn0<+llU=-mbRP82lsF>N)ALz)gKgR=0AP9#6r)LoSXQpU>V8S+dKbjy3Rl7pW znhJE>25&|ajzHDSi9-cUD|%qoe7!u1u-fAEoH&%v?CA@X+6Et_IK>gOCIg4~;5EPr zNz7seX7RyOfKwDPYa-B*4`u*PaAMYYpcEh61~|cpS!01iTi_bNDUg^o8kn^OE(V-@ ziCH6oj$2?4s9G&EV6pxLlJE(l_MW-GtzSnFnjmV`%m8lv0D@2pQLADu2)<+^2=7?$ zhRMmBgi)T8KLW1<IA*inS;K}F+`Ku2cRZ)J6vwwPsRg&lU8fw1V>wKU<EFps^pfIO z43lEJZSFWlQylYQQuA&W9Kt9HuQ&Owmn^zLXhPwY0Y?nXan|i3hfpF|O}@Jg%lhFa zwc~V+;+PJTDkCp2+-A3(j1hQwz%hmOu9F<VaO>E1QbFKP0FG!_*0fs!n_$9ol0)D{ z0mpw?@2<hJipZ+{Zduz-2NC#Vlkcv;hNj$R=LtF}yy)aRbC}eWTgN<Mbi;`gjbCqZ zjE6}TkgZk;O&d-l(RiUr)sN(b6~a0azspjkkONi-14#TjOSOf(uuP~$;ulz|P2_-O z!W$%hnx*=Iys$(FN8(3Wstx3TCBi)<et@M~M_yPY=pgYutan^Di!G;Az|j-t_{~jt z%P9tMguon`ZXKIW!GI$e<~Zz@u<7IhI6A=`ha$nmc0|4{lM#_`!)%Mlw`RHmY31De zO=$~}_lWU`{6TIuq>^V+#*_on*pb(XhY<O6?h{DmhDjc?I3l0M^@IGqVUo>kZ0RfG zx<D$|P2N=Bh|cfk-fT+y5qXYyC_2B3d$p;rlxqa(VnoV&BngB_Vw<Ee??&X`WV*Jb zO-CN{nD37i_o(cTJmTToA9>j0@BYXW#6xV87t9@aemi%&F>NYRlsGtV@-K4=o^Qrv z!1GO+axIbtf-1)OzQ{%QzgJD3GIyHOCL?#N+oSRUF5Bbp6_Y2-#^$t%$c5?~QTdJB zFCKp{n}jl>o72W3N2}W(=ht)p^Z0wo#E)s*oHi2KQw;|sM<P3`&p*z8&wc7K&ozNC zIZcwx$cF0D$owkq1CRLy0k7~j*Nz+EQc3bR$*sunH_5Jm`<vhgYxMF3+3ERq3laZR z!cCM0Ihl4z5hkUB$Mfyj5mzfWjFsq|2)iV>NoEDfSc%4YWcLT$1Us0cr$pu4x4ShE zaj8<*IREzG>pVLF9a*_yq;z{QT2HBqW0Y%G%F)WTn~9LNO$swfuE_8+K@Z-|6A-D2 zxoO3mlev<`f_D3~BF^DlyXlAnwts|~#8tqJl&%bF=h;m~?Ai!wCs7q7UlT+{hObHd zV4JQ|8)rNxEuVAP?vGHDxWP4?-DJde1<6ne;QX-t17;F6Sc9{hh+tRf8Y(q%KHL5g zVgefs!`Y2Re5)WCDAjYyZ2t&0@g3C0*^NY051z&KeT(>9@t1+pdk)IBE6~Jaa4NTN zIHIm1Ltm+i<8Ip(VB$#kkCEiU4a{y0!gb947=&w@`ED9x3LH4b@91}8Bp2Xzg<iXP z1M{!UeR;+u^rJD7Y`A&h%uZh4e5iTS0NkLkbSJNO{(<@Yx^YH<*G^u~{B4BfEL^$p zFOKmW`b(66AU#!hh+~{yFte?Ai|)@;w50o^`by@t%x^K^C(L};jFaitczHJj#<slc z^s~IYYxLv1ysPv(D9NJvGv@PjxMbnXym2C31!Y$_f6P3I4&O4pMTf7O`mP#3DLB8K zcbQ(Zk@s=_fcgB2aV(vKl$?Zb6_oPxTIRo-%`Y22roTl>PQd31&hzs=%zreSUosA% z`y(aC;KK!_TX}W!MP~Dh#y)fvWZ$OoBf1<i?Hl}4!J(}@;`|e{O0KaxeFTv<46iMS z-^|0$yO>o57!#-uJ^CtU{lIn=vk$<1<+D|qT?65&8n+^i-%_u6^l`#%untX%1G6cb zw<3&-sRup!=EF^~gN=%Pv;Tnm=EC)`8I6j)v+kO{1L5jehepLa)MEEOK~jLmt#ISl z)MxH}GvQ}6<{9CN*cm_LR7|@-sIGMa*W4wrUCFGv=B+T}7Z|voaU#aS&-h=AuAlL9 z%wK-SFR2IX6hF`YbnTl8|Eb;;YMemjkQ6`7uDbRW%(7kW3TDMM|DcB-#=?D#A7lO! z#E8!R)aZg4$55x-`dHySOa@u8Wp-SnE5taGTI|+09{vOK7g_PcY>P%$uyHW;p<CZ* z_*YCugJRumo<>)Yu{X6*GbzZ}i+bG6?pydr%tpN;aW+CDDbU!B$^hF9hrh?b>lN{{ z_mwI)jjFmvA{1@Ax+4^Ax*8+$hGtw$?8;~UJN5Ub(SKdWW@(%-tMnU)JjTq6Q-AY} zin?}8)8@lW(%YjIt-3}`)8@i-(&MA^s53SuX|rLf>E}5{nO!-ic4afSPyM}a^r|b` zRI+Tw)Ff>tOi`(l5hkltxn_h;Yk#6>(RC+6V8Xd{>JLVkq*7OZn5a@xf0&5Ut^P0} zrN7sVo~Fe=$-B~JY?@X$BYUcH)hH(I#uG*Jt`WGRSywkauWjbLaoYE=WyP+(FroBA zPx4x4wgn)W5vptCavCdaJuN;euX*O1QsuHyL|4w`wDGX%v_nyO<e3jjl}koJU9OkY zM#Bcu;veUcX0nwk7mb)RuatZjjXb-KUY7h8MoF8A%&Qg<PQF|LAk%FkIUH7<<`tRu zZmLc)Dbfg+Sn6+-lNj%BgiR!wC|b9_)l(drDwF&p(x|dsTgi?SdOJziM3FJ|ujC&Q zMuh@e$ZkH=DCvg35w4w~Xg3$Cl>|4=yVG8yXg3>rK_V&KD5L$Qo?`dZP02sPjndnn z>E+#O4^vDlozjz+6o{lRBUCo2)X(T;BFRXxW9p22U-6Wzyj}6sG5NltsUz}sMN=0f z|L6}rmbBq(l+?batJppzXbY!@9!YBVH9{tq`Wi(i>KZAUwjWpQ%b)rtXZJnyK+=Yx zV(S!7A_-=MY-cFgu|ii9;f9LMQ(q;LLX6<;uNCaZL%%0(7$}mb>LikajRM>6D%g#N z_9wy(6iHK=67wdCwNoh)w}OlwwjWgJV}`aQ4(cmbPX$Tb3N&(UZ<FsE3av_X*u)J_ zzW?Pj2-DkF9)xM_&keww;=DM9S+S+NxUZ8%zvg&`6|E}!5*(Oi-1%Kx|K!tOa~p;Q zt(>0{^RP?%J{yL)t=&H*=3ts}x*S7H>)W5HWt0E>@>w^09eaKU_hs_xuemkDSFsyx z!?e~g9?r7$ArIF%slF>Q13S5|%z#P9m2NBCY&GU7+-OzdDO_)r<0)KgJ<7v<7Ubnh zOv8TtoLe=FjrH2beVXL$NKC=DfBMj2yr0T+m`Ggwyy5fK#tntbtw(=Ke24vto#Eps zldJnaD~5>H?mY<>Y&q78k87Ij-}hNI3~zn8Cov9V#m;Qu8YbWG`z#p-wBFg17=`u4 zdTrrqC*9+2Y~gAqU+gO{8a`;<*-d4_nqu2GafC^~edYgiMUA_uL$G&`2b&azCxS*( zD<&R|N>og^kJ1)G)R!s-LheUpG%0+Y$Xo7=Ff4CAJ3(WI+>H9GNuhrNwcH6e%x|6= zmnfeITDF86<}|mB(`G}Impa1@vzlMmDfCR(EGrL$T!{LsQQ^yk>9WW`$f>2yFvGOR zgMNl7j|V^CESevVr<P1y7^O{zoQ#V1GfaBy<!6}i_=cZh{NwX}hR+^nd{DUBd~KXo zIH9=QNe>Z^a`=EVZ$3LNQ8=+Ul3FnFV}$lSWOdOp)G+F?m#-jH{opulGUVsuL9zm6 zVsXh5W*FVvHb$EWS$yn3R%n{&U9t=@3~PQiMjHzme>~Wr&@fT8WEpJeFX&#SjfC_* zc4)wHL%uxLZBVG0_;*Pp$Pn7RHfqZZ`S6%juRxf1v?LN}=-ga0YC9ARhP5Mbwq!;G z&W79;fwLyN0;%O=_nT4|g73lN5xBvzY*q!&po}c{|9GG4utNwOee4OVV#6ShTpWR; zjrp;ZHw?1LjV-jYF&9?Fy1|=}8_~G#v71e)f-Dx;p=ey!*wrRl>6j6#lMyUGkth%t ziEWTVz8itNNp@{Xoen-WG1ng~K2gyhd}P9>Klt#3a)0m%*deyT3-S&e*FLu0m^u|K z3LBg^_?J8d$C;5CaGWVwu0^6?><DYFFL-fWdDY-4d8avbGI%$nJqibmu_u&Q44#l1 zn^Px(7ea1C;Tp%jOeilKgp#A1Q^$fwL)stX>c{?@P+l_dBO5oTjs*9FzyXPo;Lecq zk8$tEo=(hh4IpGrlLRxkA*3`CS2gxvVs62}iTpO#mK)^aOY%3!^~vxz$o7Hz8{kPb zdN?c6-F(}HpnrVfCJKWinSF^72BoCq`L^t!tG*k?3iOePKEZP!(}!fNKpT0~CjvLX zl5+GEs3Z6LEC+%v`RW?uZj)Z;3G!;r_-+^}+$KfqDRhk(<=U2xXyw|@1WD5p!wiyr zGW-nCq`P?%B_oQtsl_8Fb0vyL#B)=NMh@rNP6r*JiG&%%`M`}7u8_3zY^Q>DdlN$q zP(CDI1B6e8ub>sSO;@39WIQJ|f8=nVNT@*^X$@yP8MN&~GE@LYe$Yf<22rFMob5yq z+eg<>p>gChO(etsMhe5(js<=5AsHytkCf3wf(?90+Bn;hplZ@t9PL}sXP>_e6yA@Z zXq|xu9;B&U+Hg>vPlmoi)rdQ-Gr+)+=pQ4&4Kx5O2Lp8gk-<Psz-Ln*199N!za!p> zkyr?{gL>`e4lrL)e0cgL#G^41>_Btq%ucT0AxTLb2sD6}?&S6|A5iAj^)nz|JGni~ z+X#u-KxOD(9Q`-MmnexJfv2E{IQr?3nQi%7M1P*VCD9*6D`9F;EE$0(0D%!SnRtzt zdxNOW%e_uK%gen+JkHC#O1y)TC}N(W%+UiSp)>RPi9{8YZ6Wg*C6OMu)nZ8xTyODN z)qesxzny!TShJD)k$HeJx1t|Q<RB#`1GgZh{M;7icVKQ=|1t3`Qeq--4sxEK`+@lp zm|M~hA^IaF#sY^SrCYgm%pzcJQQwECf~0NgKO)K@Q@;g%f*jh)B{H7?6<mFH;s_#j zIItEHznP0?x&Rdc`ULz#4_d{LAK12H=mD5kK2%lRIS`;qu#D7yi@)YU;{@0^J2c4; z45d_CM(7vg4|>q%15BL<8wCf+KVaHifSz+kqkQj>d$rF%fV#6oqx>Cwu{-TYz&V0t zxFGQ8nLBMJ;0$4o5uoTi<ENkM)GiRbYn^=O&?T^K$&h-rWtjd8C%B(}qLYK4{=ZJT ze)`Xy{_@j*i9c8;|9R-AD{U&^XH{pYegd9DlK(Wc>PjmZV!PTF42f5Z&;t%T!+rH1 zJN@-R{^QV3LMKc=20!ISV+HV>GRX2RL*s<b5dBDeu^VkX;D^&+Wcd$6EriZs{b2k< zH`-{xSEq~y`MRMzLT8Y^H@>ktF-YGFf85RXTfj%Bje2?FPy`_{P~Q#D0NV}+ymx}v z%j1Xc=T~g%RaK5e$lF$SN66b$Hb&$Q4Z4`vmJj|{puDN~U!}2GD#zc-{stnKG5DfD znWtA&xnr6-?{8w?9xZQGIbxbR=dWWQADv4bv@uDY^;fk&&(X`Q%rUhs8@yehysr1E zGTKz4Y|zvsb;e&Yzk=Z}n_sb}hqh~fB5zT7CnC3F@KS*Y!(TGLv)^AdKe69mB;T^% zUnpOBP4B5){FB@(mByy2g@dvM6{~tNb~m2Ln^%s&<;^O);kj*t-;Gnh`!DBp_W29h zA9|A8I=G!*v7(2n9J!pz@?W=$kIHQx{FYy_tQS$4b2)X~f7<R)R4#e&Lw?1QUQng$ z<<wC@p-TMYT+(26e#N35bMRHZ&!V1Z<<ZL$-~1_dGm*K~gQ598Ts?4Qw~55Cf3=-g zWbQjgU0PzK9?r7VUoXcp-d_)ENivbQE`6&fKg1|Y6N%KTEY()B<@nvcrE4P3VEmgV z5}{XEx~6D5?`L%DhQA)Jl%Z%l=cjcGZk&6kv_?_j5xS6?7_OI5`chB6n{hKuBwR1O z^qF4nt<o^XR001dFCmaL9|0P3tJF{Lr6tKozJqZ_o>t6|mA5Ts9FwONF^<R!`b;jQ ziS+v&yS3q~msGl@E8osInN~sfJ94YtR}X1f>Z=!RscR%}T6$cOme2SmXZzjnz^x5K z`BnxmH4&zVEM+Lzviw#B2fKVT<7;YSh#tK3wSw)q-*?Lm19>u|E;TV&FR=8kg6*hZ zza`v2p2WyZoimZIWu&B92I)O4J*Yrq`n6aN>dRL%f>JF5^;}Ea<Y_~GRhAB$Im7h# zu|9*odNIm_zFIMJ1HMjIyg0g9=B2wiU+G1$b3EOOLX~}Sj<4mF^Se3y^rx|N8@dIB zoS)+JzL#QrHgt0fyMKz$`D$L#<>+Dx-~LP~qyH1@v#$Hv{QOSN7y8xMxi#Ha<{NC? zw8Ai6j%DFPUQQ=neOG+O_hgJR!&mxB>9*X>LSvrXjY1Wk-1R~^p4_#<qr9BY^aH!% z)4sn@bE~?s=3d)5pXj_D@hRVJln>pPhf=2did>1G*L_~txFL7B@aRwR@4mmxXZSf3 z`f7~NiY}tCdrzF@yKL^o&uOCf$M`Jkh8Mov6Cd|wna^zHG|=D2_$=uL6yDhrANB1s z_u9&-rMq9bv6WLpe-Wd+sQaLBXE%lE+hpFpnM0ua#VB)iT?!j_Qv}WhgTW@bVOr2= zN(JrFsCWg<eYAJMM?JV=z~{bUMw8rET3$$Jgl;+R>_jiy=ceIb|8GD?g>=Go^Knz- z;^nlU5KFjj4z6vych*NaxHDWg3-`KCu7_q5qCDVp!SJs}xi2)+5Rn0&Q^B2Kx@iW3 ze!3|JgCBA%a1X~*N@y2Gd#8O)8piwSCK-77=_VN5@Y9VqIPa(X%pl`~+*RDQ@!mq3 zVn`?5N8HfiLykG_?6`O#ZE+-}fc9gg_q)$(kY%WDl!2G8?lauM@!m<Fp9X_uISOqt z*b=52jcXh0o$y&Sa3ISy(Rza|Lv+J%&&GPke8vq18{`^jRl%0Qy8gIpW4$9jy#@{q zIb5GF2D%M$HG;Tfksw_tZf(?t>GQ#WR4+%MJqi{H)OE(yjM@x&gF)?x99t|SBF6^X z7Lj9(bp=w&srQ>w7QF9);t@H6)NDuvPp1qk2c)pQuY(RDa_H12kcthRJZy194vp#u zQQpwW#x}O}mQh_G73(^0G;c)bbW?9OrTp+d2Ram;(?z}7)LTk5f^;&x<vkJw0wS?> zQm}U;a&BT>TT-UIk9o}Xdy9Ki^m`xi@agwH?4jK6eFAidt@8rA1J7xvZa1b(d5eMu z=XL(YPQi1`unc&PDORpUynuQHGS}z5=&rn~^Ax+&oHFUXtJxlv15nu>$}2igu#L?r z6W$A&H==SHsb4&lmvut1(akAi-lLlBk8|p&|9L1c>G)xdn^Q)-do<yI_=tC>=K04t z@2O8c=D0c#ET>7F>D{1N8kti?ec&;-pyPynn`^^;<nlMkUnf^N!(S&`8SbxxMM zvC6ocZ?o{|pTFTIa)aHOc8L)>r5VTbZP<^l{=H!=NAHfXON8rWDwB-mXx)$OMBqBu zj2t~VYWICR%YjFi{?;|lxt;Mk&*sOYGk<Rw$=%L~)|2b%Hp;ar?bgb*nRz5_n;52( zteoMegU+~{CtlL6n441EeKJ?PxLZ6orKtOGuFdqL1GXYzI&sQyBe^RX+Icopk9KVm zLv>KfBwrnba)z%?d`6qDTwC{ePD+0FVLOpfow$rOoXzB;ZDo?79MJv4Rs^OKl~IGU znRvuj)-{xC?EY*k5~2gk2*cToJ^H3hGLWn9F0&O0*742I#@UQKs?Ioz>;3lVv+`dC za__rQww-}G9vM@)y~B^{lr!|@s=D26I|Fna)BR(_xn2flmV;h8W+H=Lnr1$m+L%)g z9PM}McVfgByzEYU?Pd>jy)yUVX_urQjS**inV+87$?oe4HBTJyGB{njlil0(z<h39 zJL8nsPIgb%ZG`x&m-6YqINER0U!uf+c%3?Zh@+i;YGzyZR=Ph=)-v56)mze~Wp2sv zI$`Fsrk$LAjhB5RU7MGEJ^d^%`&#;OUiQ`WJ1Fs@t~2IybT7%%GxOSs=_)9j!meZH ziFB_mQ%kznx~b2q_LEcRx3e#&*KA~e>^fjRx1t@J&OwS#dTpI5<!85aeK(t1)_$D+ z7AZdAHFxSfKl?-1N3*#l?T~bTr1+S??^C*!UDs7)Hn*tlldgj7-PC@RE{9C{=Jn~+ zp{;CU*Aue}uC{yn2toke)}D&r%*J=Qm{kO56VMMmdMi5oz%~^f55T?U9aWm01D>iH zmXX?T(bqhBIi5B$4o$KH9VwcY5!%J*gC4!}o~AN`jk0|m|A2evJoRKU8fAMs+%<g$ zJk@0!8fEXGi`{#Fc%IX+4A*{*e&*gg<9SA7j^U{&GvlY7D%~y+v}>JgXU8S5O-YBk zre&D+3u(BYcA~U{pZ34fx_;WvrT_BNeu+L<C;PeMr)%$&=TG&{Q0)XXha~%{W7V~{ zpo8sdQ_vx<DMI%=ECct|ek}dh2icDuKQ%gG+A-)Ux8DEbbs1#YmX2|a&JgWLbg^6S zxaSY)zsRy5I$AV3gSCUv58Zl4J-<q4G|1L<<Y{yUX?vp^H4}rhz0k+qY`%GZl-{V9 zC3Zw;BnE1`p&4MCVbAx{@OoK%$9<)WO|7b=kqBAar0xh=o215w?4foS6Pxn(|4u1y zYW<gFY?i`#X!Yj}L^h-S#VKW;R#DQ9Y0CUVlRw*|Wv!A%OjG6_>iiiWolR}GF-e(y zsQTx5j#g$;j;T#q`|VT8>sqgpqD{ri+D%PTW*#akRWKgPDpjm$p(Wd&$XX=biOB9~ zzjR83@laB!v;U!}Qeyu@5hct1heArqYg$hw<DX<-NisG~DQuTLRk5lSBYESAta;K1 zT-Ge98=l?P{@pm``@?0$&c262e;#_0-P*pbRI#FkN*cMG!g{za86TD1-2P3eVp%I9 zDd%#^_`_+*Ls8k}_76%GOIkrmu9s6r9}Y;yKh7q#XDd}KYBAeiDfujFc_tmbEdK2w zMRF!GyShD8$%m^2PU`+Yt6VMV6`B36txhsAQVS<i>aUd}67R2t6(O0(TF1ZDlO1X+ zlN5>6s*KlGvf(_q{fDlJETipTNs$Px!uT~soB0Puf86lb!o@QbZRQ?m{Q(zP>Ede? zZDt=_kVp*I%7}ldC)?e2Q&J>cD?R?1UiPi{FvXP8Ha&T9fk^r=9?1St>ZkQmgk&V! z(RN0@x42DK-ln+in0#+h+Yx!2qP7c?BK;4J{juSzl@!0GE8E_7QnG^n;K(2CzFJ6; zQeUlT5nUr$)A-|xz4>k5<ZQk_IPk}Yp=@g#Pa+Yfg^Xt?*sva~iogwJo7=uhB!+0g z<6kS-j6e7;vSA=gZmW|>4Au&azpG#~`k-GhHOrFPG9~6rWNX_}BrJop9>yP35DbPb zB7^#})ono%mVsKX@oj>k=RuW-!zOmP_5CmJL8#vT#X+dn{_FtM>9;2bl=Z7*7yGrf z=+`U{R1v4LFUEmd{yw*h?QebhYjy)v5Xbo`HV?hD@4W%ajqCm?HV4)Gt-}Ff;@<v5 zm$m-$%X=O4`q#M~?3dQ7zh>7!uYRqwL1}SeJgjBhLmsxXRee`%26}S;A_FS@yJTDD zW}Gok=0=<fPv&}@98cz2+)*C(bL)X!v1#b9pR=o=*k7L8*iWsz9kD6s_D^p*l=t%@ z9V+ts`8?=(T;qn!<+!6i#lAy-{hH=uDXpvf-YXzPT=$+B3%dNvlaFm`?ceua28GAH z+!GszvVKi(VH;ZC?|UzS0^;uMiH$=0etB+TYg^raU*E#kw7%HCxCnX>x3i08LYsbl z+QbrC{q`?%K`wEPyXYb4yRCsHnPDJk6kP#48WpPm+()Sk5cQ?<0mywp@W0GgAaA)N z0#uGXJ3(bbZt_nw$@BxL<qkL~A2~HHRt^L$Tfjj%$hL9nEJS&!BOH{4d|fBg1K2EI z9DrQlpJ<f%0+=of4?s>Wb%cS^wg&t_DO&>{uolRN<LDCL!YFkba+3et50td!=?6;K zy6y*x-#X_9dbaiEgUnUrwQ*`8pt#&Yhlum<eZZO{&yI@~0*fQ)0^r98^*dyB(IONS zwdLsxdWJkWPMw7O+!`RuP=LiH3m7O`;F+XOKo+;|k!6~I-X)6=P#E&r7<CLXzBSMw z(*RU0Sp<Xpk=MqkBaq&$dkt7F<ja;$gG>$Z?~-s32#Q=AwPr#-Y}MAw5CnAx!hs-X zWX-7ckOz3>69Q`+#fZS#M72d=t)pB4bb0grCiH^Gy_M$(>|k>?tDL7<79|Iu*&f$d zgb-MI^AlG2hGt$=aRipu?8myep_v`k*g`F9c43vTYra{&9*ym8zS$&5h(5O>6pig_ zzS=}BZ8l<cFg)ZZ5(KhR%hpVZx*LJL8Rgo7p7uC4G28DUK2hH9ab&`~-{bJa#eR<y zD?)6|7g0NKY<u%|BYMh1bY);(^WUf`IMytR0mqs~$+d_TG#_Ej_IWIhUtHCE8nx4m zp7hvV{uG4;n%NT<S2UkQH8!IsJQkL(M+sinzD!(P)(nk`ZbpxJj4pqAjID3}Z{p&T zreBnCGkV0MXE_`Y8}aB|KKB^=zWM3IELRf}#c2{_dNeGTL}II&A56?HXgWo`&9&yb zyUf@6Yv#_q@z>0r3-{N=!)x@gR`9#|)(h_c%!iu@j>*ivgb2-2`0;#ew)<7VKROwD zV?<v9Tr+d7)>wwt_^3}9u8D=`=*dtU@Ap{@xL=yrF~;78zs|G%;eKX*-ALv(JX%kt ztI;Uey0lR%*LucXnwAiznLPK#PZJHln<rM%sF;f`ZakSQR@^9_i!N$BoNGPpet;$% zrWrREZX|OBuAOH+<-XgS5UPoqtM%1H%)Rl|jEA@B%Ct3(=b-Z&5BCX&YR19WaMqLV z+jF&sGC<=GnlMZ=3SNV=o^WT+=@`m1Hh!iFhiJm!VL0nC_iuBx1~T=HWi;VnO<%Y+ z&U(bX8h#c>{pS98?u3EN`$iP4BT&-=K9x%ycCVXzqc2m{=uYbh&~$|P$B1#=3;>Hk zHyuED&`lHY-qgUb?r}8U!S2L}Ex6fDdhTKd$ge1Z0Fn~e(HJqdoB8DQ4yKPBN=X=S zGng#d!Ss?JP-fSau7t~~td=GG^WxRTn}<!0f79V;U|?@i=rZy@6z_G7*Q|3Yp4LCy z&P=XvOW%e?^Q5~pG%~X_8jKLurDQFH^^BV|kg%qa%zDGtK*R2$#7fAD2y`*|Btop1 zERH}Ikq;xRr`-+!!fP6Ftnh8=D==-8^_1IgOTwxKid8$WfndFv7sRBrZAiC~$D`5t z<iiv}?_(Tn4QV~;w#};LO9SK|fbfb&6s!hmJ>kY?>F}i+$)5q?WepfC3~4>)_Kj7$ zC0$Q01B90}d|}#1>k+qV*jXg?o7-pBi7n~(WE9ZB)$o8#A*jP{b*wiXMd4z@Zq+Q$ zP0TyF%)XBiBH3Ut^HUSXHjq(=u?cLe!&nEpdZ5ee?}O0`;CsyHO_;&@?CSCejj}*F z4>TKmUC<|qq1QjDE{AL61s2y~X!U;87vUnAU@c~NBj!$Ejl1=%`0e`JV6j=Sa{Wy( z`Um(NQ>YQsReu#sEv+}I?qGoB2?+vW*7|9r1m3N~+zfPeM^A%~5oY_r;)L>k@DYM{ zKlm`=Vn6r<Q^-%_Mc@tz(_X*rik<?CG6#G${tcWWVax&<B#ddGoV!>-{gLX~KJa4I z#ZZl>fje&KN$~FQCo%@8XA>^MG@b-Dx}hh)3&YpRn8x}qgo_~>p@GqE=rQo<@TUe$ zef@ugi@_Rxf`6Xq5pd6NxQEyXxO4bi1LnP;Hgh&m0}{vqi!s3s!zJ~Ys`>|n*#Hfv zz_%vWTvr!*Ek`}K?+r&iyDyxhj`yvJz*za-HM3rD{f8cokRBvu79{Z0OMQ=<S+iZQ z($}M<>7<B)gbnq~zS?MM8tGAi@P<0pHzz`xO1fWQG2nWMt`m*9?fcr)`iJWo`uY>; z+rH5e(p@AY6YElvmWlO@t8{+CntF2I8@4*y_pYf}2}#ieT}(P@B34WiH$fMX4x3m{ zyB^3FUQ>_j3x6Vg#aG+ZddhV-FJV<3)mJ;Oj_7+cuO9E)2A6IljT@u$NrwxBSJmTu z*DhO6x^DN?Mo9ytANj&7>QTNmm#rsU*?l@u(v76g`NGTUFyFAt)?=>U`f49b*OSWf zg_qQQeYG!JkGNL*p1n-{=K8ts#AE6ABvgI}SKY&R%7i-XTG#g`Qo4%dp5L*c?&#&O zB*t|yNVOPr(Mc5^bkR)pj#S5V-t$*~=XFO(Y{A8@%hLoiQ1dFyJ3_t0>!^|#+r?av zl!)o82~A5Fa53mAF~;=PJV=`jSI_A5G{*GQ+?E%cby4m*;jjM2>!qUD50_J2LjLOM zozq6rx4iuIq%FPt6{#gPT4@#xmlLVpVd}|V*Yq$qytMT&*S*f_VXk={*TY=(x}zvo zRC6Y6mhK|iHSMdO=%u1)U08E0ErIT`m1046Sx@l}Re#cX&Iohat40^|vF1S9EKEJt zi=!Ym>9W;XVu)#}`JOtv{Fg=M&olQP-F{yAx8+%T`@8PNE=|>SxtKQ)<BsV~sUhO~ z8vKa!B{ku**G+jz9d^~h!Rku^%AMW`>s;-D@lrg=EF2vg?|85MbJeR<N5*H@JB)Ld zX{XFAEmd!>-Y?=M2e6&563YT=TYATT{Ir^{y!7_M*J`3-Z27T2ONvdOAKTgKDZF#X z{9jbm)7Us@<3?5^v44b69AmMxsOArK{#gCQwYx6Y=#M-7US;RhDxIrTJ)WCLo74?& z`TUox>N_`oi~-X=UTB^iwHoT$2woeNCtZIm<!Flo6I>oTUNfuzI&W1pb=I@w%=N@$ z$LFrTd6e|#Z=+1bC#s>z<tahxo?ZtPtcRR+ViJZ1oDa7S+)95q?JTdP^0)uv*x3!W z(n{!^eXDZf(=_iubyw%Z58v*I785U>+D$GY9++N=nUxfqaGC2oXNdVg{Fo{nq7D;e z@mY_#eCw<=kgl&OOBD`Q_jP;yD5tSIx?>@kO0_B)yo^w<S@s9sbbMhH4cR!=y$elF z@b;`uev|voPGtSBx9pHUTMhdM(2>E61J~7kyi^pZk?N1U<P^}~Tt0OQ8DNMtPg2VR z)!n^D<k7<}wVluPG58vn)ba&20`%d2PX*C$*Q$c}V7I57SQXnb;H(;Bv8nbJdTqal z<80G<Z&zx7m=bHjQ!9oZ-0zupHf<f)k?JG<v)eQ0tk?QxN2-_T9_u~etloNWN9qo= z_-D@#=W{U@>uRr|&wlpIIG>4`WjHIgPP5fgDW3!ab>&HQ5-;sql@QfqE!NatP{P@2 ziIjV6wSOr(Y_;c<6Ku7Y(1Sdw&%~cQJyXs<Q5~yl2~f_4)F<NVPEP@my<=5C6pt0A z3vNL8yxL>RiEXKm#Gf%8D{3*&sl6VSGmr9yFV#XEkLg%ei-Z>M^^80Jpq$`KeIT~P zbS$X_Lm%$-j5>d%yxEefBj&|)EUI}!8)Fj|)x4m`_pH7-f26E$N)d?>F$r8XHz;G* zYS{TbC45r~PrM&o9;sI4Hc}^L>(*T-W#iUZmo-Fi8MP`W{1<XDQtdxC<B4RBlNI@T zQx=2p;{WweMQ%Ie$@5Mo<WG%KR&FEX$#YIR<mZi9RD#WD@~o38`JBI6rd!UqRT<%S z$i;BAS8mbcqGbfr(c~E?#o%&=lWcH#m>Rm_(+4RFw>x!N9fV6E!VD+L;EsML(cpxB zCy`)_ekY;ei(zU{8=im2y5eR$o?J+f4Ji**i)pz2LCV~1gd}C=)=kQ4BYYo8{_eCK z)X^uXqZ0a%)k@e7E{Cb1+(yQdSx)N>&&gTMgm1y+A!-qBIb+G=PSXuS<Sa7bLvVSp zT9BLTSn{aTK*RHfED|9*xI9RWNq80P9i-;zc63bin-isBx<0F#5E|?qs0McH9u*yS zs&4SC&w7WigCuOK;;KtHsyWrqIjY#|T7;Cf^V<liA$%D`cvH2~SsSq8INm1eAfy=h ze<8v=)k5dB7OQzjBjR<AD$beFVm0TeMGTM5y5n5aVm0e{!6RW^HN*L3gj6^FCPa8$ zHQo7HMAj|mu$JUfyk3*2Kp@@>M_FPCTlHmi?GvdE{F$bnV!UjVRWbfpQ%@28NRw3& z{sKg}-|-l6eO@)mc?~Yrjz0-0r#l`YewtTBR+r4HMpx@Rkur5Y-qMqg|JG>r-SGf% zJxZz-&+|xFQAIj4nypxltJUFAQqB0U9tq2;aOc;}R^yJ}tJfb(k@0mN2}`Ph&Uc%w zMjiXB!yikL@R>*DRv%sM6|+H$R@gdLTiGIy?Yu4%{hE=g%EZ6&@Lp8)bUxZF`puD2 zJsp`<jSuzk=Bk37yPHIZ9jmK7BeUMUt+PvrRK-=4_^akrJoi_{R@9nESv$PdlNx$k zW+xn}TIryjZ^gNPyHdwQis4Z9@$QeLV?y=;$M7e2ioYK{FrGPBnPPV_Lbb?YCog&a zzDeb$I|&00CY80uQna^^?1bT}*jM&1^LolG?ZZBAXIh}`?_OZ{KP;*|P#gdE`&E_1 zm{|L%jm*}D%C8e0W*ti^;jjRIA1`nFgMaO<=O)kISFJqfubSzQlV?@-_O|A1xaw<% zXL&s{_s`hQGVUu@PW!2*R(ukOgR7oY=i5uURwZxM?JUAnUzEMqoQ11o3Es(*%gSwp zJd$V2%O8NPD#~wbUObU?!$Dgw>$>$pJ*n&WMQknl?+e*p3{!nt@!Tluii2@pa^YK9 zyYf)gn2PI0Qs&nF?p8(RN5DNr<rnO{>Gydy7wPv!DxdqRKDRzwmwDZKM>p%Tby(fW zu5v}qS;oC%m7cz;&m0cs^-SLXxll1+C`Ea@Xlnsejdo~5pOX*xfAEA3$uW88u3o9> zy?9dHQERkyih7T-=k!?%%dSq#d&4D9nx0{<zsV~LH<W6A`_(ofL>2Dv8fP_r|GU6G zAw_;$XPXeL8t8BrXEl1izarc~iu5+qcGg6y_HBx-#o{I85wZP$j_TfUC>1iuBEEfM zTOO$D?l6*@Jbb^l;<<hn{;i8``GN|;{$YMCM<uu54M!!rAe^Iux3A%4TG`)?v0AuY zQDi>LQ>n05i4o;ESQeh!&FrsyYCgN6Qee+PiOxG*GV|V0$+hoBiOxA_7V2<RF!paz z$z_%Qn0v3Qye>Gmlli6cs`>1i%BzBPwo00P7%$V({vj{3vr-))I^%HC>>|TKy0B#X z<V|~H-pL#GD!h}|?d5nUuh}2vWqz(afDoN__+>h~suEk^xt;l`k{2yH<*;q)O?Tj# zUZgvS6h5C<d2Zjhaq_bLQIzO+hhGKL{7g#as+sqS3c|h{DavwKF7V`MHdXeUc`vJk z+rLDLjytdlrnfR1D&L!VFR29B-$9CwI`kEIZe`Y1x))yG%B-n;VRms*<$?VUBAMyX zRPbptlThhrc9E;%V&8~J9&&hx8E85=Tpk2Yt|)&57Og0E2lp)8Q`aaTxOX4>rs?F@ z@;uFs2$gc{vmQO{dpEHsnojnYqcl6<D*1xFC|X_~q-g<H$+2#8@0q=)tkDrJ*rTuO zPWF`BXkHw+cL95%@#L3sQ%&K4d#5xy!c@{Q1AZzgn1K(O7S<2llS|4kfP1Fzoy0!( zQ%S;j`l%#fuKTIPW6t@hJj1;CaPq44HTRxE!8Yum-xJ5)`;cjFeb!yHuzb-qxuE=q zYtQ$4tLhe^Dp43uUzKOp2i<!n@BI|afhQ^Diy9U%m1yfWx1Nc6i<o=llTGEl8Wtfc zVb;&wddBXJV+I;dHk4OsSOly1TVHeQ8M)Vsxz~`%z4ry9({Qq;{9g^>AQh<f8rX_? z?*pc`{v@INk%n-finDbM*lOr5IQ<hM)Akl4BGcwpTSTVyEmyPT^3wYz$qRSyr9Vex z4wh!0D(77)yCr9q%)Wa)T?mm$FMV>VeB)Byt>TDGTB+ZuiyN1+Z#A0sl$E-iDqp|! zChdB3W_RgLljI+F&!r1RXLgldHR&lWH9FP7xGS%eAdvK0_NA0tcOx=y-f}ffp1ylb zX}15axKerl-6Klg{dW&5UF^SmB3+1m>BX%bcxHR)wsG>*UD5P``Ah%anu2GV37or` zrnlt8VGjxnLsum}Z-|Ieo<*^)UWPsRuCUD)*#(}(z|Kr6eBg^L0MDXfXIKhP_#&gg zvq;#P2?Zm*NDuHV40dK*VS7uY33wI^J2R&6VN2v4@XQ}}W>n$HmPjt}%p3N7Q{n>h zP#5cJjiJ_}#9`#2R@POb;d`#c55yrd>ng!ei!1RNafryeiZ^_}ARH8P&=va87F1Lr zqcte@f+O6*6RM^>#Xvq<fr=KyvUi0_C{HUvMI14gU7<IWr=_4GwwUa$&~wVuLQv7X z*tZ>_aLUt6$VZyYKO4fmsDs+jk5rk^0WrTFAt%byH;}76GNJ3jDLf%7%G1}NGe5+( zw}q}F4xR>{rh$q|W!^KyzOaRZPzPmzrzxPK5*aOq*oSQ)Rm#&BkdHS&Ma44j`^9dv zg%x>1qKJcsfTu4(XNqKwtqK3!5IRM98VkAFF7tj>cyUAM1m!6T^3e=bR3M{87c<%x znvOmw4E=Z+RFp5HwJLlVb#Nc@ks|YIMR*)}a1-*eMdsLwa0~L_669l(%&TSLJmkSy z$j1*d$Ciar$b%D*j}0=fmW17r2ZtaZ>tv2C37aAh(jXseWmW|j0(j~LD*7fSyCw7- zcnSp-F~z=Z3WWnt-9SadV%eKQp1@OQP|=W>%jU0oN?g^-WCh=Ta1YDbZ|D8;K>T)^ zykflqE$7>B?pw$F{%5jvkWc6BtHu1jJ=x0O+w=CtVt!wpY^C$*8~a?;Z==a8Rx6Wp zdzCxCyeV<nE0eQ(hMav8>bL6Tl{+h^1*@H37Kq;$CR@Mo|6JckqJEzN;?h}Hy7+X) z9+SQA#rvgCi9@rlbn@*Pdsz0q8|wGT$tyQkCX4y>{yk^*{(0Un3B>QiK-@D{>u3I- z{d-B<zxJblOHN+7vQjv;=e+%EGy3<D$t&h7lLdTx`W|+EUjg-dwI%NP%49yD-nS>S z{p%nlE^Y-nx!1-2wFJZ=R-mlCB>t}nAnx%BbYkxo{}&C23txeb@BP951psj&E6}mM zuB~4LATD49I=Yv%^(!BU^I3t8>_x@={=#al;oC3niy?o1WVI6cbnZSE@%sa-mB6>> z?o$!J-?LireEPyZ9<dLGUKwV>2Dz1-O)78qA!1(>h{Y2N%bCOhZsqQ7IVJW#;v|Rb zyStl3i7g{evblfn?j}%Ti-?8uT;|Sh7$r6n(n@36Z){Rg`&!UeDib!q_1)QZq{O~~ zT<KxL);H0--8+=n*TmC5xcu$iYl!_*Kx`VZu#`z;aJ$%>fvA0HAU1_qSi%G`xa93! z6-w+2Nb3z^VKI}~&%MRoRN(C%MeGYbdkH-9a;8NEWo#&Mf1<jsT1?h32BLaTZYo(5 zcxkRpJP2sA=E_qc2}IWsIYgJF3dIYZtp|AFz|h)vc&s@0B6Sqe{h{TQ8O8Z_cQ@b4 z)tIAkUJXg@?f=TEt#2UrN-S%janx}XG1KJAq}qR%I7kgM+Zf_I42xS*!teR^jSVya zz@yOch{vE257w!&A7vM))Lt3|+xusK+IQ>3zv-tweZuyx9%G0vU9@&XcAo^iQc_oB z&)-_fK3^8Ks4Zyr$U}8qQk&GKQq5Lm3(XUg1YO=GFF~$F*AgUEls$ujejK@zac|z2 z?Z@$d_y3VqLv`I6wSNGJeL<WoWUj1kZfxwzQDPH_&_ZVV>gLSG?o#wVuO&7b(%NQ) z&z4nC03N2Xw7Luz844mC2_&lh%;ftohBoUGXkMZ%<zXf)yUeg^U4n#aR|XzpVX|`y zn4RYq2%-RR;{uNXXCi-h6Vk>C6fkf|qJV{41R#@vBS?KD(RW2^38(;^>-kMvkP%kk z7%~z`gn>rb)|R_(vM7%#KqDOM#N9XLlt=GCuI1#EWeq%%y2z?+CX26VP?1y~i@SgX z1VkXfv{`W+L{9J^u9JaWH>XXEE8y)6lN)x!Y-0QYZ#S46(`|SY6AgGnVRGNxq_;3H z0dKDrM=l_VApXk=6p({#;1q)Rf`y+(CLoDnD~`)RAK=`?2lF9IEbaud14)ctaa;kG z0cQ$-ln>cwaVL?&DB`n~p*|$A0TG^5E8v@KC$2?zs{@blppkN{_@Fh0b8(ROCK%D} z0<9h9gEt`r7Iz5QfgrlB=+luy8xVerv-wKaDzFtzOjsEbz=zSqq?JtpridawWd%+n zC3p~FAodC5N*i;fkLx?XDJHmi(AHKaePxr2+~0<@0!;grO)7GK71G+wq%Uvck^5{& zYa`Qsc@vG?{|;#-GwDm4fyn)Dkk)#p{nDm2a=#zaN@CI%H`S2))x^^yT*Iy1mq6@8 z;^}W({^o8p5bHra&E%3dcm07_SK{emZq(+kD-i1lgL22ZcDI5NdwM`j4$IsIHd#)F zZ7z{`TaJjm`;(Nf<Uco;F30TIOi~8O>AWR{n7x~mlz#G`yrn(Vp6Mi|kDR`-RLa{5 zro`mJpmSqMJ6j%<m<*OVl}ub;%HVC?6|7*;GP3>p5*oF4W|GoH{&QfA&t8I~_7s7b zRF=6V3_3GLW-oc6_D%vZFIkihvi;i94c?X#Vow~1Nnn{@hfNld?fb`M*h|)^y#qkZ z3)o~Kd1ZBJV`EE>5|aRf7LwCfmu5D$mZJA~Eiut7N*no4`q<ywTNBZHi!CwFV5h&2 z@%dXjKuiqm^yC<szr_J!qG6|5V^RFA5g;ZKc6wsWkiXRp#Du|4kB{-Uwi<z$VA$!g zG4j^dTOh_Cc6xLyYHKS8i1CI^ZZ4fi?sc-vYsjF*r9;R)3d@{GCUTc%5PJ<Qa{?K} zU1~?{RkO_TWa7eXV9bsSlwu1itiXZ>sY#sKX5Ko1g2F&3R-nQKDt33hn1ZSV6>_M~ zyX&ths8UcNn<}%r{)~bu1QpIxnLF!Y6jUaJLc`i`%u-Q1T2KlV3mc&N?yNgfP;VgS zJy_WKESk4|hk|+yI{kyn-(J6l*f|BD(m;i!SR#Yk#hwjB?MMTt6i{Ia7Q~>Ex7SrD zs232*4Nze*me^0d#hz8*tsh0~2mz><pwmUzKi6jWHrACWs91=3JC?XQ%iUN%PC=m{ z6f;m^0Tx838g8#oMeqENsW**>s{bGV+q6k_wV1MWT~|emG$ck1?b@WS>SEF~XF^DW zsl;&3OnWM#E5(E;Ym4P%ofzi`;j(Wr7|vv!8H0maajBor_wm2+zxlj#Zk#*s$K&;W zzF*G;bd*ed8^<M%4a{dx{si_Fb76d-51Lc~`w%V>A1H$+M}d8OE<8Gr1WgVC`wF<k z(SZlhBnIr`ap92xH)ygA*q6s8jtm@zChLKHIb3*nfRD1T5Jl}ZTT~N|5cXxFNKhkI zPWU72OGHsy&3om9JHoy|6t&iPDkmHfC<EUh<MX85Es9ZhP;G`lTnuStH8k@n((dyd z^*NF4cNVVbw&18wifo%%Ohxx<j{1<uwvmO)yX9>4UXg7*>v=PuH#zEsLecn!K|X78 z)B#lQ5Fyp9GtK;CvxGt~Fxx5?aiaSHTfISKdmP7-SPkRdeu_~`a5f_bh2dBt>-l*1 zdBvy^sMZzPma|qg@mZ2?3&rSKP`wy|9$>Zyao`<`INm*9G5RM8-NBGDRzm~dQ!~02 zg*cdPDeHLypC|5ak&g}psTYcDZSeRXe3rO7M?R{BLU(aY#22eal?cSfF^vCSJvx9u zfjHL9_f(IzBG4@y>*8-wkCr3QbsQ7&#j4Rf1oFnQPX2q<XbJ*d#jy^)r)o43fds7Q z!`=Fj`WuFPW~~_Ro(9!L7?R0)F6$P8>Rb#-XRVNR^FehwhJ2#H%2qdy(h31%0OS<B zA(etRr}3tSoI&Mw1pEX8LurqvhT>2#6$Yef$EJqDP%se&Bx#GLhBzn~4+G+~%E_U# zpwfzNTSp<vTg%wWopj^`96yjonH)L+D!0;+DhjbVZOi149SZ&fV|{6hq^*!*Xb%d8 z1IST$LlOlZZ>1`R%uz4|K#sszZ`ym!(4U}kIRXX)$RT(`0)^R_wnfsatr#*w!MlL% zHwxa6#?lN;1}T@(kx~lWkakQnG#;c>6@egt*udD2w8!Gs)okTx5f}g<UnwibTBjl9 ze*l6~p5v`TNT~pjBFYN9l@BS00i=-fe6%$dQuYJL7s`s!RtQpd0Z2aO`A91jQho=J zT*``(Rx+fl1(0kCJ~i|L0o~yE_B4WO=pF*n;dnusKsj^+0jY3&TN+n6<br?{IKDNF zq8!XagVLE;D(Os1ELU1rrWmAwawn0EJrhVFol(}=bL4-CidskwiaHaH{J5y7iF8I$ zr_GTc5EV6$8sv2{w%l4|bCQV-#=f5%bVq|;GqHhKlC&;|E#EG(Il<g8OOu0kpnS8a z=wH%`i8_ca{{snnj@eX_8k%ASk~%lVpd}g<irG|<&NRhxC3RG`e2vKF2op;nH8jRj zBz2n<gF2vm0TOf%EBZ!S(HQ$!GdL3@UoNuQ&y4ShrDz6~LGr~Sn|(|yo^+-mmMgB) zXUoTmg6=c1I8sA>EI~6k7Y(|@j2FcU)Pv(l5X6kfV!7(U9wdmxjPH)6s0W*nAU|e& zS1dt2Sb_vGneoC{fod=d31TqgJ7c-3!2~48lNsL;OHmEdL^jzZ=5XC=NM4HBd?w+t zIyopW#B4H2Oj%tED9^@h(n<JGT@EP!1QfLqo-50Rie4{pd>0b<8Yr?SVnd;x((*J# z??*K7BXPq}C~K-W8VyV#ZjgqOrh1>EfeFM7l2G+zZx9+7N8BI|Jti#|vd4GRi)slg z<mG(!xG@qKMFa*yw@AyAW{F;OoEYC9N|@|Dhz33<Zb&BJO`&2<?;dbm4+(q*6jczI zO`(;V-fiIcDkLzJ7~dQESW-?_^sWcTmm+}=fue)N_;&<iV<=bCI~N>ZNiW(@#Ck%- z;&Kc2_)>b&KH`R+&`NQ+P~IyI8lNZ%d_dd~PdGDH&X@Og1dY$5+k7S9c)1)Jp8{-9 z0uwK9fyO5Qn<4@}TAl-q4*@oX1m<Y@OK7|ou=zs3N6P(XwFtl_pTHa`KM##J12(w? ze7M{K8m|V5WTD4Yy<te8D-mc9U8L&eAb|i85QJ7Ldwr0=i$tI;^s%y+h6FkhfmTQ` zg=L|vSd8p|(^A#TTOii#A{`FC17SsC<W}`gC32X9pB9l@)R_uo69+#kA~&gbDv<dc ze4mKipw5&deQbOy!ivS?1|jYw=7O@gcz3e8ega8j<C_uIE4;fOB1n;!Y<#1L{I7a+ z9C@I?Y(d;WL_UVolGNc%kU)c(g7`WS*$$^As(r_i^K5)2!g`F659721b$BC0k|3)U zm==hy5|Iz!hP{wljF8#*e?_cdoYn&g#K<N#t|?+c7}*x5#jAbCkTN;81ZA->G8w1+ zfEH=68H9Bkr-`6SH8z5<K%9m_kJVT=!V17?-Ow>LR)?@|;<PSkks3n~mM=~dLX|2k z17TgmX`Rqx6&8oEJaAeE#Ny!pV*D)i>0v}0!oOmCSY0neWFY<p<7cQ(%a9rn|BUg| z)b&G18aQ&1PHq$UwlLmH^J)~GF5t*cBp?lrOA%x?Gu}^irl0{I;BG0ybE-2E4M>K& zC5$aoosZCfcW}3uA)f5?M+4r#hA9GHd0q>9WEY)WD;S-~i&b<wqXCg{T(Tg1me?a3 zk$?!8*3Vcp*=dUg`~&ch!Et?CA~u_E{NbgoY^!f#2qbxKicU*3AQT{12u?RKxRN|7 zdt?ord<5=J5Y#s^D3ZKQicTGHWC0Rz58!_jEN^5y)^yGUjVz~=_rq~L42q^x88ot( zPTmK1#|ut3Fu3A8efG#$QNVq;J5Eqv&md?z=b{03;5ZROpza(;0w6dJV{p}-JxBlx zj_YPn)SXR8fFB&!#UQ9VOOOC294BN5RGnE!00WNeWN=lT2}pn^9M{31s5)tMa<;&C zIBzvHQVNhi3r1yma&V*&AZH4EWqB>&NH#!D7mN<&<$xofu);Q8xH3biX!8PPyAc1^ zSfM?$dx+{O%}7(UeMJ2~G7X2Qtf{tW)IWu3D5a97+Mc5R2~0x?RXy1jg!;!Z4aL-B z(hMP6wp&zK%Udqb;In1Mh<_B5HbC7X%}AOhQQ>iBTtAgC*>({1f6g>a=8ZN{#hSJ~ zpiB?(e})xS@O+!7m72C~pllW5AIgmDr9PHqkQHs~LD^Eo{~=a*kQw)mx3iJT)wIn8 zWh+I6`<dN6RIxb2f-PGrD%{63?4eeQGlcRsX^?E9$o~P;FrIgMEQ2p^>j;v~6Yc%V z8^tr^kZcOui}HN&j21{Xf$c5gjgDsIK(ZlhZz0cjG~*>C>&5nd;f;=D_(8HxY;Qi# zcO>IHBx}a@=JG~|Gb|ukHC8C29#gf2A^xsRT03=-s*QvA15BELTB&UFLHsW=X>HWU z$~GF}@5H3F+6$(dv(Q_yz+ML;EtR{x#hxWip>m`<kXw<!-d65TWy)cW^fY~M3pZ1d zvWX)-O5fYW-Kj{K&ynt<?`_~_%2WE-(yhp?SYq6uJ$JI%1-->3b|-V|CsNYb(#^=N zSH$jqdxA9OC0n|YzV~15=y=KlMYAm^HK6Z3Mx-Ti!<*~{nr2f_x{kirjz~-7`i`fZ zXG>Qiw;ls~4-;t#-0((wk|brdqFD=+uA=WfKs4;NSBq1~Z0UbRw}OeZ9(#c}WfNPf zDY^v#du@rdc&_hQN}0TQ33`hK>?IRvKkOH2nrDz(w}~{7eWkj21i1wgX_)<Eb#pf| zJ3ydy+aFUm*CDrV5@}ubi`2~sa?6)U6WUj*nlq4F*NC)E`^T#0IOLWGk=9|);z<7m z3bVMUhf}m6=~ti-=GMznWT5m5P?*6zEla5ZrJsSqG;aM+N*XA>DB9D;@@*l%m&Vm7 z>RmwbPQ)(_f0M$>Y$m^-s!u`vKHyzavgcHNB<h!pcS*=wrs^M|e(&%uF<CrW?~nSu z!3|PazVf&hws@ClPc3V7A}&@@?~M9I;%|~!;j_dRZ$$he@Js#VMU(ZmsNX+W!7=<z zA6YDkV<_s)L9w2wzz#R)Bfpo#*(>TzQNIw}AdxlNNcNP(nJDUi1H}swzx!Ch0sK-g zd66XUFkAey$nP=!<}Is!EY5^2o+|Qth#S0R`8JT>i{onK^*X3uAhxH3HCj*h6vt_^ z#dAaj#jJWfP6mlJSOLO1jmOnM;xVj%&#E7dOM}D`te}8(dNeK+692#oc&z%7I0htc z#|rXTr$^%KA#o#Cki)7Ujx&M8nYcj*nWU<Jiuk$U2JK|EvOWm$qu~YuGEZ6Wh4?w+ z25n@Pvfdf-bA&I+Oe&|UeZYa;$jxYA&ndVoh2pD>%u!T3f&+ik3%*m9D<jFAfm8H? zW=gmsQlB$$gkI1{S+0njW)IlV3+gH1^2j7bwGVnT2EH_C@_4eEhTeP$?5Uw-PDH*` zRG*zC_);?E^h9JRd*BaxK_z8*vx!;~>Bk;0KyHQsdyc}F`b>C|NH_Mt8sz3vprD*` zdOY&5qS_D~Sccqu1nfBkcfF&WZZsiCBJJ4&bC8=);I6ln(XmLmym~!)^AUXMEhT&` zvQJ(;5;UMFx)}r%lu(v8m~7EhcLxm&7u~!E8~iX)tE(rGn;h6cWWrNdOOcyFumNVm zQdf5%H~nFQZWEHax(c~@12*U~QLCy8kefcRfzX7fs{V-F^nwjKO<1bxXym3l+@*}% z1PxRGd$K4yha=}h11PWurew+@`@n&GU{3~Rr!2Az9LNOrq){@5!Wf*s3!*O$%r~i| zoh|y0rD1$UMFx5!3j1=B`DRF;J5`Z@-gwV^Bh{x&RlGoNBr)Ge^a)cH_s|<}nQz4U zg2{>-=#5v{mpamEc~}j*&rI~ChUD9<?>SlFh~9|6tgA`B6Jeo>iWBIK7fgeGeYK|I zAlSD7xe?BMlSImF(yx?+G1z^&$c<;rH;JU3P5L}d#WwWD6YR@j=9>gkW~2TwNtnK( zVhPwc54rJ_Y4DD;d^~JgUSWvdxQ$ttkut}^Wb%sN&>L**i!Jj_JZWcxK1oy26V$gr z^o7iP6Gz%P7B-*V_Y<=&CS~GbeNdkYvqnfe@vt(eZxplUlQKuclAyjp%({TIb2RJ$ z)Q4f#JW}RJm>bmBhFRy4c8-J{hWhF;>l{+%a2OxGafR8{uD?Z9@d&wbnb{@K7b`3L zksFtoU2XdBl@;#DjSI}KR((%pg(Gr3gJ>Yr=1Cv5C`#SI9y8=R7x>ajsBhM$NFSZ& z^qiwxe<zG89$9dDPSUNL3BHO)t2sS~=+=#dQTZb|yJs)mx}Ff;tj(J&^+K;l6AcEn zS(Bv>V9yTvmukZ4X6<9MgkFCESXU8tPCR<R?%6=MK2GdPBGivR@>7&rf<5by>tV#M zL_+xZqw|VVBd|x8Ze31T-lWZvJhD)ft_6D*BiA1Q)(44~-Vt_=Kbo&7{S&=@2l!G( zsBh5r)ReA8uX6zFQbKrxHc$MhMP51()U%LoZ9{zXLz^Xjlp`<ILa*N?c8Rpb>QW_g zolWe*wBM^s2axN5#I9~_PjzW4a{U&ut4n)}y0jd*ex2AQ)E28s^N{P_#I8>5_o~tq z<oZ=&SBJKzsx%V0E+B*tKhlSKz5!o86P6D@ng)A{fG?SZaM>dv*pmx<Nhd6qJ>r8s z>A;sy>I@~vjU%!`m@ydd6t17D9%-J=pF*8M(RPIS38xNC2T!4KC^HqON~e!bp<yU9 z5vNL~7fzuZlo^jx#nTm&=vh!?B_h?SP34?2wrHn_cLI+cn0A^(Pk^GWB3_l+w0YWi z6178_|KP&D>4j1bq(Jwe%y5i%6xUBuXN+^G3e+5BhG4uSxUhFRRfGNsik2hHV2pPN z*H2LUG)@~!INA!-2xZ>INZ-^W4buS{bTUY^OvEcyXEaP7)u7`+B2^I+#CSHi@W*tp zn6sKK8ZBZ5V7#wtoiWZdB>E5Iq3ST4BZNc>j8~-A!8v?LG>q{I)nTKYSV+{5@xG{a zMmZ29>cV*W>aY<G6%u{Nc)4nw5e^v=)ndGC^~e<Z0%5x0vF+2kD)b)0q~o!I={6;L z17T9}*tY2?CF+7ODR^w_w3D(h5A{ulg{cCE7Fm?kzf4g`1G}B*Bzu^eB5+Xp+jF}A zqVrk=bqaqIPWN#-uSwvb@Ym*aAE5IZ1a)$M8N1t>PC5w-2W6>~h3=^DYgjlSvy%Gf zu)DX@Nhe_aS(+@g1G_iVdH)J@Cj23G_aBJwbAVJSsB4n7N&MXug_fvqC_t(ZI5f$k zB>q%(_Zm9s2rNtx)HTYSB>tNeg*ssO0>t+o!22f9X_N(P3TJ}4m(xl6;n*ITlcrD^ z)V-Ka+6N2c1r80eD6zjjyL+t2_dYC)6V%nqbTx%@QQtdotVq_TE*wXEAvhM3MX3vW z5MLG?+bwfa7d9cjesFA;Ojli4g7`AwSfQ*<RhWhNGT_)wS(K_U0rB;OV>@I{szMr_ zlr8WX_FoNkmja~Ef)Sa&9PBOxNSOj3nSTq|oehxE1tUZLIbioEEWeExrt}di^1VRe zF2v_GmT%7#4hcP^K52^lkEqW_rv8vHU@AWvofVPvr9!Kz{HLf-0#jciR88gwp+0d; zeX;PU)JMn`?iS_O@^s`re74XS@rhzm2ZY8_pQKq5<sWCp_6v0<^ADmv&zbtkypbm1 zpeBD0DAYrIo?-bFJf9|Eg(iO+C|rg3gfe4$g~1XZvLb&yC|rv8JjC)3GGpKIOdEw! zn*6z-aHS}JKU3Hv92EOlu!T!S`TLmqJ;DmHk5Ha34H8Zi`8;6i$MYP<eE9PGjv(PY zk=0k;2<{_?gj1Lm%Jac}S|H&BW>v%+8THA5ghQBBA<t*j=OrZU#jL*YMn-)6AYmtF zmCy4T@i`9(n=z|g-pH_z1thG-@@2xKs{Am-$CXKK7cNxga}XbZNfihyl=(i$>~ark zn=n|JPeXj1nAFyqwyEqa)H@ciav)MuxjHR10aAA=r(*}=9SK;qa!r-)hdCXm=~gY= z42An9PRCKYRTI}#;Xa?!v5#)mz|D}m_pv*+BHppY*uk2p$!r(Yn@bcXbL%GD)7TxG z5${(-VSkOT)cqy9V<X+_U+&1b`vXO`E!bf|w>n0oCUL`>YT7i}reMc9x|JP~n#lDT zcR$bWSc!N)2CNPfsR`V$#u_V$`)WnD7TB?hZgqgD-&>;+yOY@+{}p)$6RABlZDRLL z><&$lHw0MO5~=ZApE36`dG-?2n*~^riPRr83pLp@i1%$GRa8@<&K^O$K_V5a307x! zBi;c-YIn_1b#@)%eUnJ-s#&PcMi6gbB2`#Zp~}ucysr_doi)L#>^Q{RgGlYD3E*`6 z3*={U9fsYtp^mRWKFqC?xy!(gFF<|<*Fol919p4{^3%9=L+)vy;G)Q~jpftAPnFVY z6qzodU?;*z!(&od8O{9Esmv6V@d59Y@;#<9BT+^&-YMZ5Ph~zr8Sn5;F@JC}(;sEL z!SzyDK5|+MTd+%HS<4!kpv5XOol!<49+S)pn<chjBf^NlFZS~nPG;JojDN7aV|Yv- ze^5eWC^F4Kfu1PO4%h4Br%Gt{icC|K5rXR_vPK&D9uk^~BJ(#;un=L~$MO!~7kl{& zCA7nA!OtSbV?5?9t8R>D!WK*wF&^T2Z&^MK{8TZmMxLpIG6FHn64ppP-$P8(W(($s z@`_n?I8FAyX-OW!njP5GK!PzWkI$+brKLdv36@vDau}tBLV_Py9*<QwLSsOJb}TQC z<uF3ChXjpSUJk2nm}UYAGI6~QzLhHTDZ+5U_1gI=Wo8h<py7G~e!eo(3t>3pdTsmw zWu`O2aD*?)(kiAt`GBpvk!#VwY|*(hh2o=h%u#%D1Y7^6=Y6N>C>_b1)>HJnW=fdC zQJ>R#gr3(((NQ=~vs-QGdG(Yqxnq*zlMi|=2EI6$7CiZhhF*IKSk_Q7CLCWXKAoK< z_+m1}VZt$#-TDVTuactEoTidE`mtLLkZWOp<x%)zUs}Gz(T&}@2D$bW$SbEfj5{7y zd@=-Emm$|40hWj0&UX}t#xz}tqdmKI4sz`Y-1(L=GUh0ke_D@Tdjwy6O9>lu?2~^Q z32IdoT?+#8N+>!FX~vpQ-9fFxMc3}ZdOy-s>Q9r%H4dyNn%yw^Ns3$xg7vVp0QIL1 z<eERM*PUji{#1ory8-KUrKwb(3Xp3)u%0k2U-juDa?K0Y>r4w!eTqh|xx<}G$4yXc z1z?#)F&%cC54ECzB}~bXIrf3A`G92x#Z=~42DWAbmT8oXAqNJh<$@^Jff<uZGHr<s zmOAhisTrtO6qb9E88Z|cHI<rxdc9}HNMoI*QeU86Nz52YtnO6mJ=E(hGe#WSHko<@ z^?HTn){z|K4mIo+Gf{30$)`EiV=~nd^@_lDSCf1u96}YTCs3~!OuhbCl_vEd*s=lf z3TMV7kusWMD<lpKc8f0J^^6&lNHT4T&DW%EL%p70xrdoC38ak1*rO5$eMRaLuw@?N z^^~dij-)g0FfC6tM7?ffyUR!!V-7NT>TjqQ8_Tt2#>A6M8)B_AsXak03q-kOW=tH( zbj)EsyX7agyO@-LJM=*<Dr`4GGQ}Orpq5c=H=mR->W~Dr3}U+rNT#C>51<wd+sz|o zj5xSKEp6EDJd){%!(phU9^0Km${2Rwqh42-o$ay4s?<k_*JWm>Aa+oh>W_F`Vs^I0 zrYck25w8o(&em8DWvU}`HG`-p3(c3>wI~wZ!6q~0Di_FYCDb*CI!W!$bDGZ4cYi00 zDC{gaO(*HQn+ZM&yVabgL-gH^gb}%&oZYmSzPp|f)*PBYndpUHjV9_1h6YR~I)F_( z=(*Jdhvv|uvxHuK0qm|Km`>O|U^i``?><iKOd`~c+xaOHEy1RB$ki}nXCfhN-0r+0 z(FknPrSC2$=rn}}NbD>WiEF{8#mLnM!0v;@i|+`g<972EiGQM3?*O@Fgt~@M4^84) z^eP9~T}lXR2+bGUwa60(f|?f6ciRwSeuM^y?Q-OaTIkig#7<G@pgK{BTxAnGvCvd? z;sA0rkl5KB>Y+|-MXuf=c6Nmts}swStJjI0!q7ogVjgnUo7g$~4pEhuf?T~y?Cc2j zP$fnpR|SNyVLN@O=^K#ynV>UlHw`uw0lArkFqxeYY{~_4(+N5<J3iQy4&;7Prz>sU zI1N^aXAG8e3SXb99&U#6r{bN#hV6*wC){}m3Z9COLp@V*XDM`aDn1PLOvIff(88&B z4(b_?JBy);$@sHigO$jlPQ62JTgGnKDatv4M-M<wlkq3OhOMHUD)o+L$apf|4)y#8 z@92XTN^K!U{2tUZ9LqV1uTN5^kK0le@#d&!2$pjM@92e6HSvFf4a*VFU@YelzCJ<i z-3S>=Y_%2fMyTgq%;KARxB&{##7_n_EEDCFs?!^wqnh~fpaxZuCy3?P;2l4pV6p9L zcEf0qX8@M-RlRJ?b{cB<56eN-&v08I)S$p}iqy++TRzk<jO7%npN-naLJj>`&KLEv zQCkRV=)!XH)z3z3sZhgrEGJjJY{ZrfHPm7`+3Mk`_!o$$8y?*b>8j%IA)a(RS^%{v z<8L6IR6M#3ic-eAAf6OFx)pL##^j+M>2OD?;8Y7EN@`iAh@pXXPIL=<*f~XTN@;1& zsr!qb(;}!<SekI^j?;6R1g8|1+MK!r^qdAkt=v+^uCu0FoP;|D8L5*o?x@FWxMP4} zCAG|9*KMa;oPgKQ(qxPsShty;^RHmpgeAnT`vdWK4p>wQYMU5s5=%Elj3w$33RqMK zPBk&2B$iZm-5R>Z5x65kP}|6Gl2~q1#OQ!^3lNWcK+ZS8vPMR*CT1q6ZaLjzKOEh| zaMHvmgX$L3E%w13@q$wgj3}|CKD%zL$m2fT5htjvXXt8T=As^V;Ajz}O&v3ictCJ8 z#)wkK^dKHAIJ%qRq>gDqJpAD3E{3i;rUda|!qKw}pj0tghzA3X?qo!%ViFJ!PdK`R z;iQV8(JitC-ouuwp}JDQ;<I2_W+?~j3IU5ufw#=E1+2>kEYbzTLzX#U-6t%&jrUAx zDpW*yfi=4j_t#jqJ+oto>LE2vQ$&44-9Iwd4^acAqM}jv6y|y<)oLp0De9iUTrZ)j zCZmE-_c-QyG4-g_RLHK`Ey}LtEt8w_*)_(9dlb`ofNCr?O`0W9_Hkx(KUH@!>LBX= zoVh-kH{3)W)I{w8YxEHJXIORx&%23Qp^4fC)~rI@Lz&UN)L@AzSrN4!tXYb<Kg6;R zGNa$|b~I9>G*NTGnw6sL{mhOY>Y&)vf?cyzl)aC+zK2>NHWkXFq(L<kMeehm^myK> zF;l)gsw1doo@m!s-Y{+|hiay<T`12RH*JAxCa_&ayx~#P9H?dp+f~T(9yNUl)%0S! zzVL=eO#PsmPHa~`&wIr5JXF(+?aJj151U#*HPu+QjCxcR6^6LGGM(G03sq4Z#2sKd z3#b*!C?CZABGb8z8mx?>A?{90=T^vv1E=HuEl{fhus|rMaAh+@<G@iEBSrmb3OFw^ z8G>v%a4MdsKq62<lY09Ua9Cs_fo9lnJf0^<ZlaWvxW62^h6-xcA(ONIm@Ij=JOG%8 z(vsCDCxI;@MzVVQB(O<j(hqUi@IRO=Nj;<i3=zRmJhBP$VZ))ANgw2>09GS{a`h(- z@EamHjJGyIrV3yQA~=XUHvZ2DS}ihprw-8ozlsE9>LD?rhYCv7owIw)(OH?u|Np!q zd6A$*9U?}Sq5|^&3?-dep9ojt$P^+#)zxZ1jiq5w9RvsB%2A{f5frLVssS;U)&+$@ z@O4}{f)pZxe08-75MXJYkOKr?#g)TI3?j%@gB<t@?mvu#AcD{8r7EBpOA|mNAbbh; zmm${>LAp9b3BXudE0h6NU8L`7<9fH)r%H`#6cH|9)z1IfQPC;f^k)0i|8u)O{7>`p z_&?(-`G4}4@l?bk^vXM8huD5_GQuCd@`kuRh3hRhYGGIHqVKBZ4o?`xDk7ZGE0M(L zWbU(BVpnZMu0#->`|TG_M%bcP{sFR&5u^L;2PH-fMT9w6rAN=UBd+hWPn8(iD<VwM zD<Q=7iQM5vdk=|`i6Y`RuxcT4<vx&ofau(7zffXym|gX==*nYa^xIke&d7vaHC1%w zA#wd%u6Kids@SMT9-)I?2?TbPaEI&dJ;X-Z?5a8R>|$;$ZX|=MG(a}OJ%t<9KviQv zHlJHNYLo_5Nr3DE?x|6uP^jt$kj>-Pju<hZs&*hdk9%rX?}Mruf$SV^?XZyvRFz3w z-(hd1ikOuYU5M-3?N!Q%Amj>-xL#nNuZ-|Qt~e9dx7i0MBb<>dj`#%`xne5Z2dtdc z6QeQnQ+Rs{%UfxfqX>5dEB_W{eP=CG8j?Acr$kxJtY->CeNN>OQC1^snZj_IU1=lA zs%Jfu8zw2jeNeX;{K6nPcru)Zy1m5AYgp;Cj-ev_>@49Il3AxF3`5zKe~7XwS<9Nq zDv6;VyV3x03&YHh;ureJ`4U4ncIB+4_!P@3XPp{1Jgf*e1S^*zZjUhYLwNf;)~QCa zuEfxuT{#DFdxE#WWetxR%H`qfQMX6<g}1C{V}^b5@R6WOMUh(&mQ})9)<8Dagm(v3 z4i~xI!`J;FtJL9>h#TjBH?;Zca4F&zgs;QM0qXD$#LXXH*G;xkhgTtPH}G{`WR)ts z0CDrd*9pn_s_>79n-{*WlN_K5k4D_w@ph%*CaAIkGtXk}7&e>_Ric<V%u1IT_JNi8 zn0W?khs>}HtjxsB(^%<4YZ;vK3-l}p_;o5}M~g|YbS+;Il7YHL0a+*E*Fz>zQy~ec z>wEaM)Wm5j<OS-Q1izM;=uU;)LtWp(uf-;9lOZ=y*H=JR9p#jKZ4JBJjGk3P@oqNp zm<(}5T_XVVYKr&7+E7Kv3DorkysqCwr3pC*mTy2@!{OISl=LQ(3dvdqyIdD>eFncy zr0i%i$=8HzLtUQ$S%=}*36%6klcSQg`ihVxVEH`6^(nmW9c9`0+G%-+A?kV?FfXH| zkFAx-Lw-YD*+7;p{5qbpqrt>V6Vek@zJQ)ZhF`}~c8sl^&o2K7m={yh@wI(WxoXy~ zr0l@gmO<sCfH|L%KDssuDjx*Q3n)8A*FJ#CF~FQhNgrA329>t~=6RGIBWn*s<@JDh z4kdkfEgyBg0=KuD7^^}aA+DF<c7e&DGQ=Noy#%+nnWQR1+!5CcaC@tXhcd(wamiq= zlj-M6by^g`?qHc2;=;u~w~}g`^_`?T=Q(BPL}uSf!wMY>PT5J3Su@F7p|hG(c1UE_ zNE(*w$k}CkMP~J+XU+Qglfho7OZ5M2)PTug2e531=yNscRI~okSwdZ2U}jaM9TPea z*kv0;X2+TBNu=6w9X~~|C0Mo&aS3C#Cz76x>zr2v8-ZoIBC~SRvL^iiiH?OLcr94A z7;$-knH^+act_eXt}|Z|{3q&i2m4$`s%_Bs&;+kVT{xIoDd|~*e!f_zMIJm5RJKrL zX2X2_Lq9;QlOqq-LS61M+eP|=>R=_}!e+K(v-8{F0mLPc+1{=1p$=|ETy8PjyY!9K z!R3g{b!NLze^3>ihq!n%+dK7BRlzBU%T;E3hrWj@I1+IYke&_e=tE`Su+N`K%Z7EP z!LlOkb0+DTOh*Wo<zk=HNy}t9e6TDX``ku&rqmKD?t6hHX2@kOU}jIekU}`6)UxN4 z{6+uVLa0?}nQ%&u(?2&6PARmsIVJn(W(|aNxmF*$WGiwxmiT&5d)DxEK`(QO?a74N z39U4C$!6s8D`I=Uwysp`CA(xJ-Rxh&@VM3k#eG|_#DH#gjCdi5@T^HYU!vv4E?I?K z4kf<s)ee?ukrnsXgC$Fm%MXFi2V;*%e0y?t%eG+MQ;nf{Q}?yNl2vrG1H^T`v5{(z z9>n<$u;T<#cOceZbAK`@CllL|MoJsfvS61irGMT>T-T#rA=YY<-yaAnnQf;u5|%Y+ z8*A=&2bByLIp1TpiL`Y!_vfON*)z66eX>bgrM{SjI>iDe4zOb?p%%~6<76D6r!@+e z=Hgm?&}_M9M;e8JBfHqJricOoW(Z-&3LLq{E*S;P_=NOPtt6;q5HKqs>=@O00F_{X z8IO=YqU8pav;k&$gdHPVhoO>sz$}N5KCHz@FJB?Hw`&`#?mt2<UnaH-v<H><{gKO; zi0y6KsmlBA$mI*f_Ev2V<$Xtlo&oF1rt_pT&5GOZV9p<+9Vh<R{YkUbTziUKbQ7p$ z&7qH^GZ#6<I}my#Mr>7^C}$3FicgD(E$TGI%tlV}Q4!pz)>h0+v5Rd)aJ@QIK9i`p z?Ss-|aLVBHqsiMel>QPU)~M4aW+D`~&(0D~NmkoW%!IIu{}92IYVGD}rDWzNyVwAs zhhfB{IHhkoPcq}mE?$GspJH&i+J1cIkm9x>SiB6OKf;KIaKSsZedBbb=Js5$c%=y5 zj|+OH2gEbG*u_gl@IIW-GhHs8>6G7=1{F^f(I4Q1c(wi5OriXCM^N!R5%H^9hR;ku z#Zwp&RWtCJW~g`qBNnM;qcho1@eoEVR5M0rBB0`4ApINhi&{1^a}z4=#EAK7#>mV$ zsJIy;=Bj1GGrOSTY7CbB{{kz7j%iEP?WYLc1t+vmE0wo{5IPMf2&VItx4jU$Gfrrm z_E+9^{@<@B$Ygm^WwQcw2N5#_-~w=~pr%>oC{>>0Am`}B?}8eIayth(PKTQW_6p_F z|1Z%n1>Qq}w=h>MYn=pdpuj5tt`peHmDOy-j1Jcb7|k;GNzf5>e93f7=AFDb8+({7 zKBTvJA*WmYHzU9+SkNz9D^*6Yk&SfXzXI8~^1cGJ1rY-}@fb`=5`;F%S~Z|4h^(U% z?O;lx>=JE}Y_Bs_aF$=+$#CDJxi}D%u@G^32&5f?BNGHejnq7ilaVVsO&4)wVcSc1 zOB<+`8mEDvw9}&P-+9~fnyFfoPIkbe|FtnzOZMK+^kW$o$@*!>@Y#sXY>dVK|GF$e z|4X!#D=+#ZPQc}-fQcQvwvUk~$@|34`V8#IC76tqUu0*s0XxbC+D$TliE@_$Tni$L z5#RwpJP1?X2~5V7^AzBpC~yaW%LFwIGItHQ76mu}u~ZP+Aj=ago8{m@5VDX?w1K%l zWd34hwj9(#fxECk6zZ;Ybwq&ML?@A~Tn&yO00>hsnZFwBKmdQ3&@Ho6gH;G{115CI zlq#?Q0eoPBP?n+sQ?#CVeOM!2=a5jg-r$JYQkRuK{zzVVbLqC2g>za~&Y81r@zMng z%PRZQpDtQ6$E4mS?fB*dn<oitg3XWqmh|M{oON^NY&4s*d;T)voF9vK`p!AN`U|@+ zbnfs;2}wV?_;EF{XWa7T^zW}ZADTdLkL|XHD98IY^Y48ZzxLtVZtp7b)JDW%LT*%h z!v#)s|4dyplzBh@<xcm?Nzft!_}!rUfu!YtB=Eo51&UK!j*ianKl`}SIcwbF?v1_M z2Orn|GiPw`MZh=x(){XQrTu@ci$41B)J7X$@)fM4rYUhC$?xj@9>Ox;BR~FpUM&3) zy8sdXIc$rDC(6j)aSziw`mQZ^<hl;+nLoO#^LAzD<iXBlGyO#!b$_Y~=L9^@JmV^} z{^7B=D^-WVy7!%OvVy#8JhWwOci)eqRo54HuuPS}s`1R1<9D`iIP^{WPxLY~UfF={ zh45Vu&?fQbiQ4$Re%}f<`^3K-T;+cI@N4<4=!ZMb$Io54WZt`bzmgQiuVz+6{939m z{_EHA!82$6bHV5lD)_>kFoo!c>V(IcYFR-#`k<x3e9G+YFIV}VPiNjr=N~D4AYRe@ z-<tu^%l{69H`t9Y2^KkwRW?t@chS#JUvNEhMCNs=`1ugiVkSm%XX3vLF%4A{=9`O4 z$HgHF-mPD}b?@@K%U$l4{xxU!+__6j=QL`~+q!1nUEMhcbbc=CxwCDGzTnr|;a`Wh zD^_fhE%@8;(09ge&YnPci4j|JdyqQMX#XR->$kTJSI#3XeE0DCfQ1G2Gqk)a<_{a6 zI31+~s(sdgkC6Y<oRL4uxgvr28(-edF1Jm)iq2<fdmG&>jWW63L_1%*{QT3?nSa{Z zJyk3V^hk_3!FsJR-tma5;`duI?w1bv4+Q0&eJptQ`3WG;KYGamw!Tg1`D&5%q{)q8 z5mb<Pdc?K9*y>EW%l5R^$gd7Hl4Siyc6!x{xRj)w^$QQh963;szRN~%GT>sk@~fBC zIXxu%&)>7?k_fFqAHSB?n4i7>{Kj}5^ZUG0mnPZ*d&obxg?;;N-wICM!ZVT0^ERIv ztabr9Yqn8WM7LRgn^>xy0LD57*8j1=KJ{<Lr>@KSw7+e>w5{44yT!We>qCu}j2emW zUh4VV&)BN|H=A-+_pMvem%8(f=jdQ~Tk6^?dg?b->$Z5kD!P|_q1qhYN*%q%(mke? z{wDsTJgV8r)Ca)Z$IgGOe?-Z=o4y?hTy-QvQ8?Xt&D{L?Hm9BXnwJUNPmU+%ezEQ} zs*17Sbc~8V2m^VQe)p_>?0$Q<da*99dm#R&H~G`5KB4r>B7RHOznfWw=rJ;_VH-KF z+@6!sl5bQvN9#5C?*8|4!S9!aZfab8qM~+%)v&5;ANBVQpmx#H8vi*9$NxBD`@x#6 zv-W@mdD*%T;ZIec$1d+=h}s{>G-(D;*oT3eUkaT&4qta3nY%d1OJh#WTkPJrVV8sM zf~S{#j~?yNU=KD7d@bwiJ<z`JUFaOMYv+zt{GI&#ZyxvUOLEQGzOb>=;Wy`R4qE@? z^S$OTbN8(KwJ@i0+llk<Ee!jTeiyh`dAz>%?ZAtv=zB~0FG%%|bLZ;_FIgIUooi6M zo{8vLfBy8lZ*J+b&zzD6Iv2fPljr4CUE0x8x=_z&yi=#@Ab8*=r$6s(fi9_c&3dQm z%6H!g;?T4Cnv2)2nU55Z?E^+kwVmhuA+PoCmS@j(goelkpL!*#qUg7o{`L1iyOxnx zMlm`X=<Cm2xY9ZLTibPey~TR%Sr%Kbx~SjgS$Of+(^DMw>rR9CL}=By43Dp?-zSdt zHoVyCwN$t7(wSUJmgd%qIEAhs9A2HZ&gdnFwEwl+Le^(0^RK<14&_GYe6*~cZJ&jD zH}(#$kw=zasQX%kmZsILa|(5E>kW<!`~GwrG0FRmVK}9d!cn|J+Iv^(UiszUYTr`s zOS}kWy*BZ(d=%q15d5$4F;B94^nxo%ruyOMWAW<w=MTHDveLY;*mc9%TCeP{Yw-`4 z?2aR~(_XktaW^G9H<xZ9Z8AWo*nYv|;G$gXg5}AfhQ4Mi#6G&sW*LjjN^+L1tk25$ zvB1V7@3(cS>bS(xS1C6(*O$9E7(^f5G|Y+leF<v)`{llyZ+Da`Z3F)MV{fjOnY^Zx zK77~Z@aNx<_z$KN3(Ow`ByZbB-ey?(<XlMN=4&y-#v7}4S3EH?{{3Y_Rh@C8v_AFS zOIh;$(}~yF)pJrjPZIlU%s%u>t%t(C(Vl$&ik<yuaj)deg1=XsJIYU5y)EHb()-2c z+l{w{&X3?fJM&;TeHDLo<*&vt$BG0O#plMayZ*{%U0FZ9-eiN|Vats^x<Q}0`A_^c zv9Cj~a<SAhzE^Xf<Gt%ukmud~NtOP6Ax>8NLgVI&*JmGp{@BQw(o441Vw1wxiOXs} z$BYru=g@h-ORc-#Ez<69&RC!yRg^UKr0@8%e`s#YO8H+CIM4L{3Z6eNVv*M6$20dW z=Y7-KdctzE;%e2G7wYN1=2>nEKjm}0{qMD=AI;7XEseSD%Rm14@uYCSqf6k4$2wvo zFwLI!P5RLKQi{#o(<?TVcD`;lYW#G|zbgN<bO?HtnT>8tsr>M-+%PQV@8Hc1f%i5n z&~NUZNs3MFLVq{vwx!NFTcRp($f)zSzwh%?m6Ng5$A7Fo?2MIOBiHg=wT;}^r|;k& z>uv{k2cPZnyKwQs5*J{_mnU~`SWCrzL$0sStG8bmia%Vy&pMvTA6<B=z6gK%;48#k z>0f#3UE$Etdwn#+r5k%_NQ#X0a@*pf)+H81efN7@lGm`_O#h$Ehxa4+zONQy<_6Vm z?OR?fI{RyPz#qHTH0+sYKlf1ry89)+Zttd4^q+^}ADoiWamblhlEoR4ROKJ{o8wH* zt^d$-eg83;i{^lSx53Y6JAE$f(=*t<UQ77bu}|(#cK>c^aPp{Qxs5mV*PhGdmp?}1 zx8(9Sy>y{f`MkTntAi8&d8F6qz|5MeQ0@{{>-?v}m05YO^>Mn^S(D`8`j@k>(^kO= zLnriXxv$Q$KA+dxAK~=FpgXZsCkhc=#kxjzYU#c4_KF#}{DJYt^Wiam(X1Y`t0KbF zY3OO~^D<kslUVf<(HT#DcqT%*<k+ga`|d7&we(ltl`)6Pc17^rE5Aa3Z$66fvVFnN zk}{q>4g)Yl#WLabIhJO=S`7Y;$9DK{fp_NU-E&X*rUS)&n|u90V8`l!%%R|!LtAS3 z|Iv#zPO+>r(e!7pS!G=Mf}Qx$DEdkhJY-w(zcA%(5m}P-gMfzLVG%b@%(n=e?UmOZ z-%Xod(ESICJF&Oh>q=mioBi|Y#{1%g>2nzc!-LNGJI||p(WC!CC;e=++5yw2XDP*A zb(Yg}u33ZCT7B8a2QE^Lv)vT0>JDG;IBXkf_LnmL{gKT4XZ6A#-4VxfuDATbDNAs* z>U0-)1$^J=OKPu*)9IP_k#y>0j+kigVbD{5+qrJgxPb)bB_A-}@pbg~{1<7(7v5h1 zcjlf}N)yLM_nk0<Rth_I(`#?9l07_1Fh(vZ|2?V>#4fqTxxAnE$^Jh+-QVi)kAUW5 zZ!-V61NJ0hzgo<#b{3x!tQ0k+Z0O%v4_V|?>NdL+_<QC0rb`}+jqJPshIXk!{J$B$ zryRd?=<W8Fg02202aed@`Fc3AaHSHw{Qzay)9CObR&(e(|F2K|Cogr++<h+rJvg#} zCNNyLL31*0=UFv<Xw_*>b0_z1!p~@j@^dWs$Hng@@21vhEK}kMb;)^`I*r7o3(oqQ zU)i@jzq#G}7q>9-13rAkb;SSVveTV#cJ@EyE8BIp4WZn^nhczO2EOp!l!gqxCms6U zlpAvHOy=)@6_>@bt(lv<-nVY35&GN{7km#&`Oxw{^zE&gge^;gvZb~k8dh`mmi*}4 zBf9(1s><=dtv|NT-MR4t`*N_=Kg;LOFWdBB^<Cl=V8_&$lkQJ$j-b5Ij*$6U8$DH< zU7G(uAS${%m>4BEQT{Tg0N%|tnW%{n{P(5inK&ZQa&O|9n5hj+t6ymYA^qJp*yT6I zl7a8tdqj`-*=`?Nde-*5*s{p*EiF>y&@;1S<j-?Ugdb|g&8{CQhQG3xR=HUD{u3D5 zQ~o3TIw-FV{3*7+gAEkaZ0E31=Xl9|_E*2}Ju|0tUiJLU>tYY2SjB(rO>XbitqMPR zf+HQgy1VY>p75lub1$YparYxC%P8=B@!qps-9&I)_rUuPj7w)}?E6m6slUL}>5-so zD2Se=ovi#j?YmI1d9hu{hZSmR*Pnk_?ws7<F?D-pvu1vTEXiZ4;yvO0BIoD3{A>#| zRZ?;pmfQ?AGtMr$QyBRw#FW$fepdd!c>0Xxnak3`{oT8#@=p=Z3U*0t@2<FJO}lF- znsH3^ym50)lk(qH=Chv}P`4~fIYMjP_e}ihS51D}^01-7`HAD@t<UfOx;^)P_E5sf z;_%#k#)gK4!;@)OzJ7Hna#XMQ6np$0fRB&*-&-GGr|eanJkGO;H;bnjw*R-Oe|hw% z>&)@*8CkcF%gWpQ#fpcGtLMe-8+}!nYmL`i%#`MSpYCm;U600W*QQ`0TYGA5jSL<A zzW?*0y>=g4WG~mQ_1|tkGc~o;AaO>qbzn#6l-`HYq0<$~i{F8ZS3ReEFERXYXYM{& z6$}t8r0zCwqvXSY>*>)nY_mA4-`8|fkw5gO$7$-&!UI5#;qNty-I>1Y<*Q|2AGlb# zSBXVoGd51C<kIG(F7SKckFif-I}b)q-9}9K4tZMylIXtL3is{)dEy{>gmI}GGJoDi z9kVr;pU(dlf*5_$equVtI_Mu~KV$G0tt*st%>TjAUg@9Rdp`Z5E&luQUqhw7-Dc0A zR>&55^L%pfgArfjZNZy6*FP}#&CeBW63v)2K(dt9y>bptdcS4!e!~$9U}!G?LigMi z{NH+}oD=T#I!XTe&5)u#`KA`*r<i{TS}=HN`}5EumS!?3gP~Z0boVHVnBO=bj+F)i ziyh`}=s$I^?cXcT-;Tby7`L05`4@CA_UdmL=P#P=e|7xHJdfFBl(92w&WcJ>x8@w2 z@4Ts@^3X_+3EMdO`RMO2^c%6?cN?_~?YWx1D^&-eju_PM8StOlp7d=?QS6XuMDWu9 z&r@;2oetYxX^tF>xkj-uO?sg>Z;O0moI&Xu?#IK|MkPx&540Em2r}Pgz!K#z?3Z<| z!`XssXV+i*AiJ?*wDCj8k!>4(Yk$)Ey!f?I%5q(X**?<<^SF(3r-{>@>u!Fxwy~pq zaP9A>ygA@H@uoSL;ni5R_5DiLWbpmiD$Yf_15a&}9<K3XN#-2TE}i$6v6GK^dez$I z6xb$ZB6bS;ZJzq#hCJ1$6IXne+&!PXs?B2hVe1+1+$jBW$-k?(M#NhkF>^?vBX5Qa zh!LmklAoM-WAEoOv*6gjs~SD_g^&{uKDJ+%^6HOWFJ70L9Xhw!<kYtxh1tXfuHo4C zpIyn35zR}C`(#lM?#<8me1ZLOP8^VX5S)1H{Nk9eR&%hSGGG31k>Ac@jjX*S`^aP0 zj(=Wmp2v>ZG(0|Mm%;pP)S9j3SIaq}h1{GV?bkPHSu6UT#}ZG{3|5Za2|YGPP@!u} z3jOEBnazK%yj1?v4&Lne_v^KL?WQ+~MEaN4tYu9M=$`8jH;wu4(jC_~ZKVrnk%87# zF%>)6{yrJU*6h8o+<$IAc<5nUmd@u@3CE{bPu6<AzRPJei^)=Z9rypf##k~qwCVBP z#^i;%g;wU9FD-W3IxocU;KDh&nG1bQ4GJ@P-e>8LU2kvR)~}avzTRhW5$M0+xcmw~ z)wFK#Th{C|--<3p1k^hnydt{C{l5G0pr4Ws%_YLuj`M@YeJ}L;6F$OVikO#1VJ&i6 zAawqEbK{1l$XBL|&hE8~YKi(1vKmc25R&I_`K(TK9}(}a|I+Ms_oL#jb(7@rP34|% z*PwsF$L}wdy<u-K=sfxdH7eWyU}%|4J_%D^QEhAgyzU6llY1`6=}OwtZ|AN!e7>9( zb6HS$7Is7y4xPIIw*^y(srxR;u8f?>V+~hiT-(a`s1Seq^=ZSfS3&f}94hGxW5Aa@ zeYX8&zExUhyzy7h@5f>n*<uCo`#plU{%v&N&VKYf=AkwhoM-eqQL?EWw_A?p_<LUh zsAqD%oKDkRk`7z4qD5C#$4E_UZ~AooZLs1@Y0KAb+0%V(zI}N?*Oy!SR`|DUXYQC< zYI?^h-mAXZ<1P5JM?T+JqCH)dnQxV@9I49p>D;Q&SWLWmwxLE4EPI(({d!P!%gjf% zDz9yM%#eI2GIUCJ$J(fKeu@+M-_24F7Y`&C2S)#hsJW)SVq`1++t}Gs`O_)L<9cXO z=9(4tktU03@7$=3%ycaN-SlS57t_b@zY<j8{mb)fh8GOqKfI!~PI^zVgcEq%YX3L4 zlue>n1%U;XJ-Rnu_q!Rdxsq1xQIZ{){%5Mm;t|{F>QglvEc}MOmu-G&qBrAx)3~ug z)sfTTx+IITZb|CT!~%g`RGQ!L@bjuYl=5?d18;6exdL$Bf|cf0NN@1Ul4bo1-qCaZ zxEE+&a`5s+y-iQUOBUV8j{FhwOTMjpQKDAzng_h=3zvRE-VJLx3!`g|jUKmsYraMM zRcT$@VEDURu|I9j<ZNC-&q;OW_#TeKNNGw^$TiXO%?tKTgTLRdBR~8eZES_k{kZ(_ zP}IE-C3+vvOs@|8DDmzXJL<Ld-{^741&kjW@Ur%18{yYVzdu$x%O0A!p7p!8b<g$V zG}edtU$d;gt{ga>O0-Pb<leOAqIu`C%cn|Kv3~t)JaYXa5Rsg_(L6z0<+xyN5=WAl zZeVoG&iH4<=B69ZemkF>U{IQMa7~p&<of>I<Glm)lM3JA{+9i#LvATn{a&}>Fq?3H zz^KK&z;L^w(skP_uh!?SPx-fRMCdyFp4_x#_LAt~;Xd0gk!-8mH9P<RN70*yHFb8~ z!ww8W0FfaAVV*>iSOgNph@uFH5s@h*MEj5#OyY#bYHBMoh(vHeQXxVWg{n#5+$RG_ zuy2`#FepQsL1~Kxt0ewfta+bG+xO%9_gv?Xb>G)@uCw>rYwdNQH!*PUU*v#4{Aag@ zzcxj&B5R^kM~UA#{qu5#{h6C%FCF;n)21g^iEFz(Z@fM?_v@D54k3)DLqXN|3w=X2 zPdWW;e0Wj5TmLhAff=#YIcjNU)AJ9Tn`_tazYyE+@0~gFAp9JI94t)^ZU5cf9d`bC z`(tqb{DHvK|2w+$YqN`_rem0>82$&`gB{P*Tu5H{yP)~fY+2&!waw0f$8|2zXZFsu zea76J<sWW{7?>jV#)b}jzUF}aqZ>i;jr+U5lYZgfF4-?ReWdT;>pic>+2;xOW->Vk zC#7pI-&Oh)Kz1odk$+tZbDXq!9UzW>M049y_jA+L?UP?L*8erwU$Ql^`@xt^*quv1 z$5wugq(2RQ;xN3rIXvK>?Y$3do}@DO@BNl+N7}q4xhA6LMAi>(Om-6foo({=mXI*d zs*ZD6U-%O1J)C#e=Kg(TLx8WAi}a(&g1>BGh23_X@lbh(AUFT$7xsVs3lC7ri<28} zLI1Dn^vRtkG5>2*c)#L{e{CE7PhNX9C=59hyY{{Q@>-9B&m$9yWB%`ub=L~jIODvX z>Ff$WsQjw$sCKgjFT481f9>xqrjXlT-CZ^L|G&Yq%Z)94nNa?!q|%hRolNta^%9@= zYVkk%%eETUf|&H){fzrIcU+`8yoh^Uy->)#s$S^8B~z1>xbNlOMxT3f2V=vmZWZ78 zI9EWuZ)$j{`&qr9#;N6h8GOE#KjNoP=$@-9I9xV0#MICzzruIsaX+WtSK#8Qr3ze| zyof(Gri0XjY%Ym<-`Fs%o8~!>>F%l*l(-mbhyu5j$}l!e>VDv*SIKt++$Qw`i+fnz z%HopMgZ;W&dLK7x44u1|mtHBS7<}sFs{w8^wG_r}qB3Ay7&QsT1*ix6bf@(`E>s1L z8_P?tkoQ2ijq1T(U5?(zks3qehVs(O<RuVpy?U@m7pwQNqbdrx9=!BRE%9({in#UJ zq${N%h#0~wx`c7Q-trfS-6?Jzn*5#I;77dAC_064u4#D!V)5eE!O7?325({rqlk`i zzS?pQ#BLF{4opJi22bLBdeKd>T~Seuc(AbOD`K`XcJIp0@P>OW8}b|Ow!FnUKcD<v z%<hQoY8l2lA1gXWWKbKvZTW-JV4VCD<IFGmLd;gj!Yu|$gMRV{@q#LLJF!*~yN&od zzd=`}3OHs>;_m9SCY6QVfI{6*Tl=`Xey}DTPzSJ=OE|lpXD!lH{=8$lByK|IX<Z*T z;Rjt3FTpIcH=MscMqVGSOS<}TRnoJsyt0_PybSA8#i6T9!-}9#7xAw>HxoN4KDtRg zX6$HD4zV`hr=evxcC4s~Elyz<(Zz$x*p`+ON`nWnlwNcYGp3#F#H4E{Ax!m^mdzkG zRLt(5tRy$M5*2W4BylahC`)X|Dmo}0WESlgS1^lqVyZ8<yoF+Y#q7SxLUMx>v6NP{ z1yfz#@(7Cc5VLzH_mLac5!cd+{4v#~Ek#hQlbGEz89{Ea5p9PfLPU{pM6k#QjtCMt z!V!U@Paq;d^bSP$i;N(`Pc#A|d_`R#0wsD3B78&*Ai`Tz1tPpe=Rw3q(NPeA6y<{m zPtpE-kICj5Bun}5r{*eTvNGbQ<_ct(^5Na&k4Rf(#B8%bG>MJuh<Mq2Oax=!aWZ#` z9%CPKGL^}HV2-LI49x<hC)I<O*`E9s6UoWkCOU<Eta>O(21u6T;Y@RmXg~HbJM&?3 zBNC^Gs81fij3^&YHWwi4=$R47GxW^QM5ib@+GaA6Mb8XFCet%RkYV)9AfyV8s7o#( z=ZrKTLY{#mYLn|QRm{u)<UB3Y4>>@~L?K&fncm29TINP1i<aq$Or~YJBg1H!8<4iN z%=O6mf=p-RKtUz~*;0_{U_H&uNU`QHGxl0znHhVm9?XnH>z|B_oz`ha#ty5Jk+I!+ zi;=O-dYX}ex8^W1Vy&@^jA*L|BO}WCCp}|}b()@mu`1~qk=9$vO%D?MEW?Ev|C8Wp zxl)+%eS)(ktuSM^@SVk>Frz!cVtruBK9<p$@XE?GO-(d?Y0WWZPc#(@8!Tz+?-~;Z ztTv`8ZpL=uh{1il>9Fvq<t;lymS8X!sW#0tWeFb}+{c;@3AbBTMk8B=(UwQbO}7(T z^zKy&jru8V(_!m0yh)r;ug|`ca6>;;nQ%p)U6~*^r?D~ub!)GGbY&*2qpVM=lG%qW z{_^areNcdh`LgYDNzYe>P=Ifue*K1nn}vG}Q^QRMtU2(en+X^6wU-ml=-n?T@b$G7 z2`s&PMZyt%ZFxej-n~2_U0++4kgRtvOGwbymL}lz?xhJ>eeI=$FnxUpfx+ZdnVoJl zdc!e$1mVxdR~hO92(KBO^Jb@OjRp|2T@XGr{<FRwMPM*EN6k*vjrAZVS`a=s{)4`L zBjGijlW!iaYRm*NX@pW`Oe%q)j7cUWDPz8G^fr3mYjiNy&yKIMI34E*2=`6(FUNlt zEU07Djei-uzioVEIWRH)Tu{N`un8fi`o_j97AGF(bHaT^Ogy1f5!2RKWEmYBhXjLc z4vBEzSU){JZFU+PzbjZ!#>5cZ6)~-in=MYG;}7)p`c^ZqikHon^eQ$hjjjLXjZC!a z@$>4<o$13Ce0j&_4al-G+pV<Shsds;$g*-D37wIBSaM0RIleu;gg;W_FPURxf5mgW zp6&o|-h*d7Yjur>1Klv|Y!URHO9fD%4`!V`Vy@?s9z^Vj!c;mT_ViuSLxI~cl`e?h zzDxf-1P2~K_77i5q_}QItm(gWh~kPy%ni2wtiR<eX%5*pEWX2Y*k2t6KxmxU=5kG# z0fg=lKN!0C-&JtvZt>n{H=QVM!72FU{?@B{4>!qN0nwl5P=>v7h(^TlhRd-%P?)!R zZ=aZ_cXOdm{?aGD{#^ZlLp(~IQ-tlJ(oHu_;)lH95pkK`Z9}N~LBII6-c5`xI%l}) zPQ64Y*6^-e!cv|W5VNSw#+x%@{YzdNmw1?ZNf8!LZB~S}V@vpHJfc9oR~@EE4$8mP zoctHI>P53}7`Cb^nF1m($d-ZTPV%jdTan3w&7))wA5j(~lZP3p_7ycVGS6eGt|kAq zftgu`8M&Su1V^MG=byEP>OE{Fa6z^gZ+~g}NoezWyzQm3^m=Hs2Y#NNoiE9vWn*|F z<>@_lY1w$5<K^_X&}M)9d|&JJ+q{wC*1Np@Bdrg4BUM+@X@*<k^po*ma|AwYp!Emd z{-W#y61Nz|=I_#X^Bu=pr+NF2X6N7~y_49>FHikYR4KP3+dFN;_d9QJB<;QAe3F^u zWpGW#+uU&8%1m1K77E^W^*R(1Y+kpHFjq2Cu?hrb?VTp=ov6AiU)SWhmAWC?H4(Y@ zx@{11w-d#6FLLh<TN-n>FQv{#+I+F+aV*)>Ub<9}8z|dfmU{z=bkCAD=db@vmsFk$ zpva9R+rGz4vZu2YrsZz?f?T&=x(AL7lhWbHP0~wn<mN~z3`Yi$=7%2tDcjHQe|()> z=O(4obIGIuR_^Dd`Toau$#ovmHHyfV+^xg1k<rI@NVclT*u{GT=L<m0Ccy%Wb6C(i zz|JL0PbnhhxhLh0<BxxpRk`qT=~7q`3Fp?!9VZ??KZUm$uQ*Zt+*0|n<_C+pQeSh? zQu$-ebHj#s0^MF2{uJks?|u*Go$vmi7Y%>w`U}%*bT&olH+6dl8f4_!sfN3{GIsh= zU8qVC8r>9j51Te|b6VU#qz>%}>%pcSOFK=3skO$2|LS$Ws>7e)URWGT6N;csF4kXr zniBQ3>x99yjBvAqEkbyTmJwx6t4MeOZ9;7~U%8B<fpDy@f1sh8>@LC4<ilePN?m`Q zB78e{Dc@b+(63{1)Zsh0d#JTdxXo~Qitg8EO`&>sTOnMKMi=j8r?JJqDnlPm-+U-$ zszUL^-pG=z{41m4QQmM7@eq!<r?>wmv?95=*@+oORE4F1&?sWop8T5+!ZJZ9T3l8x z3Ol#*OdL9R^V!{%?~*vV64i0@XH42SH?4r^Ms;(m4U4C^-3m*ixVfT<ZuGRhnBmH> zU$73(Z~iF`Elex>lDJ11noL}xx`o`tZKk-_HhONLZh-1>nNT=FSJu-IOLn*CE)}E) z%F@alxO-^nk+Li0xF=A!SFCKf0{06P?x*YTtGW&a2g}y2L(lb8oq&RUWb5qE^xapk zV(URztop&ASpNeEi&yU*5}WmI{?thZ@k`$DHSB6QY`1#vGqIE5W-yh`B-Y5%E@6A* z4p(tEKzNL<Y_MUP?2h7|3K?qnja=);?Pa8&mt9#O$aQ0;m&t~&<AP4X;St=MaCo3@ zFDsp-3+->HB-d`>u3TILBumpabjpUc4R`i|@F;FpzWY=|KQHYV@iY}SxqW_|cuBpt zkXWJa&x`GYW5NZk{o~j5^&1Ewbj}|0=*aj(vr}bbn!)>4W4yt;wsEt;`)1=`P>jEz zwQu}{zTTN|pT>zcJ5@A3fntz?*52_$`g#XK2#phLb}DN;2gSGuT6@N$^!2uc`vn{~ z+31bBt#EWQsp8p)6U93S?apLfl#O1i`vXKLkSc~o%w%srbS;B*LN;1m2S7BAR53U* zO7`|fyE9k?vQbgpNf3=CRSb;C$ljjlT4nS;bg?oz1^t0q-&_}z@BPz=ll<!Q5gYk| z<E)FMijHW_%4+`u$5<!O#Z+(8$ltQ76C=~4Rt~EW-KU6F)ZLJej*s+{?9|cibuTFO zcj|g5-an2gNegTi8O=~cOY1V_2ad9ik}BA&L+IlC`ue&X6z{2#TO>O+D@*27RTmGU zKSRHUqp|2dI69ov+COrgT)zPwLTBxfjgE{wlsQ$_rBS?Z)x}f1YwI>syl>Y11x5Rl zTKh&$kn5e%_i3zXnNvmG6DS%<YV934M6P#0htOETGN-b-b5OJkskLV$id=7tzF)v{ z<Bi^^-U@F`##cNWbTW7aq1>5_i@ecm)qjAk3HXYkL9^b=4^_)xoZyXCR|BvWhp!kM z9MyYyqud#c0^X>o`Xtzj#a9dr%Jg2Is9NRLeW+sP))dqS)9vQ!Afwk$gHHUb&j)Sz z2aYo?;ww6~YN}uG4;*8hKoy(3OoM;(u1*Y2<6Ak5LR6n(tD^b_e{_7XA8)7L+Ft#_ zaQjYmkHPE5K_z~H%^;%~imlS>O#XqRjHCDpHscVg*m%3X`i9~5?dr{Zr_sR&dM^)D zF`e-_evrk;$5*fz2Y3grR0n~rA^4R3!7}~ry6Q}Wmn*6k-Wq`_hPQ^Jvf-_vs1G#8 z4&H&w)xSVnQTUX;L8jiz2~|vEV0Z`0tM5Tu-SH{CgM0N}>rfvG7{0s%rPVZOD*~U= zGZ;#qx`FeiWZ%GTpiEuIIZ(2%<5p9quHpV7XJ5npL7u9?y(MSY;C>-bRpSig>}uQ# z^3+w_Fgg1wu7^A&!aX5pi*SHERfT&*&Yo<zt~;#^zmNMzm!k}C#{H#>RfgZg*~%Yv zgx|&ep;J<8kEK7s{h~Wft>vfh;x19L`RNJVX89FPx&k+>Qz}~Z4F%lu@-$9*Jhzup z%T1T#UdgVg!X-Gl?m>R`(R3WQnc{vl{X5*4ERCJMl^ZR0V5e`^mC@5T>HdVnzrm?w zS6J!cx*U3Xs4kYC9<1}Ar=z)96!%J8i_C$QzKI)6$sTUlr~8wZ?ys9(8M5n?v~(Zc zEn2#l?ldhOsmr0Id+1_m>25j?TDq(5&w_Lp-E={^lTKNX?x?#}KulFLnZ#uEUM4X~ z9m*u`R@*R%yVSojhzaU`29cnCz#zt}%NWEsHIqTasrNF7G3rnTajV*fLHtbpE1if{ z_tS}J^#eLFjk?D;`9geE{iiAGg}74vt7-DNxJ>P#Y?&2bRQH=Ej}g1D^Xf9wB%i3p z{=*yQ5LMWZyesOkChV9x)HFFRrc*ER->SkIvGY6!4zUfZQm?cIhs_RG6Rv<w(S_!; z>I48b#aV5Jnts*0`|Yqk7;5^jzBWJ@ZE|l)xMxY5XqvXJ{QWj{B=lI)j%Az<u$D11 z_FMaHSQ%MXoBk%5Iju5b!)|)Ue)E+p2{c2kIANt~*%V<79ccQ&Jp7_5(2_RU^iW^x zDO|(Irt_+<rq_ebu?Gdh-bG8=^QLu{;pa{NwU+(XvFT~LgVEz&y0_8eKQCJU#t#%` z*Whi7vTx#(ZAIxtVDlFI{6LeJp*BRcQjj#ql0EE2O9h#MnEhqRHxg-?k(jFT<R?&s z7jj+|0VQ9-R`D{~%H+3{TgK-9Vn!yK^~kWkisWCQh?SPCulY-|$Iax;@f43+$!Qc1 zS5Y$@ffl*Z`<t(mZ@G!+^h`3cg_U{OYV)kg#v9(W*ZSaDGlZOHXXdY@UU}S^Y`V>~ zGT9jig_n%(4GE7jEyG7Mc-Au2ra0lG(LHax={NH*H={u4W~yyWelm|t?ugJN|AKXV z-ux#rtgtZi%S}kzf#wHfkK4(cu~lj8Og3^}8Syar9_3a;a+(}DFxcOAOYi9xDZ?6g zMXInFaTfKl!EH=@h`OC$#3n`~dIv5Qf<Q8+(i5>~;L^Uw*vcl`-Bi!n$7WJ;N92>- z9{C7AmnU6Pz;xT8wwHQOlqQRjS7;u&dgKN`Zxz@Mwsq@0H)<y7d1Ukcqj^UK<?Ose znp4KQ`Xe_Cb+?afwd^m-D-eVYwB6O$xoehS^cKw|jK*l1>3KH=wnce0f`P)kuQXXo z^j^)JsqWs94aT~=N8VZ-pSS%kV0ECojtpBIkL4ZH&`ouLnl;S4ugq1~j=Tlv9Rk)+ z+i&_hUuu=%W)ZOk+r!&DAU?!<+b{lH{VUue#sc1Ozj#0IO20UTm)0-d!z*GDBdKdZ z%Ps6Z-diRyoEm9x8y4^64flz+^RD!X@w~J?aWv1NPrQXU+$)acUFj8v^U`|7!90gv zu|IFPN9@D9(j!Ll(t5;hn9&>MTj8i=WW}>yCyG}P!ktOGh#9?B{s)LkKvoR(n#o>% zh*}2i1ZK3l9DpbsvSP4zl<eh=aA(j8Fr%XKlOPI<tQhE(k-a<-waTb{h+<__3gQFx zc5``9zSmE^PS~r@du^}>j?*q8D>|Yy<u9-Yj?qpaim6_v-oG(dCwixmtsGh*qE8W} zD8GRn9q;W&+Nq=3%U@7#-zo2*c>UO`L@uytWCTMIB`wdy9ym%nimYJM4y}eapxpuN zil$QPM@AB57#1r6O`y~dkL)L<!0>wX2MBgSzlLCE^b`a;q5B{hfmT4UBl<oBJD_VJ zcpbVFg6+}85NwBLK(H-38-i^##muu;%?EG13W5nqg4Ad4Z47>anh%V#Uz!hId-V$- z5Co}1?|;?%`D%(8XL;s>)vxXWLX04F@O{7D&r9=xe)h2Wpy(A15YU3uf%l;nm&y0O zmYNsu{}y~#c(zh7)ImtngeVESHB%<PyRUw+j2}CDT2pKCd-?vQU`S2arFpF&s9wD_ z_!-~-ZXV~IWoi@(0(ezo8RwooE!f04dqOazBFJAoG5G1;>&@dw&*o@qjg1Yj?iu{1 z-`^2%*k_r7P3*G=G}%VK+pp39Awuw`|9!W)M*CiAcG14St#5SGB+<{Nm}@FuIjrE+ z+=mH)f=#TmhXik!XAcOzW1ih7c+>a(3w@)DrjK?OZ?36$H4G8F1aErZll6^`nk3rU zaC1%Bt1A$}Rq&?g{Wg80ou;qgEYe(a=~Ws8LQpX<2u6j#V5Q`MK_F@^3<6Le0Psh> z2EY$B1%NNA4*(QO0e}zcJ^<dRS^&IIr2uS16$5}oF#zyHWrLOAtRTL*kdcB~=F18h zdr=?wyh6qv6v9Y8&PYVP=JPtXz8XA*>f@W$TTO#s;TIIZICvhPV)B|8WTR^NJPrd? z_u#F@+v9_$@D+-!KMv-jGzKp&1COHe52(QO;8A>vV(awaL6pRBdvuVFXB){yj3^Wl zZ1q490Qjc*2~WmiU{EmtxS>J-*nn~ez!gO`+#VTB<Y8Eh2o%9^dw6g^J_QErQ6C`S zf_e=BXVeq~oKSrbK%f*5a75jQfCH))0_#wv5U@uTL%<HjfPgJ38v-^60vr{BK*LeN z2oxL@gh0Sifrw=g6@XX-QT_-Mi1I^dL6k2-1ENp}DTwkxNI;Y~LIk3`5JC{O5y1sf zNCXu`c_N7UUX#5wNVXFCskaK5qKx{fw*ncigzlDqMA|8%W_tyQDJ-TV>SgaSgaT{k z&~_rESQ&?=T#4x8siO?N0wj{^#iO;Czr|oUv~7rDtV{(-$^nwCfM$Ag5JaquO^Zb& zVa-aYzI+&iVbfa6>yUN|$ODlCAa{h2a$DP*j~s-f+!1IH<%U3ks0|1Nfa=O0VVwGV zvyc@qR9jw;kuhnZh-D~hJz^1xazU7&C})HgigH3|peO`F3Pm|0Bv6zCLIg#vLkOWL zdjuDXvO`dzC|d*(Y7beChTDUegW>j|WjDAzaCr^f9<aOw+WnX3K)c`aBxv_t?gj0r zWf-*kEH{I8@8z4I-D~+0Xy3Se3bZ4a>7d<nISaHuxDaGnEc{Q(@+XV5@IQN(mn_`E z|Lj?IH0B-uPvY{Nh1>DjtM5-O_gWU!pP9b@$~v!TH-3NKnrzxQ@jZL_riIJ-54g}{ z{b>AV{QFbZa>ZvqexJYGW!T954}Lk{LR7U+e}B}PtoUsD`-963hHpl{r(0RZyrTa^ zE$;`Pc`R=S?cZE@VwST0gISIS?QYA#pnb!#8)$c3&NqBB@_pj}pJW!Xyxs84@b~+z z$#DDn<t3=yWqA&2cV3=^+MSkrp?1VF47EEhH$&|X%QvC+b<3BacKhX1P`lkS9cs5- z&Vt%)q{YlLS7irp%ml&PlSrx0-q}$60;L}qXTFpjyf*U-*iIm&4!!%8?B^>jW}M;4 z4pz_H1KVRrse|wO$$nna5A-vKWd}twG_V~_N*#C?DtDQD=PR#y@$PTZcZFvvNkbjm zlcXWa?YpH@RKL43zsSdrojEP7rTV>m_mVWE-o8uvTCrU<^OoXgeD}L-oOgyPRVcQD znG*Rp_snV1CeE1?q#@OI`OFiFpYEMrHh%O>j<hzvv0>&O#c%rE9TJCqhDqAQK65~t zo$q&hCJk(lAie2-*Db5jzEjFvwC`?{8{MQy^fM{4n#vi66`a!h@b*B`Cf1olq&Lhn z2T0#B&+H?;>3jDDxzR=1M>~U;)l|$3L)*PbZ+hR6$&HTEB-)v9Sxwo@6==IF=}phO zZRAEfX<xxgBxKE{nKURq6+iTB!NcGiEQw(jm+=l>A6pH_@4^oaE&QqX^_M6Z#iw}( zYsPv&d>npgaA8{S>m!L_6f=1Tua1>~_|Nb|0}D#MFH)kQ7w7N}PA&xU$6qXX@yCxB zSK%)g7Jn&;QO56)xGUqIj;%KO&MuhosU7i8#(MbU{9>NugCc&XB-?bSY3v@~Wny6z zpQ?^0NZd`n`h{+MDyR4q-kwu@STbe2BN?OdYsMC2_*7N=qp?Q@--fZ>{PCh<Ha?YI zOveu?<6Fi`40k*vrS#&1ym9S9C-0zk0pitM8QTovL-Cycg-ZP$SBV0SkCd#X7iZz^ zS;YtOL(Jm+_{+@VoxGaMV{f5&Up%L8p-_LvNm5EH-omRXAA1DFd*C^}3;XnU)=AdV ziv4*trDH`<yc3?&vk;-bV<ReNp1g`Vcw-<4-j;++eKu!9@eLGxV4VCCbMV^0FJK!1 znL0H0E7{jqRLnTZ!yK$0xCgeyAX5kD`pLdtq7U?whcO3512nJ=jZ7Vw3&pxj&iP_% zUd;WC{I2k1C32`^TaqY5xox*-it2lJ;1}%pv6H7owN&4ib1#uY>TSD3uNB)=18*t5 z#<|}y<GhnhkwUQz43uEUxhGE}H*rp$Kn|(4$p@ZLe06hr%=pohIilM9I}HQ(D8AEk zcaR+RNhWd=`{V&pcE0cJfi$o!0{NzYt{YRMol|05v~#z~cicot^ph!=n#uu(6`Z2` z@U}qYCf3PA$T!TB2aw+}Pwqp$>6`n4e8)x9M>~nf)Km-%L)*NNZ+hp*<U5X{B-+Vv zOikIq6=<6)@=ed&Hu4=iQD4DHB&O!lKpNB*vKS4w1uq7}Z9$7}a9iNw8n`WBaS62f zFV2BBzr{(==DXMn+E9xyX!BWY25sJpH$j`%;w8|waq$#rLoU)mo9AK{XnSxbNWNHj zBxUiFTv~W!@8Xi2TX<y8qGNvE@gs?gb8>FSmRDV;7JKE3>Mf?OuXOW@He=U$T{3m! zL>GJUrku+;0?zd4KIVTj-gQb>uGsQpSN>ubWh3_pelcH8RJBca9n~c(woG>&Tx_6x zGulPhvGVhZjzlf)2U|QAw}ZBC&ODJxSw}F7(V)$3F&MOMSabtzu8aAUZ$`QjWoXus zh!xk=H^W`~b;)qs`o$%v&1G>8YI9zkgxZ`Id!aVOA`G=TE;d7L4vROTwsnh_pf>x( zQ&5}TA{}b8UCe^oY)so>Y=|im#s-^wU~G`d5yl3ZJ^^fi=^eoOn~VVKXBq)mUsD&r zqD+qg*2mNUu->LBfb}w+2iT3KqX3IE<pZpzX}=LUsjgA8l=7d{RqA9V_9u0PI!r0Q z%m1jhRbpq=f|b@3-GP0nK4yaXiyZ1s(__ArLsjzs;BnPhgIb{WG$DD^cK%x)nnT@Y zI>nc&<PttmvlQ|fb&hF2U&^M&n)dJ)mGXN2Fb~b9w({%LwhFn2X%CRQo6Z}=T6Mm9 z0LHqTA_3OT<O8r9OpZWa$A82_^sBSf<*>Y#U(b^=siCG%5O%%k9fWl;86m8*X#~PL znYth>!t@xzI+_|Ftb?fv!mcx&hp_ghqY&24ln-HTP5Ys^RO{fgSq}p$SQx`RUS>|e z{&Y1Qx63*>H2bF><u6n)j-NKC*F5b3adFnc!P#j&$_LF}A*@-)+2-o2Pp_<`X;~Kr zW;^u~q_B^EoMf)9dYWcLJ$M>#MBRV-mnHqhtgEH^`0)yBO5yQK*4B==L}8yv@@n=s zYj{Uo=hG*aYX0%Btb?56M}<?0IOWq{3=+faGb@{OoGx6uvN3-4H*@;<?9b+E?(uwK zu_8|P6c{8kvk$G|syLi5NfGz(X}o1@boQA!eRTG=wN)7xC9I_%KV%-e^7Nbm_07{F z14{gKD~JoTR`kza)uT2D-B)m0Q&`6n&FLevcg<tNv$xIZ!?V}TW0#-)0mb=QEBa=6 zdX%%UmUcYKJXZb`KyjYdir(1+dX$6EopwCXJXZSjBoyatt>~G>=ux)9+5$?dn8l<d zi<6m@Bykv%vRiD+r0f#UGbjn-0S1L2ZedX3#pMi2oS4O+;Ka!cN{l#+LD?#{Wl%m7 z&(kSb@c^BI7PrtTY1%#cx)<<O@kc7@1zahfr|O==WnxdIY!<#K9-!)uQMy?3;&Q5v zPf@e}!Du-Y73(8Lq{cL{#>8P%-8f9wUcxS_FpaEv41z;xW2wYv6c`=M6RYyYM=6h3 zGZ+z@@{rXi#wjrMtO1NxDVu}~#C3E^g!l}d@|pG&g`|baViuheCQhbPLd0QoN|0Cu zW9nEXWYP$HNPGsy)UxU@A|@q3JWr$ei3eyDl(>aP@fMfUC>zBr8pTtbOryAq!)TNZ zVp|$zy?DNW;w&C0pdiF81r!I}!ZV{6MH0+SV)83w)z?)HFg{VYFl78MS>n&_WAFvC z>KfHBz;Dwn3>x)hi4Ql4!Dq{=ud1#9e3Wj%w!&fOzT1W83{IP}ZqeDNyPK{bN4x&x zzgO?%hVLsIOQgl*JpZ9*?XY&}O85$^kmnwG_!&eCV+{7%UOh4ZodkHSu42F_BcnXI zwXwmgCyl=H>KDepb*+W`O5I=wK8YKm#P8-#QBikQzsSeNQ#$ZZR6X)BKA*?^puq3s zW>Y0is(W(h3FD|PMU5wL-Ki+Ov0InI;h)mkarlS1Q~45!iYBifGs<)+D*PkWBMPcP zwOc+`#AoYL*nGNfg(+=Ol~5!e+)_IKplnQQ?3ATzjgYMRifS{!hw9k<#!9lpm8*d9 zk=(U(ewNOT#XqPUWb*gxDwzD8vg*sKw-DY}$L=#0k|j>uQW}4Yth!wF2*P{l*uBPm zWXU@2S{mP9R$Zzpg78i{c8@WFEV0pShtVOLNEjWg@qy7n8b=r%sQCoY0h)IJ?XNKc zw4Y`KpnWx60FBZ-2528m1K6IH>9DoAzYQ7tg)1%3%@-<hW+tw(|Gj*DUbMiIkryTS zNYC3Mn4#xk1S)!7q@YfTesILkGE$iLKSw+*RfT!qA91$qFU;Gmd1rAf%<Dd45ww_c zkL7h9c_m<(W+vLc6y%z6C)x@%bA}mS9(=@Nj#Q)dZJ%o<4Y}iOCj|4xTy9>RhHe>Q z=Shz|64V)U$Jz=7<;Iz!yco?*L+)tX0ZpXEk)5|$P)^U=B=`uUzd52ZSF!TK1-bOR zPyvpf7cB6k=b<%O2G7bPEoMhn-X=}7A$PcKpWq`c&tEV@%kveeXn8(@I$EBW;0!Gf zDafVec?fVTXBK$U@>~TU3-Vk9GX;500#!ktqoA%JH<iR<<|dPpnYl@%FlO#<k}WfL z7ipf6n?M?1<Pu0NjNEuqIU_fY#A4*)NXd-c7*ZG`cPq)3k^32Go}P;(4bXGZq!xN^ znsiV8%!|iYNgt`XFCJHt=BYE!AD59lm5*m1UnC7sXO87|<<67JsWbdsb?!g15l*fu z_oJ*z9odvSMhc_Oj6bGJFUjAjA{%q(WsaQOwp<nIj3QF^m`76O*B#A$lshA<V&^{0 zZ6x6ok@dL)vJvIu$;SnxI(lvd=?p#hGwCTxuJ$pR#G>bhk&@}TAuHzP+#r$)j;zZq zA?J=fK14bLN7m-n$*P#S0i=0at{-WDmWv{_&~m*=<+R+5Bo-~#lax%$bti?<ayO7{ zX}Rl3^98xiq=AB51gWJU*8zWqnVo{qWoGZi<Cxid@Se=<MEpla_D=i^BYOv4#mL@{ zuVZ9y!=GVf<MFwS>{vXGksXcqWMoI-Khm?e;AiOB7`%#}9f_|~ZhnyN#~&%o{-1PD zepO-i_vz03{e{`PCGYr-h1uQd7JQ2-=U8@U`YSxkG(FM!B|g`bGtpWonKMlDvf*?K zFH*f(-}<>^(vUOWdICRh%;9FoN$C6$cD6MA5x<GuB#&FE>sXWyeTv8gfQk4@e^U zj_mBs_;PyoCj3Wu^Ec@#UKJ}l9G^?i4#nf>*}-^EdNx{;W$>s>Z{azzvNuVh4LQTD z`|uxW+5Y$$TDC7<Ma%ZV*U_@Q@Mmb*NPI3W+XIiIWxL@$Y1yv$j|JH-_?d!iC%md4 z+Yw(^z^&m`-;i&HaeLM5XS#KUhCu3CCig2|^)>lhfZL&F59xl>H~3OZ8Qf#M>T3BT zfQwbL2X)W%4PMl>4DRQ=YLUDM;4o_TfL&q|a^JHxwv@m?#Gj0kFENL%+0KDP0&?Hb znt5`dFXA+#goim)ZQBeIW03m>*9?#Yy%2xWOAccWiEQa05sln8uqF)aI=RLVd;P_l ze~=FgODd7iIuer*p~}SFh-qrzUE4YA^J68a5x1y;FW0<6K2s;|Lj0;oRN20x1RB@; zfqBj=VIq`@L|}Uf`<z>H8X3VUIe~npN|f7nQ37>q44CIfOL7pm^6xg-Hd6wp*EAvv z*(FS51iRz_A}2raw(Wk97=iq)f6Y_Ob?q7z##Otfo_yC0v6o(wg1KI4>$rjw@c>Q? zL`JYm4k3SImK;DnWR~nh{?@nV9Qm#bqMufR$6T+l9f1<PkiYe=p^)!7BKFcs!ZFv& zY^$I|SLAO!YvRdw?GXJ1B}mNmOSb!=?htJZ+#Re9fxCmW?r?XYb}if;p#1>4{k5+_ zx1V+jbo*-iKsQRO0Np;?`=HxfTMN3qw56bXqqZ1yBee|B?WxTM-4Eu2u>Y^{T#9xX z+g^BXul57>c;UG{T15Wm$Im5dUt^DV?0B_wO52D1f9f5krLV+q6y3(9^Ws#h|HKko zTZ=u;IS1x@#Q&S$G`@67d|9#M$EAF&hT_jXhu2cE8LIB-rK93h#g6HvgIWotX>^G$ z=H!1~bS_Fu1Uo#m1knA>{1Z$&>l{WK1G?R`A)tGM)*W=aYN?c_k)=e;XRLD(S^}kM zcxk^l74BZI{Qz~lXkSC!&e|!c+ezC8btAM2XvN!mAL@3{)<WIuw53qDy|x(Yw$n18 zZd+|O)NP|7z=RMD8YTp5P%t4#gIM7yHOqhypjiY2e~k$c{4`oX@YQGl0i}@wf{#W5 z2;LeIAb4qnfUr@+1q7sq3J9JWqS0^ieT{&l)co|mN|34~{Pey;u;Svo`|6{>UP+jJ zFVIX`wsa6)zCWf>Sl)Ba?$k&vZJe{pSAUp~sR@Sn0>MU;AMfl+$clLj=j=93v87F= zk-P!|jzTl@K1V~ew6V{|YLYDPm74lj!{#mQv#qb{1ojGzhb9SV+%-Z&qxOBiU<f9- zYtVq;ra=M11`Pse>RvrEyY#=$5?qEgwXf>UZOpTwnq`QvUb6@hTr?(#;H=R?1SgFK zA|NzUh~TJ^Km-Sk2qLW02qA*Kh6@qwG*pOSt06*NA<`JQD_9x=cLhn^;jTdGTDU7f z`T=zLOJ9R7Kj{?c@|E_1E|gROx_qSfL6^6*7Ib+@OF`F0X))+RN*SQbQ<@FB9?S&E z-xr=qkuJ;I3eW77evlt4JhMlN$Uk!YOrrF){8-2KSMN?q`{eJ{+fDDjBE3;`8Q+~J zrBeMS-m#^%@?)GcV5W!kzxj>h?@o~}E4KgmE?=sl_;JtRrBwMrRoC>pqoh>D_UU&A zr4mZx=sP-zlYgY>Oq7%ewtGkkpzE8NC$cuy8H_Xrbh$}GK-UJTJLqziQYnoi?-FHO zSZ5-n1WM!ZyZxk8xNE)i1JvareGPRvOQ)bNCutwlg^((sE=TEosLMfG3w5oNmO@?j z(qgE~PRf9~Y^B*ymyLt~$A?JJaD1=?1;+<T5O92;WEsQ<NESi7zr+OM{Ullt?<>)O zc$7p6;(a6%5brG!fp{;85X5hka6vp$LIv@j5~9&}a-jy#Q9k);p$ea>jQ?q&0>4T5 z<nGu<yuC7hc0nMS;&17Qf4Oi>qTs*h6z`Ns`E8tH<=7v*W9oRrf&jnK<jX5=AA8H& z!YSS+DdxASo=C<3o}+j&vydYp^4r+Ov63YId*ze*v0>g8c5&-i9o}B?#6yw<p14bd zhCAAYeEbj`?=C@ucsB_O#BY!wz>~VMM?9DQg)IDK_(|<pJ+F;f94c9c;@3+Sp?DXG z35s`?Xjd2-i3W;CNTg7_qeKG5J4i%O{5pvcino_=p?Et96^gf&5TVWxQ4HJ}EDC`; zgGBCdXP{^;+!-MH06P6euR*7uXbN=tiuyn&N~8dtKBD`e(_2&vI=w`tpmU?B7<3{< z4AAK*$_AYe27<8f3s0tqma%PxC-;gzV2>4^+#^EdA3lCEQS=&ntYh1&xl^J(?0fY# z)7)3cH;PW<+<9aw)puf!Evm&H<D3KoJ;?vfzcW5}3VB(v?Z>%%k%r>SJ&6}lu?JP1 z({o3WsfumWa|cBd%AL_UI+Bxrxaee*hzPcMhzOwbn}H{oHr7duC<b)8i9$f<29Z1H zbQMu4cShzCF<V$CBSZwso#DCt$W*v<z32nf=^}a!bvlctpiU=IAJmBuDWFbA(S4}X zK~xKMt`n6)o%W()sMAiwfI4kO*-)pAkO0Sp2+?p{un+~u1ql&wT%d3n#03Z!L7czP z1mgUJS`g<e)POjYPzvIFgc1<vEfj$`FQE{`Z4`1r98yRHah^h=5j8nmV`VElewwYa zrYPfnnys*gD?9E!{b;pQ#?8(Ogi{u5N8HQVV?u?+!a2TED7DBr$CXe2F!R-MhFO6X zX+rUiw?BPr#&V8t6Bb+Kst(ChU}Y;hW@dAQM2nn#JXV-wu_!z0pAMU`?BlIZ>#TN) z4i8}x=x`Sb4HE5azI70ea~GmPoSP5@;x-5oprh{TBeQe=Y?ieG?x=lQZ<aHUhYFXW zxb?zCD9%M_T4{)d+Leu0LJbs$5K5sqN1+6Ya}bK4xOGAy6lX8wLUDFNDimicBtq&C zZVapr=7zxPAg(*C4&<(d)dAcOK<&?c4b*<zDWLY{_5n4Ds{m>r?tP&4=GFqW7q=9s zH*$-C8p&k<wI??ls2`|;<d#By3U^s9FXZp#evtDE`FpsCeClz2BKNhN-+_N+JjLyk zThw@y@hjbeLTxmj*QHQV6Gk?-R?g?}fvQJm&6kWDPw6TY_#ch=Tnz=q<>R?jdHRaD zz<5-bqQFlZ4{{|G$*7U8W9L(g_)%OUz<Y2BK>dyCiA>JoW4JLu?ZyoO>J40Xpmya_ zDUuOmq72L8M{o%g$*^(1E(KPv=YD|HF5K6U+L=2AshzlekQ%{NKx#+seMs%Vt%cO< zxTTQVo?8s5?YIm`ZOhGu)Hch-%&)JSGj9A71n)|+rat@E#t;y={DJZHm*$LX|NH`W z5v-|0|Ng2E@Leuue9bdwRR41i?256b4*uJ(5Aa(4K>zx%IYaah4eUZ&QwRPHwXC1~ z*Vl6G#lL@BzbpK@(mK?!D``1Ixoh|GlquluKfhQej(vT4xz-f$^52)%A@#0Z%dZu? zRR6p+1Q`GQ-8{kjnz^h{>;nIkSSGk%pSEt|e0{<?q}nC_=ZPUe_pjbOarEn)<yzyn z4gcIT1Wf;X$I4-U&9rV}e|=y%+Zb^BpER&5!uqEF-){3Y?Y~O%dhNfr_20TJC(*x7 zF<-0v$6*EM@_l$$pmh`L>qFKz%&!kvzhi#A&-$kC-!Jsvx-9q6zQ&ucRs1sy?eem| z>HU|i|JHFiiS~84`C8dOSD;<4);B%>Zqt8jx7=6oHPU?T(m!d?&Q#sdvritBfZ)X# z=7lm@#`TY@;hnp5LqnhbBnS8}Di{||%Q9*{_JEynx}m{O)8qi3#Tdo~rYz&?#}csf zGu_a@CnY%mxu~FD$dP4CehQRNy!hlLpE!P@N_V;N!k3FN%AI=_-IY6^eq5a&F#E}@ zOYPYC<YSL~f`5Ux_(8FA=VCVX+oq5A<m)Fsjp|a>I|+;K)BydbZe1$p!YQ3S=fdH| zsr+vxA8GPyW1nQYRMpN$A0JTy8b0opPZV8X>r&Yl=(-{0&X$iQly5y2OX(L5$|kg* zI%OH!Pmt`|m5-aj&QKkv|5GLTTh~PeyfbofE&W24&YpGQpl*nHVZZJ&^TJNqwaXvh zLOXqRoW4(m<Zqo8OKBIj$gY)td<5<E&~bV{?IVA?ZgDN`g1_uq>Bk~yr<0D;^C^P- ztqqj`<3gxt7#B=M!MGqQ0>%YWmjNz-x(IOoR1?7YQMCZ)OVt1ziYf&-AF2f4ys099 z^P&m?ZX=Zoa7Zc@;5?~BqtB$SM$J~Le$rK`Q<S)$bQS7wrRuKyquNf1o7D-ZQ+#X( z?xpS+Rl&D#xI3v*zMR8V%KzZ;)i{GrphlW}c-(gRTOO9f-9|0u%T+3g9H`j})r>BO zO61Gg+*oQ7-=b92%ZGVbHn&w?r?yk5Jg7-P<xUkE8nn86^&pIMr=kJQjfw)e4O9eB z)yW_6ocncI>Izs@E3fCtncPt7@`_o9x(MN1s3r*KOw~d-C#nX*A*fOa=SY=6I0vc- z!mXnUA)Gyx3*qdjR0wBFB|-_Q>Y-;=4}*WODTXO5<7Hg8tcDYIsfUKFf9n1HO$vta zG%usZ(gPCW)I)>TX}!OXDTX0r@-nVkN<hMA>Y)LvQtyv6Dd@r+UdE&~kU#Ol>cyWp zF04{tE);%gicu!)F}W)fo?2EL{b#Lab!tb#6H5<&f-mHmJ}44)nzBtzO_qE7^%K@n zb*egnU~)J4>#g1DRF3eJ+MXjkY??AQNh~z}wK1zqovKQBWO-!pZ?Np<PZSB+>QuIn zt{ze*v{*_EO&+FFy6_-xLTl~hWoWGs@7fj1W{?o7=JZ=D^-ZoO1)LCRT1yvZsqI<9 zgX$rsaKHL8Q@E3N?Xu-9l;Er8^jQn_O-`m#ns5v6TDj#Bl;EM}^ji1no7S1u(uDrJ zYo(SVD8Wh1>9I!Wn{0>#I5vcchGT<?C^$BVh=5}QiOV21fVc=^{fQ<J>qpdrSYM(B z#G;5&5bHyffLL#$2*i33g&=k#kqcsxL@J2&Bogy|CMRpeY^Cz2$trP*GWMs*3URnn zdAH@G*iIQcJ1HPeVX+;tFIV(v3ao`ww38^s$~i^KmOn6jb*y1hAVyMsct!0kZ!uU- z(Kcc+R<2S?T7a0XP|i%|5Q$hhyC{~JgtaJ@^)15~EW4<+rA}<8P<jxPfYO~Pq%>$J z^TmU3tUD16V%>-+5W9hh0Lr?SM;Pb+$t-aNtgLOR$H<vQp~Ph<c0F+sigh8Hpjc<3 zcEyWC)IhNaq7;gCBub!I2cigyT}Ko`vGznR6l+JMLb0|)BD5n_JoIeIgW?~ojbWZE z!(?2aUk&frB_0}D`jhPMuT?P4oyKI;%=dsDapIxDrD?Lik2Z#Jj)}>*I$r{Id?p?m zSW=Sxky-`)Tn;8<aw!ly@nXpfJ8}G6mH2Yuxi7Ub${l;O?#dlc=U3<Z&n}t8sU16> z%=cg?_~&@q4~iW-wb|6Brulo=^%F~@;#Bnxg4UhtuV3mGr*h7n65Dgm9oA0eH%aDc z*lS};GI6SE$D{d26#s_#-Pnnub8K-c`y5?7q}<UmUqWf}(3aBA9mGs%mpU;S+9e2c z?aKUSup?B=>0hcOH@RvR@Qz6BTKc&xu|4bDLGcjt+<x(8=DD4iYnSKWLOXoLoW7+( za+8y`ly+_l=34puBWQ<*nA5wokKD9QyOws&A9JmAz6jdkB<A!iMUb0p2n0ALgn))) zf(a-%CWwH5V*&}wASQsY2x9yRCJ^IC(1I9Wf(FE(2vQK^Ly&+NZ-NNKcoBpkW+Q<M zVvqzXi18#4joy>vH3GI$@zZ#fAVnGT(|Cm-T&cL*_)%b|jF}x55T-1cj+mF@#|R3G znZwyhkXmFMj<WF&Gfy337#9eTCU4$K3g%lghQrxLD7MH{3P~dnuoa4#@f-rtB4cx6 z2}u^SQc>SHY{sxTt&Md8JB7l7kOUO&1fii`JDx8Xgk#(ZXb|H@K!KPI1O!mjH9j&s z^^a!>DquxzW4&3%<b)EIp_uiA#T6kp!34!P6SPo_6F~#TAP7<@#*rX_VjKt}C}tf& z2*ubFxKNB8feOXg5{M8Sf{uaVU~~u!ujssCI1s%Sh6B(a0PK%`4PZa?6o7rveE>$G z6#(`@-v_Wax)#7*=u!Z0L>B`XiDm%U6P*p<2X#Smb0I4Qy)2g%vi71s$a#gVJ!nKe z<v1%5{aViJh<-J43f(6+tD{XLUy&9RuyN!(DTV4iF~UaI%6S|XsOuqF^Xtb)PLV1U z(Lavlqcs$7E(?#Q$`7dE>5-$P6h-v($U(G(Qa?IEC$aM>MXV?^5kz~S2>|}4?ukss zVqwrR0Cq!%fYpw_-PnHW!77{o8X{J$UUlf=s))O(RUgr-R<Ap8am|UlYdwEYT<vmV z)oS01t9&DVSnUE`Tq|4MbSo{Wa@CsY(KNf!me&=|9^reUf^CN{JNs<8n7F!heP@m9 z>fNR5@9MuSc=LMguAPU<_V(H1zer5I<`lN$x#pr><PRk_dspptT6b~Zs?`TP1D}DU zebuP%@A*umEzoz<BI|xj1BoHF?9!?<mE@WWMxsN!S?%xt{6)`N!p`Tb=505u|2uKj z{flcuFRod4sI*|P)w9@;__O<(4MF<?_kJwBRIxGt$}QKsWr6edp@RX}@6D|Z4fyZf z;H0-3de`pr|Kg93Kh_j4{cyR~bM4B(5a$EQKd<%=^Z)JMYCGQ_Rz)MuE7w2I@&EbW zTAL^z@(-(>F7AKy<z4%+wV&m9y;!+~z>9ZX6ZL<T_~#xbdm>56<+;0Qxd+IeZoHi* zf^F9OefD`_!7BTxAFwtXqxO<LT}cC_xxW<TuK0AENCTI0?-k^R>K}wWtUK!JWC#tC zcra_f`a5cPDE#BkTi1Q@bhm5lx-v51*strdetj1Hi~a#h!erDQExR}vKDKW4T6<N1 zcWK~YU{t*gqxSRbUN)Fb1v!ad{P%~0$u~SUg#Mf8fcyrD!51YD582lw{{HjYUEQB= za7JzWH_^rQ(DmO-Hin1sCy&=w;)grZk|d$ZwB3?vQ|R6FIsW9a+S8I-rqGw+ukgd_ zv|W;46=|yUX1?1*_!vG-okoy&m_qg8Pw{D-+EaK3PVHgIwDEx?oz9;;TAL%eWqi<( z-fRe+4sXO4v1^(5&FtC(lAKR#xBIU1`SRz=8v&7_;XSu+(Q6OgK22XA`mx}}+*+r9 zf6)3r1NJ9w{|cvJzrM(-Jz!q#FUg_Trtq>V)AJ3Xb?IwpStH^1%q78+)3E(j-p%3g zI-c8#Oxl$(=zo_sc{zOvO7pY+AWEP6-5$@oX;&M~bE`=2g3^#x_(#3rnfkT6YQuRq z%hIc$G}i;?p){8RN1-&Q1Nl&zBYtyFupcEfM3lq4QHhznp6mwi+l_oX6#N(YfxoDq zaYKN)S(7|c!nkov{}AkpMHZ!8O}+&7eYX9Jf>Jx16Bljtq0b9;U$WnkXyaet8nkwe zOVsN12Oj=Rzmad_O)tCb60q$eX0j^e`6|bPAJ+H;{;)b_Rf(tEsz6Jw-JW`U2q)by zCvTGOp{$G|67P^b9dGB>UC#*&3ks57_7t5~`sZM7zF5EhyN^-#vnVrFmATdWpYPwV zaDDlrDk^`&|9EC9gDbCp724v4q)yU_hk3&Yzwpplv`13bo!|aUjLZ*hPF})JJ`Z-l zX1T8a=3axlFYohx{~ufL9SqkO{*NPiFKhK~_1+>_VzpI+=)KofBO+0Q)mCp2qIV(e zT1zBEuMu6AjR?V8526dcKA+$GX1;%X&&)kD_s(;lIrq%Duh+fjJkRUck+J3`IYWjZ zybw6uBPdp{Y{aQzFo}a~_JM``P@_>h_eu&-GK5_vv!E=dC%HE)W*e`+e4SqlM5sqd z#=r%T3dG~-izjE2fo&Z+Yu#TGmlSeR0qD}^l$H0vDZBZYXEV4pQiQw?x%-BoWls2U z#Jqg$N@4NLCb6;O$p8?is*B3QvhwU4`SKX69P;cGpp@I(2(nVAeh_sZ`hd|Iz@%b< z^f*%&TLT!yI0g+vjAK5-D86EHF@tEaPwuPY6jP{^e2|xQe7?Jvb;LLXEv7YoYzwS( ze{>%sK#KwOVW8N2GMuTitr%<^4y43omxDZP-7xMRwnn(vC19an{bK$crVisixRhVy z=k14x^%J&c%6b0CI={-@1Suw(4<AIKt$<A9$Gk(R5vzQbafqw6j~IfAiGq0n)Zgi4 z^@eJ<&)Ht75s~=(vPFV_{MZJFMGfS;ds@4V%h&=-+{?xFCsA|xgTolHcK2R!eShna z@nBc$Kr#Jv_i3b<ay~pU!~GH|_BbCjf+Drf=NX5%S*M60vfLldxH!te$e3)`2J>T( z0yYcr<Aq_?41x=UDe_qrnp0Exhkj%g;wETNlx?4vKD!@Tm3S5!WClxSl+ap$k91O6 zGu=ntxY@_-QA~7~oo%2cWv_W#C8e)jmKY?$*9J-+BLz+ODBzKATO{evKz)TV)(qA1 z&N3)T^=n?Vq=x3TDO&PNr1a98%1GTMCdO-&i=)E`_6^H*aHUp128IPv<7S*~Rbb<z zm@=6D7$yb_%!|Zddh;{?Vv!yr9)zRs06Dwr&SBrsT(3DhD(z7uMlQC1f^8w~pitY` zc2EpPGr%!;50M_Zh?IOE>AXKa(TzUS@^o~#CcwSHcJJ*WV2&QP6`uNYn1M9EUZnUV zjMgw_4-4cJ2iruz#xsE2;<6a{5C-c-h7yNh+($4S7$Q&GV2qZhtpkn#mv1$0glOET z+xYGRsMOM?n8EP-AyWLTr(JCAVB-kC*GLIL`dw2OdxJ)b@y?Ep&t+C`0J{XO64lS8 zoAkeSjx>&c?NqdSL$XWYY|q<>h=2aJMFOx;P2gkiwNUM0Z@ODy^JX4HyO__5b8)jx zZ`3DXBHBec*)uol#{o<IE*F6~%nuAvyZA@HX}@uQ+b|q~T&17>6a5J-cYBKk&_HLB z)f+l-b#aKe25t=ptnd@IVak23Yt2L})ulyX!~c_aAE?_7{ixL^KI4}KL!7iiRS{;k zRV7*#;`Ece6F^-IR2c!V<;U`N0d+mIf`Me7S$2pyo2nu$7xC-~-X@@K8?;`l!J5BN z3nD(_o#g@4^~iDoj-sK}TCvtu07Se?mXX-ZIPXU>({Wy;Sa!0O2olN<^d8_%wyL5< zpixi}1fffoDUi%P%Lq8?mZb+wcFR%~%TCnVX@Rl>z598+t*R&y@y=NiV%c!5h88FQ z=-tPwYgI*xAau^+6w8j&@@aum0=;{Ag{`Ux!$aIMQuj=<z`Q6OjWg&7C($Awcle2G zM#P>;26zprqkIM(=3K>AvW2_3X87#UrGX2PIx=TML!7<XN~Z92mkgUdIt17TsUvbG z<eiazHsX~L6>f&s(F|Y5RhEP4mnzG^JNtA~oJVI~?K+*{v3)xK4F7O9TxBWvc(HPc z^K_5SFC*;C3!{SqFD+JLIeX8xo>#T%JPMC*)%gJS*`J=^M4pX!WLSh(Emh8O&hODp z(D`H-h0C?-$b<u0b!xyO`*dG9tFe55@DP^_hqDp)44bng_Y8wQlVmV2QityhI=~6X zR_1|C7x`$zb5S}%;UOp;fp9aF4uANGbB4;ENg{ZyMThMS+Ry2Z<)aJ_an2CiGl7FE zT67rBpnaTLSU%G56Q>OJJ(D=FON$Q08MK!ZWL3%M>*ki0(nyyj&x+E9EC`LT;#*g8 z`L4UBy=tV(kY7S-D=i2Ov#wfIviZ8Xruj6|rO6i}wPhBBhFE*=b@P4KUD9kC=@9ZZ zNNte?A@8)zg)OhN)P=2fZ7p9-w05^Vs|{bdJh@HfPgeXUx`4D$-zwY6AFQhjTNrJO z{FOCdseD6|$t3IaLXu~i$NhvtWwCrp6CEtg!MCba`;l*atM&(ZpQh;vR^-BnN1BCi zl}+Uw>wF{K1Z(-i7Ft`@m%mk8+Skpda)!0H(IiD4g47mV*m6&^Tc~qSvsxG#V9m4Q zqxY3_Nz-gJNs_m*=F5{OKxzy7#-p?aece#nAYV<CHrK*PKkIWVK5AdIbDCVENrHS| zi#F53NFS@Y6(6~;oO2p~qe;AcY>PI{!bmTxycHj@FWM;$&}b5S!?9SJb;G#Gm32e4 zSeki5w8)itbAv6-xH-jgW!&szOVe*Quw3ak%h=Min|Ul(+RX&EH1%c>%awZ5g)K$g zv|+grH%-{mlpD}Kjd!%pwd~SLK(y91-%@Enw8k~VlH=6vtLq0#TmjLaZnn?f;JEyw z+iw=n&Rf+=Z|2Xwx2i4OhMhgcmBONxuMzt+m@nUNL(bl`s=d4Uc=mm1W#ZQAx^;2I zC%WOL`pmLb?SB68?8VZ`*ITzUrdGAtTfOVJMaPtza_ma-P1oL}d$jnq>tbp0O&*pj z`6dlpnsfulu8iH9osqai3tww2u8iIqoLQpOvTq`>rHMDsv0RBae%R848+R;M!i_z) z6n<ll<$~WBVoT$1w6I+9H(+dO+>JbzEAB=dTN--<!dCFvy15}zV02mHtSBuAMrZ_x zzgWR#yY7m31*6LlUqWg<!jKLFm$AHTwq~vfFW7Xdcs5c?8bdk+?85Rg+0MBjtYFhA z;-*L~UE6rHmX56(TI-9r$P#ab__5#g6p+ksIxagm_f5r5Aif`603y`33Rm$1xQf}r zXko;!7I{m>8~jWrfzy~IPlU&N+Uf!-o>m?Yg#mAkG6lkkgt|rAdfNB%iL?rAv28s5 z3Yz1Iru?{yV(}C|IvB!%GbIEg<cSExjI?PriFYpYE&vx{(?0irdY_3_C|<G1I|nSr zczGb)FhU*(16#8t-U4wwq?Qn7YXH~<%Nhr^!RW?;Z?P5hwwf*oZCF;4I5|>F)V2zx z#f=eiM;K$a+z<wsIyVFqv(*oLiLIcv9dJe{z_Jp=$6B<QF<X5=YitF%t)??V0G1Um zp3<U4i`nW0KEhTI+YUG(fUvAsalIBzo&1pzdPZwrUVS;Y<ajZYOb`J|Q!RgFnEup? zms20@nj9i#k`C%aYCg;#8KR%J;$_vBb4_*=Gf4%-A~hxRM+WKJtayR?XqRL&F_Rg3 z9`WgEdKU5NfaH|?B(LNMeL1uyL?3|G>;e(k@cyLV&xf{aegyT2Px~dq^iNtfRrSqm zD@s5W;&hYuscle<rm{Z3mKRIkl@Iky4$dd_Ot#aXv#BToxrk>?&^P5n+cfJz4c5Gc zAc*+1cd|!5)Fas?e*~>r4T`m{0O-fNBpZoMkJEn?Ga08xie)8(M39>N`CbF`$yOD# z`e>A<h(4i9vS~i4d$Ljfh+DE=ev(_Vs#sPcXs1P!J>RRJ-rK5zQa|1~Swbue4r*x8 z1mt`5(d$}Okm?gUCv%Er#esZUG%54Fdg+C&DhPL#QL22qq9|3~T{e^|&n^W@m3#LZ zsmisxi&W*@#UWKWcE^#b?7N?ks%*ROk*ch_MMzbaT?A5<c{d!X%Czf?R0ZzZB2^i8 z^=-IjuF@Ag(cLRoDGNGi)s?G+1wnLo+3D#530n2%Rp{<)lVrQ<k1PM()}}w6k*d4z zo0>f%(Wgg^0T|WAtI!1|TP|2+^XYb@q-Uh!?z5)mw(g=+<br2w_xzRFu6|RqN2J`Y zM$;d3_uJFaMoEvzn$x@mlGbj(t_HH3VK>~mbo|O@VHl;#uq%pGrQc;ks?zOJAiMKU z>l<kXu1psaQQbMGZyTH4BKdc(TU2RwcUx4ccX2JMRJ-H%6W_a^T2v`^-?yle?-sSF zlI<c|R7rQkTU1GQeOpwCcWql#iFWl{G;~5oMyMGVd3crO+!EvWj59e1P#S8XBg53E zSRPJgv}<C>o^d)yA5!CC=*STDJeG%5S<W@lZO=HBBNnM489FjZ-G=1>Dx+Nz&Gw9E zsCo9Mrm0!>rvegFLX*4_Bb4RP8W3dwTBD1DV2S4^^?oR{UE?E1-~N<eB24+DRYO(T z3|C&lQL#@uNj(t?#b_ui18_W8>aI|zXJT+Dsb`{{^4wB+5r@lu<^*+9D6~zZo}*!r zr;r1(Kjoe15eoH4bO{|nYgBW@E|vq7<6RPs_NK<EKkgZiQzQ2>lQ~3?8vLPN1Judb za$03HN<&1M&?V6{l+-=ZD0IXvQ7<&fEm3tZGm&GbMT0%mtDo8%TTZDQ@0=*HmkH-+ zXwd+Kdi7E3V#`UD37r!;_cG%+d|EUpL%n*bg|X#?q9JbZ)JEehMqU&|!vZ=&PGrr) zEqdY#k7zW`U|d5&lr5mc<QrBz?4lvAa9E>p8e;_#B5MI1A|JHkVHQ1cf!j42BN$zf z5K#;0AUUXsc7~jzDRY|q#-h#(o@6o74$%<hM?=&_=WKb(7}uJn{NW*@xwbq%$d@ff zFc3A-^;Sq5<Bm1Y68TZ%6b$Yrif)A<8DpEKeBmJ$LZ0wIi;*@+6Jw_}&jR^k<CG8F zOf=V~ypXZNnrDu@*uu*L?q(t60XGmev*9UV)I&mqEVc&7yBagc$=e!f$I0JXmD7uA zy1=y?Gm{v}@7pO_g@SNf2)V<JEw<d?1{QU0aHz#rKlw|ma%#~5XShORW&-0_3xwHX ztB>5;s+?R@(-|(%m>JKQ(gLBi*y<&JWK~WqI^YBcHfF{$>b0orD2$8{GcI!TvdOu{ z#lws<sR&T&Y6>I6#HUzpPBye_TnNlKo$6kR@1erT5b->go0Uz@HO>uYoJtjoRF_m3 z86<AQas%1WE^%fs;~8Qezo}_r7Qd;0xD<sXueb;{IkY;24S-hfq9R!0{z<&A0Bu+Q zNY&>z<rfEIJ84x{Wi!K-l~7gq(M}RiC_pjl%4`4}H<q|d0qPkStU&4+XU8_TR8~ag z;+Hu=+@t_)Q?I9LSmZ9Gg7{5&$9X6~J>pyxM$qcjRI!U?0JeCSI3w89IPpiA@i;LO zmYGZ?f>h^M@ERaa#+K2tp;780Y=kaxrV6C)aYhOwZgF}FNp5kfu*^iNofdU=1+RW$ zZ)_PQTfB3e1S}Iy)zG32Q1I#_*2R{QvJpDRal$g=sC-(~DHXhWiG{Icgg9jsm=7n4 z0`ub7P+%S$1q#fKyGDY!aJxt_Ck}@MbKu62V0PRmB$y5N9tmc}6(PYaI0O>Rj0;DC znQ*>HFc4>p1T*6FmpEt6(lMUsu9dSCj1C&Sa+ZJ*M0b_#pJGVR;GbuqxLH5RcJPlg ze_X5IAJ1@A+<U)f&v5kq5i9@$UOWrMFyT01;m!NoFiFpFMcgyL=C-b)eI&-SwQK%f z*-GE9*&|#Ir{VVp-Su{V6ej5rUbCNvA!+Rb;53k347l*clJPSe%rFYffD=W6>2YjG zFddEp*_F3n52G14GsPsLx^nj4!kXQ}`El1RU>e+R3z!;*YXMW?#_v~PaGzSh6u9>- zU~*hh3z!UtXaSSr!dt*3INug9G0wIHOoY>Kd8`8*86jY_z8`pr$8~Yr!GzL~B%XL? zNJ{2ZCciH016jJq+_a>GarltGTXK3U0Su4L+)P}U34%x;IFrU1lg2s`lJ?Qkx{#_5 z(84pbr}S(dEP)=&rotmNz6XuHlUkW#yiu|FR_o78HhDs9KjZD>X9|eQGrVinG3>VK zy>bW&>>6^ZF~(>0-ZPAJb-5FWEF^i-cnF`}q05hMm<5b-Npw~HAZPgJ?v9V2yOMmy zMRkyz93v3Zij5SRh%TM|uDf;jU9-@r`0gk9?X%pX#Q@vw-Dr`=)$FPfzrqOIO_rV< zK6_B;6^O%wCtfn**$aGpeUOb`#x@`6-*j#J<qu-*6N}WBYAn<MKd+km+i#MLKWl&A z>k%?qe-?{zAN!h(t6j;}3Tw`Ix3P`_RkQ9~bn#Ney!yWQ_d`zM@Vo2Ef0C9(Ba0bi zG3M;u?<stDqq}eAiU?QF5+(N$s(5A4JA%<>%^$?IdHWnnH|5>|e^+l4b_nj*579+A zHJUa`omp0?)`K}ttQ6<5pF=msB;0vkFRS941$~qGBP07?(zQ+={<tW2KzNl;t}o?m zO`%{rZ&n#M--Em1`n%#!YLb43ZCV}QZ1BQj4l56GGE<b=w*GACma7}bKECt#FQ>>P zU(+WF8ck5c{V(@sd&1ZBm%6F|+d+xf6fDQv*!G9R?Ip)o-khrN%oIG*O08%ZN<*+I zYZH9;+p4pw=}(tzq3k2IukT;&OFg}W%6@e7$e%sj`i(v!F#bB-exb@x{*oB!kn7aI z7<tQP5$v<$sjRV35x@~x7(NxiB)BW;A4U_&x!HJy;^Zm!;8&x2VXOFc@l%Dr`;woR z@oO>?<7ddy^QguLITbOOK0Am;$YZmk3IXQ*OUjNDmJAX$d}$i<f3<f;r4PGUQ8I7P zMV0@+EC0Rh>0$Uv|MuL2=ugB{hjd;4D>k0#9HGbT=GS_cA~dK{Q+7SOaF*0{bB)rG z8?hG*H)<tdXva6w<CvR`m7t@HJI>J;@9-ynU;O9BQxl8-UI{-YUhM4yBifLeXJEY5 zn8rvYLLq`Zyuu$p2M-t?lhbor`EFw0%6j`0oKNyOo@&=W*x94bx{r5bBp+$sHKI`x zeE%tWw00n8td?On!E+cY1kL}JI6{KiNahFkI*h&g`3R%(z2_f|8Z_+^;FLjAy!opl z_=IzE{<q>=Pj>hWtmd{Z{hxxisx;r1^1$ovTq@o>2IkR^mEmc1)95AE#e;uzgVE%} zAnIvF<=~L(S~YAAf}wyy<fzCc!X>dZhi~v?@Qd%m$UmRE5RUGmzuRw8p3uBctv%}Z zNo(#Wy=y#%ToSw-v*5q|5`k#jc4R94?fambaCWHH=9b(|-i)Ml*IF~;DThSH%u~BI z?fJwkK#xh!`-d+WdmU_Cdoz;qW330y@!jkds9a`jcF#F}wGZa9l+atO_bch%e`tow z4I!~RQuTcY5Bu)eEQtq_0B4#5UF{kd2W`@o!`*wozCVON(P;Y16A>0bhGNTRh`7S4 zG~W;3Ov^)_QDE`H)KA#pnKaW9SMiBg6JpF$+T)(|!jT|0@+F3+YtuIU-s<OXzZTx_ zoaH91_DOTnrJ^WC_cIFb*+P{gFOljGZIxj}$q0)w8gP@}%Jg5H%saT~SG?wTQV6EQ z2?tO{p_>RjkD@Zdt80U=F=X_s#3lcC;@4uU^<N$IULuIGb2Aa26$Z7hqh7{;Q0BOL zwmu8}8buNbJ}DgcIUfIgQ-O+{9d}@=QSC2nGUU=?<xH%?!D${{SB4FTdtW|EJ7zJc z_%@Vr{cEBbz~i0)d~&8j{i^lhi}TSX>Jl+?%8qO<H&ZJgM;-XGpW%3l{lbq2PhXO2 zah#bgnS7t|)V=0t5><NO7-;S$2`ALq*E|E98ts`$4Y$TCRw^QEYacp^6ZBt$x_<Db z{pABc@@W?_pRN9j<YHKd#Yi56bc~oQpOFM*l3y?sTs;b<kzssl5z9wudFnS0zDy;m zT#WVEJI)kFrOGU`JGK3*#Rl`&S&#CuhU-RHGwpqmJ*&)+hW^`NF}HB0qqL3sIx!#| zKr<)cZhCZP)b;~9iE#?wWcbwmd}A^Spp3-zk`zmlj4N(M3vXwRJ&(>2)dP=`#P^p& zR{MX&m&YG+#$%}-`zvy$QqL=_Iy_Tl#hAM|=3iF!JUZn4{8C2o6UAx--h33a?$zhd zW=&pAnBR1upG<K3w=WLJJXdX={CA5Z*ZJ~7vbg-oYci(c?07ZZWfd=JI|E)o#MV5} zKW46PSAyZ^ht@$q#!2NPkRUSdX~ThhOn7#rZG&w~&zs%I+1e78ZyfaG2gKA_?X8&6 zB3bRFKLC?2^MA2X*q=i(^$N#Nhx{vR%^Z~d0uCQYK0n7Tcia{^Is~+i5*k7M7zQJ7 zuq%b$bHATyJ;HK?-SgabOp%8x$gJO06`vkVmAx66FkHJ;&^>)eO|3K2u=(SD72bU> z{)Ha+Pb-O_@8z#Ob%j8Jb6o3DjIYYiTlW`i0j$gZ)VQ#`?En=0!n?@ZpjXBF%l4Qy z0On4!?5hbQYcr`O!T#B5%uEBJRA#C1i;j`?-Kp2#<Lv)&c7)Z>t!ZWrUcwpwt3B_! zdurP|cn<G<-IkS{_lH&R<Fa(SB8^v232cI;L&5RuALP&kMihNGQtG_EC@Ua?T^Jek z&5#v-wtRRQsRjZ(C)&F{`rMM1p!rpQY5x;d)vdr<!IyePP~lT8K8c|GNrR}5Tofh1 zfj4XnDlcG?E4p9L3E4`%Xm)#&hu;l;v;TTBFkCa&ty2{B{;x)EjB(scE#!FVkDyO> zLgOW(j>axzt9q#BwxCP8PwehSHdlJ{qTG6yv*_a;h7CEzvA27b?<5qOFZC|<eJehv zWgI6laLg)?J?aH{*5|+D$PecIw5~ClO(89;CPFusCB}SJwH4$gw>*QVutt&WGt2tX z@KrFSeHN(AIA9uq%_om^mYVr3jCvA(rMG~7#32o8d23ar!EX*>B#7KfFtzgU<{@RA zBYU2p@lx)j;O9@cm6swzp>DiOGq=b?f*sTh8P$6#5y1zjD9Og2>7pkLb0z|4qU%}* z5J1)EcQ{))44}iAHH}O*26O~7$D1ZB8Vlo!NDZAuD+1{ycj3lm9z#Tmc|kv2)NGG- zxDvP<MB*i;DoMO5@lMMXhr$IOX9#)oWM!>u*D<s}Pdd_eMsDh3xc+j}**u!hI)`jw zq{wBRD{DL!$s%JpCYiK9i39ZNBd@BB>SU#Cz~bxP6Ej~V8ycQ(>j?m^ILoKVojcj) z$?||q;_Zni23;pjm^$7jnUi`@@$U-B7p0_aMjkEsB~!q*U7qqMZ{Cu2foeXI|3}&C z>=j@5pVn^^G9q7m7LNp$|A1MewUyo)d}c2mFibnBCr?u^R!?pk#e0|m930+NV6@a< zOTN+85+1KlvG^!tmS@~0%xacpOyMxQ#X4zXnBqWJw<oBj32`k;H_MySo8>VV2&op{ z(K1_}MAXGM)Y%E58!9?-QmW5mA{G+WtYyom^W*j37Ef`rke@aP*`{nvS`-_9`P~~^ zBh1=T|EgMXqob<MuX{8~B-g^wq?qb^5?FMRUyE+j{A^Z?-bW*wtc>cV2fx+>!^MxL zP<e>!GI`#!>zvtA-24-7H00%+n!KS&t_~!?cEJf$V(kvf)iIr0Sj)O`Gv`046dBWQ z6w3d$`@T--y+1{?z^QCGk4H|e(N-}?Zo$4cFdlMbuC<JYT{6rTPq~?!#2MO)4GIry zY5D#2yLc)jTW)D>;`;)!e`8R!m<Zvhy*L(pZ{!7T6|f;`^FFnxTfV}#Jb3?_IJ}w2 zPE^od2szcuQmP$6;}TBj!^Xz&zBPu5%eEZ9Jlt}pehNq{>d29z#n$n1c|q{JF#g)E zI2J!C*3}&H^Kd<Vepb=1nPBlHym^*o-`@G}@k7pX>WbI3`4GD05^`~>&&tMGht@<p z)Fe~+Y(H;SNS`ynJE_RasJJ5{-1q<5_t=!jios`$REwg97JN$m8fzhJ9BswsZYRKp z0VMBlWIyf#HzC^`swzY6C?CA?V)FW|OeyTjx;g2G4y78Nveo-&f1j&0<dRd)RU3Ws zflW^gXCPU}&|M#PUQD1{n?dr79B<8^il>lPQK8xGWB*+~ooxcefu)~gunfTG!{OwB z6TvM#PXQptaSc$tdZC|g3F*i#%cHg0M(Pooukq$l))FQPgEO<8bMY$NNI`@`;t}+{ zImRK`j0$Aw21_0Mz1g&RRqDDiy07>JpS??3TW2wf`6U;XGgTC`Ts2|{^`CWCaNeY6 z3eX+5A^0r@X3>}Fra#O{Ez!j4Y8YjI)XjWbHNZcn|H>xYN;fr^Us1P=pTma1$}nlJ z>YYHDT%=UtfG0#L$4%Cm1P$B57oW!SOkS4KcNwF91za4L89qsJmt%e_Zj|~+ds|0L z8WO^7^dp1pv_IkU5pR^@XBs<==ywGJF>Rk-tMozTU6OUiP<)JGA!V%a=T7+HU!B0l z#*-qLZ>jKj{9Tphr7lJlVaj(QkQ`EtS1SLpp|XvQOpCMJ#&wQcv-Cbf6&c7@Rf+dq zok|C;Nasi(SEOZGoVP+5s@X0Xzef1P51{Ev4TJ0~L7Fjqi4SE0D=X5NVFO5QV)<ZB z)5jVz{|I?WbrZRhNh_Q#Nk<cdBHpe2rYwDYi2o&VDtzEzdc9;|AHOZ}Ew}{h`niO- zDNz^vb^9on)AdBIJQ1`<JN+Cmtz_D0L|^}aohI=}F-0dXjJ2PSlt$waikFeFqPxX` z{|E0AAI-A#FQZ?fw3s+!W#WCWuSSV`q{#jl4t0eL#CVPYC(kjh3g;6(nLg4DI*4%u ztp*88hfdNy*$6k_?eiAygU9N3N!+rWqzK|Z<l}pV?tW(Z$Eh$vZomQ`*$#56)MyxK z%uRiw1GsQ?QUm+w{P*urDoQ1Six2Z;;PkbKX0j%*Ad}$1*a`1zd%_>Q`r^T|2$AL_ zH1Agt`g&+k3cZjPFMy{MI-8tpm@B}*l9wc%Y{r`<U@XK{K%2|VLyVV2rdsu@TqHCp zQ-i&Shem;4U4x^5hdTjJsw#<zz6@@Igz&<-jiGDt10cHR<a9DgkGZom)T*Mx-0m)y zHe;_@=6f7vu5Z7++F3YPf7ip)#YswQuqj8~_$=r`W$G{M7e@k#k$_^20wr}Sz$60y zqPIdy^_q*HCmNn#RPvgR0PvDjLeb>+k6>cM_#I%!Atncia?eoTGwrT`#cV$K@$`=T zqS`dJJ4E@6UVuxl?2&u&@;R()RhOSork+BY>}9VWaoV~ov#}rKu`sQm*;r26<H}#M zB{Pa^qxpyN&Y?N`pULGq$qa*M1IUsDMu&QWMxU%bT?BMgOHk{@CZ>5^)9jFLxGONK zr$xC;2+6p#ORsfIP{bxZBA_cJoK3aM;BX;%?)95ai4fpN`dMt_Fr7HPn#ou1$*~n6 z;%DI&+3VwNi76H~2ZFc0@Q(b?r$gPMCw`d$>=!=+|HOC6&xI47_>bVUKC5?!&)mys zEsPxe*QwlqlUgRNcn2a3C79z??ONSVH?sZ4%GdbNv3PnuwuuwfxzRvnPW5&txsF<~ zt-F7YX8YiAd;dqCuVDCgU}&tjFEpHQiE#=e^^iI@-1!IH8j$XQD)3>q9}=hdv8!X> zz>nhV89k1w*$=HS;Pa#>y5~lI@6Py+_)3`_uLm!NK#D*eZ?k<*bNbFbVx=6951-xO z{uMC`iAg`2B#VaFe@R+zXTQr_%aP!7<}oxFQ_g(kMN-($CH$}F*KeI5p<oT+cJ*n( zqaNlUB`GVA*I@}&U?2$|j#O&s70I(M;ciRr2v6>nu~<Uecd<iJ$j#}2fFZp1YG~`o z>>!E<CMBdzZ-_nVrURrr?21C3#xmhj-&rFHtvx2n1iLYee;H*FHCj_{FILA=s1chE zax53w&aLBlL<X#eswIudDZgTQTM9-DA-w7Fq{3XhMby)+JV>TEWfbLP&PVpNn#^eR zTE~{fH!7EqPxreuo%GeUIHRcxiKj%Mk`J=q;Yn|(p|)vytb+urDS=WO?^&f{7w9!O znBdCF#P_NFcwWIhapJ@Hye@~|`d>@O)_iBbwHSQ;hG5p5Ma6nml6yMJ56_ooI%j9T z^e<hA0_(Weu>pGseM)@d#>7ybF5O(6K(PQlR3TD=;kZD{8&8N%fsY4Y7{0g61r}T( zwW)czSsbf7y}JJCb0lBTO00iutlG?FbPL^@Han*>*gu|~*_23EvxSzZ<=K4C0g~V( zBB({6M(k{rP=$4_?%cmz!s5&xoarVIFk&}nNV)xJcwpNeU(i%wS%$q;J)(S)=$n_c zW6XtKn525Rd5c5rrQhd2$_q?nj;0ix7wT5pN0($qWt6_`@s$xhY;r84<le+o4}aim z9Gt=jVCSpNKgXW+RA3v>AHV<iYe(N;3}+I^sQaq{$7nmz^ZS(J2p#8WlhosKUQL~l zMh!@uXRNcb0h*W^Lg638yq<ng+$dYf=Z5%EE0Q?c-F%Jt>PpS_z0m50+_;-q=_lyr zi+7-Jo*)fQJLACD1nEyeDfR>%et6{6BY0;3n{T#wsoalAL|wKJH3BcBL;h$Z9w(5I z0MaQXC@W~xMvOnIKqisEz>Wl)$6Id6NpfrDqr4AKP1mU!gX3seYzvYWw2AzP>-tk9 z`zP+~wJsHb1tq3(_?oS$0_3ngpwZ`|xYUQJRKjE!V39)+^H#^}LgFbb{#|^J|D%gM zn3H75K0(TJI>#B8x83wd;?_dk7IvEGcyTdbnm3;Rk^-S_zF8DyqCs?Bt$t{uN43v> zP-Vomf0MnV35ff+RY(V!<^OT)#xNsm!|R}pIg)<1>AW-(hAD*g=Z7u#mCeTH_{n^2 z@MHVg2vB+FF6CE8AIO%BNAqUN*r&pv1N?B*5+W5=tT7!XlmWx_ZE77IKYzvl`?>zF z_bD5z2BYZJ%%vsUK5==2FG@*CU1N~LL$aA1bJKJZ_wBryM@k<w!eoDlCCOPQ#T8_M zSOQK%9zF68`cn+xjHL{A#do7P4oH~5c7leuTDY$iRNjNA3Tak<@PDJKC1KP!))d9d z_+jna#*=JLNKd8bizm~YQ&VAy4{LLgMTjN6rX_ksw<cZlfy<J#v<DZ%FcjNLn<9qC zI7+&zBBp8TCW8K3B}AQCg*$EI{|$1?`O(pugNvVUfv-bR)mlP)yuw)-$U&7t@uk(` zZJGgtBm=v;%uI@qI$KtkFZou2RYZQIx4k4;LdJ>a@os%Bu<Re&x{~<Ok6ASzw~~7~ ziU8OYlgfJDSV3Dp<MHURj%Z?IkNyKFwUALqqH5`!<nV}7${rYV2W=(Ya2Zdlk(dq@ zqrDo5ZLY}IW3AWnzw$ER`&X}`4Jcr)p1d|{2dv}2+$XpQV~L7Q8scJVkB=wg5Uknl z#*h#~)LC+rh^&_&`mUdYuF?$6WB7}`j~@~zmh~gdLp;U|TezMi1rX>fjh_k>#H5KV zOae?=1=4$rQ?sxIyuoqfKBbffM3GfbvdWcTe?PD$Oqexqv6D!Mv8+>KU)Q1K@V=FK zc%gBm$z-hmfDnN9Kcx`nZ@rmC<EKc7hxb1R5RVMc&Hb6Tr@y1L<BMSDz(Dt434ec> z|1)zlBD@D12lAdmZIU-m6eQ1i@P;1s>l`*1DXsU&9%ie^=lF}El3~2QBm%(2Pj0kT ztLKHOkkB;?Wd;lY`8+irKCTPHeYhhA(`}!ASN&8|yM+u*d52bOpK53Rh=;+e!vk>n zAAk;dP3ks}W3L3jKO~-g5Zrj=jCB7T`g=?K`CLs9T0u$gDMxWrdP!sDCZQC^W;(;E zY~|+(MVLUnfb6tSn6_x~f9}==qt`i?AK%WyB)y%TK8HrcW)pPM6LuZP^7=#U@^QtD zhcX$2?S0BeKgd!mQAC|bHWRWh%wA^IeHb(o3Q}fi+{Q|#Nf{(>;~S{Ne9t2sj_Bhk z;Wo20$5(i=n2ex`*~ujMs{i4^-0ER(A2Az!|8Xp#<^^(H*50z<I2QJh2B$+650qhe zr1KT;{yFq+t9JvoLQe#ge0({Yy3%J{bUa%sYj^8duBvx8e@=vnZ+RG57LaVm=RO0U z?Q~Ti^v-|(SepI7-o<9_*ACwwU1ET%gN<G6`-~71!57KiqY}mUUGuQBD!i5XuZv{z zr?>7OV?}t1tVzw1w<U`p@+6j}LxTb|AG(u7@ym3g3c^2g*47^Xe9p+_!I5$RY5(-? z?|u4ZiT$w8ca27IWUcO-%(ly%bXCXfoq4d$O=nUY7p3nKk+IyU+5%^E=NVPKP6^5@ z%QsN&p!Og$V@zWCo6_@=#k$IOkxKX`E2^tLSRJ#8E0Tj{6Ncj8$+~Bf?&t&T5_!Zl zez&uD^25&c8uNDQSf6>n1&_cQ*-0y0=O!ePlC?4I!b>Mf18ee^RN3PL@;COpn2J-; zsl{>)^^U;W0_b=(-Xq7)c^WtF;?O%y9hGmW0<RNh@1LbNA`j?}ApT29`bX&WinTtj z7D@f|20q>0Z}Z|K=Z@E`p^|v;w;k!+-$rSjLZ|ulPrlHFNmNE>wEZCRA#NA42hH(( zf!@7(AQ}8}cUFwXL~evlO>3d*k6rOGe)DE={NA#pex;!iY9Vga;c|11)AQ}s@At-k zZy!e0&T(6shrEtcY6`|H(|(yeE%;y9^F4fmnC`mQngnkJ=#vPu_;t&t@M$aSO*rXd zs>67_-T$j0rnKh73OC8BhZI>A@CEBB>Xv^JSX)xfFerX|jM_5$N>lVaK2vHN8?j_u z@ch_kMhr50a+0+XPf=nX|5m{+t`hV^zgz+?W3BTh<C|@oC1{TRPfk7{--!RnTprnX znU7WCYb+j2u~BW!$4W)yrD+%|voL!IKIq?bi&>>5-?rQcV1EE47JGd7@>A9yBc|oN zfI4HJZ%bj8RYD9GNi>;i_<?Xorkn=C!%b!`{MVzu&4BdFLHwHQ*$>POqA%lNEQ7SG zy`-djj~|nePL;F$YF<C7cN|t&{Ejp{dk)Kq<iNT8@2T`eETO7Z3<cr%ui6)0zVCy4 z|Kb1tphNn<pp){;eczRz0FR%S;{OdgZtkJ~7wDuG6BV%Q6xMv_bR9j^^}upWiajH8 zvzQlG(4F1QxAAF%U518U10y~?dr0w6xxGDH$m9Pjn33#3r_pTKD9}z5=<aq$w>atg zUZ(qed_;{`miKPt;G0PF$DrSfwMA99+x1$t%i-wjvVXJDH=`>NSL%z7Hxnz-SIS(u zH?wMY*OO7lFQV`M(u8G?(&$B9&C<lKeTg`1eR8)kTY9rw8+{%A<>Ey0U!SC$o{Hnq z?326I+30_dd>o)EPuJxAQkZh<9u~HHBUWBXAHk9>=WBpdw%tEpnX`?n>Oy>6UxH?b z=Kd!C$*U_~G2$-~9X+@fWVLLspT|Wvp8L;sR<M8ha3(RI_|n0-tNbWH)Q**Xld+4D zebe1<E|G4jKsmLU`e{RGls-p|+^0WW-tUyZa_vMe$@wL)+8YLbc6@63R`utzvD$~| zKQ9<1DALcff0Czvhnibkm_GfRtohrLAq`dZ9JF+cC=bT%uzr3j_QvN{zZ|vs2RQCt z7_DZu@UP3|qupN`i2KCKcj?`lXB^KyZ2ufjW*Qd>DGJ$sNKu_JHg*V}7k6(+3)oR` zE4m=*{MJAtYK#6**8n@Bv+=GP=X$+ubB}Rc4tk%<+T*(QcD|8fqRcJtblnkSOCI85 zM<L}^G-s(PAMDPzS{pdK%6Bh0+I(M5Rg!fvo@^nO>WYr8MLq|&^|Og295<@P4d`#= z85UVAm}5xOFZk@OCF;;0c#WgA_NV0--^G8An<)F@`1G^4O@RDM@gtvVrQaKQP5OH8 zMRr2ajC6+2@l?$w8e!!FohiVJ*@yILqPBAub8<9)7SJUPFB(k~8z>>(HJFCDCbg$o zbx?CZAro<5YtwX;B|X{c+dm_l4gEG2=H`c;*~b}EkT*UeC~%UaMb`|<(429s?O%}L z<*@jPu6Mmilehi*hwmA^>?=iQg23iN+r)R;zX!&ZhB|jLM0|Wi#zl=A*2Xy7OrNg~ zgX*2G6MI9Iu(z*+Bkao0Z@VlRl7EB+T;@a%)Yq06s^krb?l>k4O@c}!oWqQw13#Ck z5jxCq(UaY*mS=IUJBa@4mbePjjW%0LywWHp2g@=3vj-E;%Oe~uUU`F5r!<f+-#T3| zilYawuUyQBRu<kkoHaaI^P7MDF_!w|dx{pAJIa3F+TwNXi4G{r#L{??U}})&2rXd| zne^hs-Tu^h))amV{od3*&(eE0=6FRJ{cdIbBBv3=%zg26UiuPZFPyG=-_QHS19uWI z*?*;d3d48eFp7U)-W=oT_e(?oD(GmvjQR!|^Xfl4O=WyhWe)-n=O@F07v}Rf8@;p= zmR-keuTt;h_P+C*WUsghO#}qzZagF!`&&fMcxgyu__s*4kciLtbRy$^U*DN#vqZ7x z0m*F_clu~xgbaUMb2cchC}zpYt9bcW-Go0Wdek2pk-L0&c&|`LLZM2eN~sEJh<!pc z5%+{kJa!V$5H~4jLtM&&e)Z@G5=GrZwrsMYejag0H26Me>(H#Fcgh6mIj2qE6>Lk{ z^~{z%GT4?N@|i9DO|UKJm8a<HR_-$G*1P4*t?cE)Dfv&UmA0XL@PoxPxmRuvWwu!6 zte)PI5vx@HzUv_Hd+v!DwB4!nCjU~YHgf?cI&Ham@Z3W5C~;D-#NsAmPne$-zR4d^ zBk4ZB^SzMYK|z4yof%0)4NA+N%wf_$cP&jj`M-vSaaA*omt{5Tf;XGbl4~1+?7mmp z9HMRyk3K7Pbg#{eD;4A$fvZ2j_t@i}m<4z~G|$sH83}-U<~bTFU1TH=wC+58-QU@_ zARne}|D%oZm8#y_Bn6IA&7xAEy?CH@kf1+W0;qWV!HV|c+C_a$a4<IU&Q$E;qInQP zt|ktgxkz;3iHjD~>_1{6>dCpNb$Pv7iXckAvk)Ww*Jzb_@y4aMe-c5&b=PGDU3Emj z<!*J5nY~{aE9fr9321fyg(G_o)hHyAJNqz+cV^hLBTM)`;I^}+cxVNHk-fmZY0V@4 zJ5yGh0!rw8(v=-1?WpHs&@m#VV7Jc9Btf1^!vqqDM{o)xAXEk52w?+irr82|2@ffG z0N-#Xt(hRq=H3`=w_ZReLH?DJ3FHAsaC#&nR5#-h!e6QGjhXI^)c}<}Q?zEaLFyeN zEmC&tRsacdF={4I{=Mh?d(Zjzp2cXGX07NXJX&M`l>;rbxw?(yOe_|O2zK8-t=Da< z6$71t)BRV0)58gY((trZ7uKS_K}v;0mlG)!!Y+PHJc81;Un|{jwW8-N(8NWjW6RmI zW6RaDqoD5~P&y5~>Ovf@A$`S67aK)?-KUjsv&uXCI?&{oQ(X-}J3-Gol{`;|x#=%{ z@%ILL>g^&OKSR)M+|@s;4O^9qb=uzAnERpp`PVFkg$mbuH+{(#v*5&#!?)Vn6SF&< zCp98Fl-uodA+uM4YIo?fmAlJXZVj4z^~tThwoWtqLM6DQN7c&HcKCvMo;%}2`5%Kz z4%>*UXQ|OP%Pe|_!;?gdzgn;2JaZtg|2s|mx|zV~U?!USLkVg0(9pA`)9$-S0a={8 zYjNj8UBB}zV`2N2m_~{N$1ly|>L$zWGo57?<mzDg&Yyb~f<o;J%0QaGo}Ha~a;AQi z3q;Ws<4wl3YD;S%#z^5?Ge$k@KufWWFs*3P&kbsBnezFsdRZmg|4}wHSxoPuQf}4i zy<+F<(tpl|av7S@_IAp#-Td*=SAEr$Fe5r&R&uY@BXmXI<$5I56!JVT%&?S)BeGti zz0Yx*rftY%`c1h3;~4YLDK7Sa9U3|}7I*r9T^h+x1XNlpcK@|4Zr#~vF*N!;KN0pj zKl)%)i<rLqfLX7+d(dV_E*=`UbL-F~1EKiY>GQ8@YbJ=~!<}i!wtI8%&G!m@$%qHv zpCIZZ-s<gib4kcV&`JdV<F*4_I3JO|T5U`}q#5MfIyDZAj~44ZIkx_It1D^Mnxf<; zW9H&iPESIUM;L!l@bB$E=y}kV0cJYV`t|wA^zrT?UhZzevF}kQSKeH%Y{Zj?Rbf#L zC*66A)fsx%v5cvD<I%#OOiA;_ichLctXe}XL^J<YRO>qzy*HEJ9h0!_1fO;)g#_hs zvHNa3y~6gIstH*F^7(Z0z5~e(HZX7k%S*p<ZnHuTo5>UEX3wiFLb<TIX|sT>tD<d8 zTi?o72Ij(jndMTDMBS7BC`4T}bV|0j;XjSGr!Pa@t-c+-ztZ^i{UnhR7`ee2rBR&l z<7q<KBk_}Cf$;jfCMEk`4U)+E>!pL=56yqa4bv>@-<+dC(e<9Jj0+Z#&r3IfmN(=$ zJ8`CC90YWA=~qwx=O3n)zWE=fc}Aj3Z0f(@k*8P2mx%vdyI{r?62(URs7Vz9a68_$ zc-nlQlU2)SW6_pXb?_@P1>W|-IYZE=!FejsC(zsk|0j@n!kgt|vtTB(irG#l4Z)D! z1fz{us^S46Hl;`kg^)EMY%5nBJ2hJ9uO6j-*7}`+RIQw>@2>O_QX-7F+(P2&<=B@k zqVjJ3C1PJtG4}qp>T$^*9sr=fECofoH5`uH>+AC~a$Z$<XiH|fZPUVIRsn=T^KyyU zO7w<fsT@Hrz1n)!PQBVTAje*9?P~kZaog3|e^-9ikLS9cy?ng1);=#hrs_t%bfKe; zlp4P&FXV|$G*sWdmrOnXf*S8Udh*3bVmIp6Xf18zcij)#i+})-141R2eCK)v`Z+gR zijnkgL9vtf-=9CApAF|7B9{AVyAQOvY=}<(Ihs!<GBS#b`W)A8J0iwM7++mlrrnhn z0##<n&o0y&mgjq2o60W!yxL|~nd!TG`u09qJOaF5YHH3@;z{(A%Uh%9b9o#m*GKdJ z3Tx-c+YfU^rJ84Mnk|ccOJB)nji~-5@7ejW^jGcucE#a8(eK8QU;Cc6^xSaG6LbJM z;?^4=mf2>bu<{-=ks|%R*y^1G<K%ixjMbV*hIqcFbQ)Fuds_(TNp;g;b~9?hMYuZq zzG@1-uPRL!AWn9mMxrX9`X>!g&CI+@GE?ik(`u)rS+<C>c)m*BJD`4)^g}9Ob0VY~ zZS#vspM_nRSRfFc6d&bbA|}l)$qF(8P;jb>0wdmu0ZkRn#j1ijtww$sbRYOcK2>59 zk&fUTYn@M4W|EM3N5k}}o0N%2A|64{)UQSJrcaCOP5&U^Lp;LUj*3aP1DKNa`xR-! zvoJn8w+<GG;0P88@*m_(Apb-JXE!-h<PS2YryXn(H9?YgUw`cyrxL8hmnjvW_iH7P z++%qAJ>b8%XNUfKcBs5(hu`<?Ab8IX`z6$jvR-!~(%Q+?Jas@6$GyGLDzDCefhJ}8 z9YWLcb|+Kfb|=$dJA-najsoq;K<PM#a%S)ewR&6TK7)kJ2^G_$D>#DSre7-=f3+g< z^=d_i`l<_gT>qe4LOg<nl4@|v)rzzMzIPay<*eB;A}wPFU}kI(hEJE9vbsX5DTh>> z5(EG4K|<|}^;b8ijV3nIg4H5O<JD-!dTF?0k26Xs7T!nK`akNO<Rv(g%&p%MjJ7}9 zeiF?pX6HB}X;*5=W;pIEa+n)M+7LZcVUkzERr1Y0$tlma+V&3IX3_+nWJUb=FW2rm zr!*?X5z1e3c_FBF4d|K#pITJtdK>EgRVwbPXG7f9={4}^Z5yP`w=*=)f6wu`$c(AK z|A+pN03eHJwd2q9DKJqFl6N)kG=54_tuLQw+*vEYpm#ps2-y~v?=pU6V<?wfVj@dj z-mcq#ueEJJrCh<cBn1|~ce5QwXg49lPwS(=``WA($e3#b%<3hVk2CHxW1y<uy-b>k zl=m}vr8nW9TVj#JUF|t%$FiWW_F<Z9XDkYa7H!^5v|UXX+R}X<Ac+6;{3U(h^GU(i z#^=%W&^!ub^=%zk?uXJglTNd@RMp`k!{s54?#j6(rpYwbwdUlS+bnsMbe)~3$xNlE zWYp7iS7Y6f2Q?@f`oO%z%*S=P@r0%zK{I2!4eMM9r*By=?Hj%#X25x|CY{Z}(t1zo zt5RoXMU*Q~QuSsty#QO)rD(u&pT!GP=wY7Jbu}0NPnvjC_JX^QytzHwoXNZ3%>hN` z&F+)_0m)8w-My4nslC+Jz`dka(vVk=hIprs++W1aZKrvodKQ@z2i`I#CeBJzb6vZz z3-6@`RUrk3vU>dym5K_=R<9Zr^>Fv>A?NS~^X~K1NEegmY6qJqT?d0_)`dvTDEku^ zc7hC7b`i4Vps+CJ--k!`seJGNS9Wieq#(CL!vPc9<(Up>b_d6A<4cDs-0q|74uGd~ z0gLBq0i7pZ0jFnH0dSVP?JPBj^hat?9UC;YzyFjuF~e6n9q?gDai)X*w{iQuxR@e^ zL0XWM0Wu`q3&_mq>CDXOX)@lTi0Ii;L=2o25C<8g1d$q~20;yyg2I@&ejiFp3}y9U znG<a?h7@I(k7mvp{s7s1(!A|>bweV*4YG8C&(+t!%1<i3;CiHb{C+WSDUebIv+;K- zvh_|ckIt_Rj)Gn(eN1#PI;d>Vsht`go!#19XF1_}8I=1XG&sS?jU-X~;>DyA73E3? z75n)z@oN(QxPkV`PS)P(=|$*kY9op1pQB*(vtX_#?~bYuv7@tL>+38k5elW7Z_FbL z8ueZLc>d53Cdk)tw=4npE#O2oJ67K0l%fXeP9KG#V&U~cYt@a$?=9vUva9Lf&bpd( zR_rGHK$aA04`)qhD_Rr6O&vh;OE_LpKHACWa`t>9^OvymU;QryValRr3QZo{hg-U9 zn-rmAhZ_`Ma>ItTb2|R?QPS8I{I+ERUVNit85SpD?AR|8u?#h)dBHw6Y)@jiS`t@t z)E3u}nzxs}G?v0;u5fKaPh(%ON(a}c2v-YrP7pM6``G(N^App)nRu>4Rl~Pw{<BP- zZ_Wu_5?_&%aH2Eiwau+xNw#H`ae5)a#x%tob2>~UhUM?$YNjXD=ljm!=L`WX=!Tci zcb8H&#eLO!Oa5EY|7^{rFduE-a(?-OAt3&3;kUWG+UTdNQxvCJN@d!Kjw5REqvgkA z^f%$;I?&?PEsD!pqlA(HYgVZUqX+5F<Y*P2JV=)G`n5{HB0el_%A{ztLoWzj?)R)Z z_|0Em8<;XTt7y2x@~*!5%}#4z)*Oq({2$ULL1Y;n8MF4(<0d^XZmASv`bzI#&IWuU zHtFMTqJ$qZI|s~7&s&ljSB4>`Pn=cjYo*`J$rgOyDjwLa`Dt2QlCPWEMw<{sC`@(6 z62L>ru*31CQ}Yfu(fyxrvGd*EX=<7ievhdbX8|fjkLkxw3RL`?Q$785GB)WCsw4t4 z=Rg8kor$AW2d?DxwNiO=vjy5aEC$X0(?qF^IhM`u|J%e^VoFi(9_hVD^rV!vuLnq3 z!<aAG8O4VQP>ci=y7r*=RR_+}_19LA9bqxhsa$^6E8_9ejPz`u8)c}^ztmUh0+f|x zrmTCiLlEX6)pL)EpaQpmy5AKyHq(%0Sy3A&{R&Rw7Y0NMf<K|$l(9vBF1B9Jr?qz) zB9{0CtVsI@{^NbIp`yEHapF*-BjT75YDFDnfMVBmLcyU1NLrew;se8;`J{7xlaQH< zF^EjZO~m>@-9dAG@5Fz6-o}Pnt*DgjUycTm(!tV1!NN6Kf6bamtc^2w4WgxkjaoYZ zvOD|VJ1z3`P+SGp0bd?V4vP7FN%827Vw{jFT57Ja7T)~K{4qiGgOIL^M7hjYKC|@8 zc##(FB}p#J+$g*9h2pAjElG2afH|E#^rmmD^maJ&vpO?2s!IA&nD{J{$4pu)x3o{L zM(GlEr^~Yib>Fq~Bs|N%Qv6_@)MHMO)#1X!DPfzGp%2XN`#(f|by$?$^Y<gMqU_Sb z0t<+AcZbs5-7Os}DFTYPG)p%~cP$;#DF_JC(jl#agovWQ!}ofx>;2>2I6G(VIdf)C z%zVzh3ivA9uTl0V3O-~N?W%EwAM*&U^7{$XsM^0c8Ib&rI}&+KNzj$?(pqklQBzE8 zz?o%FbbANOG1q*{o8>2_&)7s!bi~H!2p8Rk8PuV;^cqJ6Bm6hXG~2wW^4h&1EHrHz zB-AvkpTccs@GmXr;#Eh)#RlLkd+@J2E~}e6Bn%dt-fn(2p<LXY^>ZjGH*XudWeZUh z4R_A4CdH&R1<E@f2A)2&*$*A4u;j9CHbp*beu{jCj?(1j9UWz2mS~S)tKF@L{fxp5 z@4yTaQC#vaqJp8%Hpw6zUR2oTqG3pa>X)4d{m>aiSLMhL7>lFm#t!0cu6gc-B6sa% zAcN8sV#cC|G-I=$M8INkfRo~qZyBYRvEA=%?!5`Er6&9(NFcQ%;VQYq(QBQHWF9+@ z*Hs<kU^h##4rHL#qx2|xf5*GJL&N=^rc&b@qH4SSn^@m<-JGWHW|fy;FVqD~|HzH9 zt5;EYzHRQ4f1L`^;;h0*+Ss{c#*JIp3@uGfsssv1hfU3~Z+0{$vem#r>;J-sqP!Xm za8$+{h{7|;`}qcBaZ1f12L<tUVg$sU&<cXuM<G<oocW;<zXVVC;vsC~B{EdrP6>T( zB<0WDDPVBFq~OB624C`i%)G4Vym4f&3x1-p13j(bCmHYK{Lo%MDrL8#(1;qrllmwK zn_ICA6*Z`ReVs1|=iqSa<;8^L;XI6@CGY2bA0TbYh`{EOw|<3EK5r!=yonu|!|msL z<q`MfC6)3y;L<I4G93Y7J1>=?>T*hu(IP3Ye-;UW8olpcu=`St;^k~6LTB0&9@X=I zkY(I8jvU*2-&i<2=FlO8mG1NqnFyifp6VT;5LDijgnbfK3WG{(y~*Rld3ZJYii#`U zs_9|)1$pu0t~j;s89Y?Aam3V44qC;fOyimg<Es{YYvEj+msQ0;Q|HeB`)`16dsCj6 zar!%M7B7$%Es^#a=bLHdpcMY;i4cw8hz?cx++!Fc!A$P}J=N8|!yioTf3t`uVS?9F z55u|{wH<#@|JXQ`Tx0ny|MAY((Qd-OW5cqd;--;LSnw0~-RNmMrfN0=p0p}%m{BPQ zDPa>5I&W+f!*m1G!vx;~7Je7YI#jW#wSw0BhCNzX34^rT^)C{6k6Vb)7UYjGGqQ|+ z#*q<UhvVh%zbTSfr3$4LOxRb!CcJxKcP08HjO=peVO+4_duyJ1v&Kt`*x@zydHeMZ z!?Lr-F7${hPI>DRlyWtOi15QfzF5oD6Keq&qwsX^fFjk^BB(tNYWsrPHK%fQ|KU8I z(<^ewgjG}zzMfq&x0Q3jRm%T#T>ov6XuycP811_p4)>6vFi9~?Np0q=sHnbSjN++@ z-7HN-u3-2>j|`cS6JwI*&HQvm)tsmg!ymPTKr+TW+7i>AmuI*cecG7w$T>{vLZ#yG zw9A7_Q)TL7fsnNl<rBf3E$_q#!c?xm)rHl<J-c~S!5t|>HQoV!f?`~M#xG@?3{ZJX zA;eu+(T^K%#>3&7i$o*H4+Wx=W|6&O_=#65vXVK?M0AdvjHns%q+vGv(GB}^@zKAc zKNr0>*PqWkI~{2^Xv@OP2)B_>bTvNyTGQ`K)zlX!zf)gy>b=3f;`2lJioXMI>?XhM zek*4&%!B2}%Z@~r%{+RegN3{xgYOs{HR|J9qvw}<;|7a%^zNAS%El9hefDGTAHs`T zbLP|PG-t0+O?`b%J$p*C)B8BO%N>RkzT1RK{<_ubge?q$)}mCfhj)|qNod-|`lse( zMLQHIa!mS>vC`eWNGjzV;F}+vHntgIx}pkUf|@fA!vv;r%dj-6RI?0Pp7?M79BWYS zVJf>@A#l#<i?%H0p30tOx+V(rohjW{^LgGJ^6x{UlV1WZG{U(T841CXbAHIT)Bax1 z6?c|%?op&0FEVpB#i&)&HWI}Pb=U9N#!ec<-pav=+%%)!x<a>g3vI!l-jlU%Ln*J+ z&+cy4qORH;>DhwZ>79e@=qXpUX1|}tyFQcpo3QwGn`(7Cp7YHn-?aZ76<Z994_Z%; zE=ZF@O4bvF`pL(;KAK*WmGr?7(a~@*qBO~q6dmzLt+gLLYrJVIlxJ!kV9m4<W%7>A zw+~HxQ?0w9lQPbml_WN--O#(ZzeE1#Z+vtR0tO$Rd1Z$M!(smP#Fhjmsa_fy&nBo` zAazfl$2b3uoD!9nPhsd2M=E8Xg3yRsFs8&o*nHwGZ&2&+s1O?G_j~-CS+i!yUw(Hf zh4GDJ=+Os2Le3_lP+amyeCx83<Bddg-atMvU@~q%K0`s$$-e-iz4zngy1`PXhS|-6 z1IzuFr>O>T@a!9Qgc(D0Z%gE(`kOC*+(oOJD%|yEWark;C{-QzWeyRmDi-<F6>2`g zr7BxrUQhZYqr1ow;RKzCL{V;`Xl1OkBJL=j`zG?5W35$Z0*u!C^4MrxL81&b>?pCP z%ja~bG=j_Ie>4gxoBvXG34ju-%bM5@M$K$@J5<YrF!mUBc&6Y+h75M-fnY+0OG{kU z04?wG@Z6n+OdW6E@@5?sYYqJ*QRkL8iqFDBP*y@XGf*E1Y?cu${O&znej|Qt*yt`x z<CRI-9I`NOaMpRxH-SkfeUPNly>P1K^y!o5y}W1$!p`|2Z#Y=!O|%^F=q=f|XjEc< z6DdkN)XpAnQSnU(1~teV-5aJ_bZ%>SeSN{GovVT!`av+E?Ock1R*S|jcS%@_#w!9e zgmm%i(`8BDgjF3CThx+p-Be4=|0;z-5LQ!9HY8z;lbP;kb2eI8;Pp;=md?=vO$}Pr zMLSz+qv~)W!~G23UBV8Mau-_W)Qe1lVC79e*=k#ANfAGm6c|hq-&iRhoE|z3O%Z?J zRIavaYsrt!W^ekML8(p4izvA>LQI8+C=DH?Z*+I|T|9d_>+IY6;r6w>KqtL-wOq|M zW2wcbz@28|H0>^w#;JGuEd)zzyyZ*ipk1RorSD?V(^<;kf(#wU*#g?k1$#xSE1mSp zwN7RMREre<ZI46<YF9Z>;jMsWr^q_Kqw@89@cR3v^W5Jd40@YzvQs?s_y9&tc&6cL z?ajqc*4$0n3hxyr-%yES?$cCcOWS0VFqLD>k@^uDNejMs$TNky>ZlavBAI^JmijL5 zZ(&)tDPW_s1&P{Zu%nKERUCY_ZqdlAGkZFF{U+GXJjy(;ei?Mh!f@*Qn7v~)f&49% z7f-O!p3V=aCgCBt`Lz^{?&33_ZCf-PO(Q1CeM^OS4@pF+d{tJ2mJP?7G_w=Q1!@`v zH?DFZSVm3{`6k{g0zsIwb%0Rb0xr4E7cm_;9+_pjj;8Bb?i^1|8^<TPpPHUI_44IG zu<)H9*1vblo^1M@37gI|eA!4ZKI1}{io-4MpR(wQZnZ6)8-kW+IA0XpQL>`K1Czh} z%UgK@o&0(xn<hbrs~^eCGI@y~CW*SW)MPlrc(K`^nqoWWKeeaAgMz*zx+U*$RICxG zv3oiTK9c(0L&SFIH`x7;T<Dg300;xbX8_`Vx)mlo!w9@~3l`01&Tjy_SSsXBE&iYI zUE}xifi$Iel<0soC86?<z2DAe8uHvWG}z(xCNFeUHjc^}Pf<%PK=}1r;bHlqSsB19 zA|WJUz$?_N8kdA~ohO6=d&9DFHEYdTCgil68Q%<*Eku93Dg4tbJ2(u$iJsWLNpwC~ zUdCmJ`Q+bfp-5ih=^+8e5Om}tz7Ox4qWxPE6~pyA>*F5zqNj^%$(M?``Q}B<WF1Yb zV%uf*)m@{Jv~MPx(v&Q)w!z?`H@rkLr0$wCJ%roK`xb&A1v|1t2#MBP;jQV+dE472 zxbxmN*>O0P)u*(X?J#NaPXltrw)CRygxv$1SF-TVh#VCjScIf<XSmO#ZxIW)1arL= z$*$rV_6Ea8#gcHa7%l%tv(vyJYlwd5!|yXsN@@hPNhx5A-!k220O#8t`0RSCCsN=+ z-+%{Y-|ESC;Z{%00(BUmGvGrsP7kN25uSk7<(5|Jf7Qr+?;S^XFwSS+T2b!!tLRKa zM=*pJgg!9>L%6_lsXz_O|9bd;b<n%1RRT5Hz_j7soeu<RzCC?1q+WFwCK~wZiS+{* zqha=~K>yvgnuh<j)rsYdUXtu8K76-kJ)dz0)M*ynFjm42y%0=T1Ww~<YL&GIUiZd< z7hD+3GMy;QGEI-%cHC#D+l~{Seq|>B!vU)#+p60hz7YHf%!oS^7-^LpFjBt5B)Ijh z)wv7YTHUg9Z+#d9O9HsShg+-b7WfzmY&rvwyISj$h*G99N(<Zy<ClFajFjNUq8fH+ zu%Nb@BE!t5)>pK{AMaeyY_udQ98mX8L_YFjC{zxzvo{@D`u8f4{sGIsu;NL+s99X* z<c>kIZ8w!%XA3@Yi$nFQI^+`gauKD9)m4-<$hz$|YFjEacRN;OC}N`zz?=fcip;0W zKBYn{w}J)Uid6MNpJbmrl~M73>TkSN|GXQO*LXo_uQ`?S`2W;Dd0VG>4ITiTow_io zcetE!dhS*~S)RB0A)k6>M-RgxpMGLnza%U*9tdn=+pUqZnbzqDP=5y0%Wh`KYA!x( zc7m1?pnOH_&@X}s#x}RgzqxfC*AmKRFuEvOV0|d$ZXW;N5M-Ejs~)kBNw4g<VK}>h z``<=IN%0vf`&-*_{hvvYfFVVRDeCRhWgs?|K)!8ha{>er<Ma@d0U=QvzvY~9+hxHX zw{;qIR4fqFG)#*B^Le$2+qUvkl{4|ea124`mfTXt@yg9+&bO5pllSPTXbgjE;D5~7 z|HnKFf^c=p4;O<m&P!2u&m3;fPqf(`P5Z61Q6KgBaTeks;#f&m<0Sl$#WgR}KFvkH zofQ*K>M&hS?l9e;=K2&?oj3hRYM6j(`jFo5VIkgeJS&M@Ect_x1tNx|trIHML&Six zg|%pfS}d<=am2i0kwT#TSAoJgY*$Zt;zd#&Mn5Vpc64Q;BIA&ZQ1q;rBG|jbb<^Rl zP0EDjxQa370pj-ZRS`4&kxHwN7@|8wZpllKfV@EP?d;qXm}8>Aa5CzYXo-Oe+0GoL z4uDF}z9`G<eCT>_Nm6#dr~9qJ53VdXd0q2Lb$VZPMKL#T+$>Wmkp4qTy`o!SYJ+&8 zmpKq`fwk%pZB&e6Of*LdCAb6bn7Zy>hQc9Mql(4WdE>A3{}uvICbL(5S5+p7+C@Me z^Cte`Y6q8-;}DE_&B=(>ik0{J-c4=W%%}DEOg(toPIEt}Q7-DtZ+{bC;vCsWpx5RU z;cVV80OdBb$!6?sk_$JR|2y+i|J{TPWs3ys2*KS4iwF56?~ducS6bvk>yEXz&@_Q< zt`XATmTc}@E!$9;9rK3OT-bbr>AMJiYmp0=y7*o9U$W?7K6C_;vfUPTKgUZu>LX%y zK;=c)Y|Uo^+{>kc!4QdauU>*+MAHBn!IBMC#8=)h2E%g2Z8iz}#hcigf9Fn;Tlr8W z8hwW<0(P$z8IUYl<2H<cc3dwP+U3Vu|B*oIxK)ns?lCVa%r9e@USfRXMBvxsL8!IH ztFz?lju472*^@ucMnR_>za9&gS9%zll=f(}`&v|ln75ocXYn3mh|pibCT~KPu|+!f z-*O!9y^!^nF)%*27JBr@xAh?Ii}}h_pQw1f`B|ky_9o$L3JmFnF<|xj+>$;>Np-Mi zeROa?Y`wIV9^EX==r!-h86|XXd7U`tLTzj8Ajgg@h%cdYsA&RBUMmK+zgr21r!5hU z=u(qht%Ng9ep0T}`3N?5&eU6?4suv5?#inbPQ?^yEN+pTZ7^g`kyf;&H*MorCS;0Y zpisbI`0S&xa8ZJ#gkBlyBvEjl<7fGS-$C;Vh45hgx?w@D?Xu+|`pNf_1&bH%$|bU( z^o?|yWzyG{uO$sy&qC%J7Xt8Y;EwbNI}ja+MJdNJhvA202c*FGVw>oN3`fy;As+(X zxbsA93VxE}1N*%2&qPXe)Fj4=O64!?#Ny5+*V1dXKW^W+MHcnI?m;p1QK5pL&aNI( z+rr)C5OQwH)={vE=YJ><E2neoGdkb;SaCKGp;17^y&#Z~EdO&r65l4nl^)>@HpVR| z<?%;R-Ki5zMB$VmX0i%mCMJ=C`bqom7Rdma8$BW!kQIU;-ovQw(A9dP@H$R;u1YTX zjWm>UkP1og_YP-zs0inq?G6;4c_UF&72|Yk4F`F@*OII#H;A1nfY{j+lHif+ep&dW z8QzHMGq<DVIk6AYBqDiZ$!Qah?@L#G;CTG0C`5Nt%qv4#Jw)tZY3b`)wA95HC#f2a zO7<}q19sEMF-Alc&{!PU$#Yc;&HcOXrZaV5s(*Jf@#2DQptgU3nMg2-g?s#D5hX_3 zj_H+CvPVeJ=vzmGsk-@V6E1_uwc%Y2o0zcD`Xw0wr}X@eq7#d>^~5fNEA9`TZ03KM z$M15Fd*wxQwtmvwqQ2{Jl~paSl-ve_XbTn@+gbSd=Dza7$d59(-^9xYY3^Q}q=sFC zxH#L&vnj!DpDCzEcgD+{q_TqaoyXjrE@Q9yTsLVSSn?;@p(s9V>u$!iD(F*nO3+f^ zTdAhV^&Mf7+nyY{gz5&!)fTYur9q%}U;&o}!72g^xFiUzV#4&Z92VbDw&L1Sr0!4N zsM%n3#tSm$gg~lo6{#@f#f5G#d3dt;0Op#ez&EvX=2Zb9SQpGZ$p;ISz)!t$gdl!g z0EV+SvrLfWjtChLKYj?}$FF8*_O%Wv&pA3H>+|=z?=`+d@wz=ycE)^E#J5@0{(g0x z+My!J8B}Fs?08js(!wLBWh=Y6+6l3SYhk02f<$nzSK|{r;q5qC4Y%n%<yyKQdbgMx znKvsHBzGv?&3r-jEisVFAIKPWvCT8*XhT*Z5L>-YY^r7Z9}Ipy^QwprhI9StNg*Lj z@|?No3tZ+9Ye2=q7GCiJu6igPo)CCHOhqbAruc1NZ+~_Ne&Dxk-WMi#1Ihi%5z)nh zi)A$R@yyV#_*M_n8J4JBBAj}5d+wb*@k#pdl61GPU&6%uVcRdiSlYG|!#Pdz{;Bp8 zg<#^OK`Jm9xK_j$#h~zfnu(%3$hQjKp|19A=-JMj5(EuRlp$3`EX=<TXMItg{o@}B zJo_ana-THTJsDc*|4E2C-x9Hz<mk*;?k&l%^6Z)4^z1j+{$Chc)};sh#$9+hv;L<2 z3iV!yEQoZMMApGrwH)yQ&%pZmCPy*8OT}O2@dqFRoir<EnAp+l0wU0IhqUua9r3;( z0)5?&zjYS)H_q*-XhCZ;&W*ZIuH;IwC0DrEunUBsg~3S{X8goO5J+n=jx=>JRldK> z$r$&QJgE=8&pOua=kCAxqS7V$aqa|{QkA~xSv0o5IqM&<w0;$+&$DnD%}Kt+QG<~E z9TGVZI6n8WNnP*2$a_*;PK}^~&wV$^uG=xH+7_Z=cL-LPqindxc~_>Zu8kh;ii!=m zvFv4h*})RXHMb4t2ufXS!}Kas82*%?xWr7Mf;qi5$#&m)QJsO1aXZ<h6uGvYZwJ1B zdQo8WtGOR5qjT&aOJ)nMtkqGbj*kAljKhAHu5bM=OW?Wy7xDLg7cOwAfXm@~uS>Nb z(kAthc%VW2Tm;X%Hd8V2cGD`ccGE_1z3V#0%PUH*^2MUDFfI(kf8q4KU;1;q*L^MX z#In;xlt94wv%kcam+7yXbMo?%jg0~jR(br@FPW``WRe$wA4^zd*rns+OFpxS8sR?P zj4HA~P$TrMK?=$Zq@c2CW=F`u2mw-1^%F7ziymbz`z;)QY@Y^K&S!r#eWy#fLd!iq zHG>ihY{xwDq)6M}#>*)R3#+6*$|uQ1%*r|5y_g=7(c3@FclPm~f_9J!K9*sbUXY=@ zCblriS21UXsNWGVN7|sPxH-KhP)xP&FcH*)L)jyU8=4w&_(nbnHqGq7!@C!Ji!yp` z8<AphF$7tCOcDlR7o}nRLC)V*rHsG7;~l^T`ZvDK&7qiR#j*C<zT{)I(&%q-+`aG` zkqKV$og(`t=0s&B;RIQiJd@e#`jkcZQh%Yk#oZ$2FzYkjoW3Ae)itk)k--g80XE-h zE7at?q)VB$2<0>yFnvdFHt@;lbzZ|4=<cRb0_VGR)z@DLJEHBEZVajKG}n5Nx}Wji z5{YNizr6b5C)W~wQHMjAl2Xv10B*o;XgbXqRaA$grLEpv+gwTdE{X<@CYHb)6G%kS zh@wd)PL2tdCum$6=yUn~-&Zct2$M+g<}mubM>S<GhHeYkMwly`MbhAC5=le?%2*mx zqxxv_FDl1Ee(x)mXwXCwyvGmy-ZyXZ)`zJMN76iVUsO7Nh>2LFUkJb1B@kLl@XM62 zpF1YF8wpNMkx1;wiYtTPqZa8YJ{1^Qs!Qr!t9)Qg`|IErW24{^W9RFkW^Li2=8)0O zm8!AZ5;Q*MUc&pE$4av^zvWQ1(k0pWd~b+kU`sp7PNkd?BdDKbOwRt?n3nyQF)@1y z=EuiGr((5|Id{_(1IGFvBjLT6F*5xkke!WVQ?3@mB|K8^8wu1?wi$@G(&{uNJ2c&2 zr<MFgaUmbNeW3vU9yW%}?N1CqXEv25RZTpe^b~MobE|SKz;Iz|FkJOrn6EZUHp?TE z{eJh~h5V6-vf^?5TwPmTsNk*;C+_E-%WY`5keZTe(Bxt9Q>XE%!`y^fr3!&n_m8S5 z!biKpHLgD<e<Xb-@eTXeafdtHlWJqDZT-J=Q)7|d65URt5T?`M)|l<5ohloOogjDD zIXdt)AUVEnOM`7CN~8oSvX;^()iqC;ZJM6iWbNy~#AOh!6I!b=+tn(~UB~vDXf>-d zFQ7`gs=~=aC+3^R`#{&v<+?|v=T3#p<=1V#3J(H5&9(g1ahNeZFZ@|$uzB8+|3me> zzQ84?Ie)hXSyn&Ra#$qHW$@8p18G+n+Bt>Pq9UakX{1>|yU>61HCL+K4k*~}&_4Z< zzb!s9_f(>mv@7ph8v%K-cx@NVz;4V{P?zRs<e})>?`;wKBT=#=!Aib4@BLO<<D$r; z%}1p2k0$(AZieMMAMLk!?z=S7{1m!n@B%RSRtp&L&mqAt#2S%k(qCw5O$*9~Dda$T z0ed5jNJ@vGeeHJm)WxoZ2d^*eef~CN8c5*r@Rq@yTM2pq1Clu;|9)GD{>W(1$VJ?_ zdhN(LOLt>EyKlSDFPkljfokU~u;S%1%l>1!br<{-%G1C!4O=xiH)wYDpTMn%`W8k_ z!S^FxoifsBJJ^qV2=@f7E#<HvS^|;xd)wM!L2L-O1fDo-*bfIx4}Dpjot|9fw#v9$ zLcEP)SP)`{dWSS){$bwWEaaD@x^u$EksZh{-Hh3XR#c?aJ0GlN<utQ<hr{(*lHltP z=>{6Y5r(#Ma&ENqB7?hj7IJQE)$Wm8aYC?q2TVs)rb$-I7<p=QNvbiGXXWthf_dpK zQ;q9|T?KPb&K1+UiVpJ{EQ*mpIQdYZD7m;4*K$gFs%_|up59-i2HUR4FPW|2Dy8+w z(ymMDD61B6S^WCv8f)r;1k@KrWsJhzn(t{p>oml()Db_sAI~ZlH<FSg^LwEuFF=Gb zJ>3ApCU0TjyA<)@OB=ob9jn((@MNx4OfNZ;FISbTOPJZ$&kJ0b&Ia81E|0a<7;XQL zSkyHYtZXCK3llq~Pa0Lm!WSm=Os1POj-sto$=oX97@3Cx;mqA0mLqSJCjOl=hnt)- zqnA#ZL&Z*+quNiI3D34Pq<2TMbu$p2s&=ZKY+)7kjwm}>H-*F6PUf{ijQHAMJ^LCX zhJTH*{?Xcw&GXLDk%j=h`)b=7&YV#q4j9qPYP{I^8s$|VilJ;c`7o`9t$Fpxz@l+{ zJYW4=veKczPZ_jFqt?SmyZuGC5T@vk=!S-lhOCC@tgJ(-b62;<@_&tKf8!>o)q~ZJ z#>gis*?Au}JmaRyPAmWAnU603b#YrGQ_mTMvpB+!b`aY+<`$Zo+<bkbQtCd>=#q2H zHJmkTp9-)Tk!DuC5QDt)Gn!ctWO2;+wu2DJF}E&FRvUlzjIhXJf)u{h4`%<x0bY=) zT|w!=H~XDqeKw(rLc#)_k34QvVm^(1X1pF2g$oafiW-iH;usEzG8zgCmmvmpEcI5+ z|Gj-t9bxm_&RdgNQsq2Wgzr331nCnGi?TDRR<d@kR<czAO{W=r9<+kKD4t@uG<H5m zU)WDo)wgrkeE(HmT-kuIsA;|b<#$_0sbmy4l01A8NsiV;l85>s$)iRh>jMJF98aff zP9>ri<kH3(lF~-4E2i0g!1<I+jnWFYT|HSWt0LHw_n%;gU)JeFOuy8;_9e~YU1=mj zzaxLdJNpa~MNhtE^9ro$Ji)52F+uR!R2dSyKe_3o^u5r-w2SLaK|0Fo977c9LH=lZ z4&-L)iRe~A?&B@UeLMlV5295uEUkU-8r}6i9|!hz8ZD#JVRcfH@<dr|Izs1!er&2@ zWFCYvNbdC^qqs2h9l5*Dybd4Zttwnb|BaavNDCI71S_>Y@<+%?kc(?2qN4!0xER2k z3FPAVSH%!t4=iTO+imHgMqF>Uv%r3-l_-jud~355b+zbB&vx99Ab4r440&-dsZ#{m z;dYrlF5=KDdivNRQ`O=Ce__Cl>MBDnjBNMQ!#Em{uyowHt7Vc993YyTamU!}EK6pk z)A({%C^-X>(fxd)@XmnS58mtD=0RC_nrWo!5ZK4Hqm-eIM1%tXjDd%*VpEJN<^xy^ zxQ0K$2qKU|2s#3mmt&@prh}jzIQWSfPV}_3#Er$w(zV9Q_tUu;<3MZraJRM`u6Kpf z2{d2>Jo{s_ypmc*%zW|ExU{=7y(3su#h3($GiRX;Bt|9Q!?G;OB<vu2fz7sX`eBqD z*cVR8iV}d_x(7&Ej>$@{v=Gs?0yXgf<~*E?VZl4?`XY77=eLb#;(-RAeYT@VyaOA* zcPM2yAbOrjWPCEfV6XuUMia`O7yS(p`f(rV>nW#2CY6xg{ura?)IwRrw%`de7Q%)A zDSjo8q~Cncb6_uXx$DbJg*+3!b{&*L#1II<ByrKYy+#{&xVrZd=*h=a#Yg7>4Md?g z`VQA6&m#a(=%Im;BAr7#s$56Spwue1H6B8HN#c9JgTK3ILx1>#g&n_}C4d4Zd0c#G zd(CRbDZfEwkQst|C`=Wws5&=vp#v}@y-bz-H?|+E1W&wBm1_G%z%oL;!5vz?c|JYa z!h%T#$<W9j4&=2Eh3e@$^u4Qaeuzwi&`xUKJw4wv0$XU8%7%@h8BqN-#2HaWuyr)x z2@-fPz#=#I2w;%}V4oJ{X&6fgd-`Q@40TfvDEw*x!k}4D*$(DQB-F(V4a#LkgaIWc zfc_82#e1S<80@rBKnMG@uSUaIB=<MXMV+@t3V6nE@<*qzImf`=BQ1qe$L@%8TIV1o zg#61YmH`_4P0(RD9;6ZXx)0A>sRG)R!UVtR-n9|OP?Pr~LF6Eil!i1&Yme*jDlp_G z3F5p`OwjLZy&5%BcTY(KpLkytx3p}>Qt)Id8RC4NPw>dcI+ycJQ4!dY<r5UK9B~Vt zV4@(-J|Q<@gD>cbLK59i9z5{g5ab<4{R&Gjm$6leWeDTAj{k5>fPVgs6=(Myj0ArL zLWsW#p^I4wCnJ9cz4lL)_NInwwgs}oty%04BxSY;N^_r!mJo(N^!_<m5v!0W29GdR zzg0+T={p!3!k_XydqL|R4C-hu^<bPT-420Bw?(iwMkC9~IED+J)xeb?=@xtXJ$iqu z--+no-xXFiM^a0g`%(v)dsFMSedFKvU*ZXzbGA0Vsw^sdea}BIHq6d6R?gZbR?b!x z02OxthduK>{eQWuSpJ^nCe!W-8GFJ38Arlb8Qa3eyvq(#>janqck9J(hineYwidBr zHtv9jMywncoh^c-$`(Ou2;hlV{l4=TA`EWcKht{+TV-rxmh<OnJi_8NJml_ETR?8& zplYj-@U_~vKh90QlWkk?!?!o~iMENH=(ZD_D7H^db{@1cgsIgw*7n2|roZdK3G9H8 zNCEY&0`;u|^>uVWug!S7eTDR1QV!!7SzTXO6UHNXp)p7=XdDs`8iiDbq5*D_Z2SF2 z^*agC;dc`H);Y@$`R3hEc!%9j_&&QU+oUWf3==Ip8mRkVUH&1z=Us8#5z#e=`gPm2 zcu8IMWi5goy!r7Fpjr5ohyxJY2?1n4G+qEEHCXU1>S--`$C3ESYW>rMd&2&qaKQeS z@YVjYaPicryK+&{azdZ-EfsQ?`t~hg*VV|X?7~-I*4F^%V`uoFs3-(XTo5pEL54*o zp#WU!_1SlI-*dTr?;B4jU#(tY-gulJwPfrw>Y!L3YF+rIa53MC`+Ms*M;+7aq&{nN zgx5!}C);bYW4k?S0X!CfOGRskL~&|IL>WB>MI{0H)ZS|tu>7uky|QYB<p|7)2dI!@ z1wu!$8cxRD4s$m5qvnV2wt2kf!TR$_NAAS;RVyO62BvZxgXBd-A-xblmxvgoG9n%s zAW95$`FgwKUN_ch3+R$=fGz#j2BZDf0h9J?hp`>|QGZ(87PiMMIN-g7cEF%47Qqwe zzB3Dazg_Rj^}z2c{ewsJVgNq(CPP{37ES9l0i<I|DO<T=^7`zaAi527xY-uvb@Qg7 zY&k)er<Fc#%|i|nY!11JJu@@nI2V}wZXP^w;d_>A=UzzzB?Jx-4;+98ja2qQN9jA{ z@S&lT?`o-U$eP05$>CnNOXveM?1oL#5p6-<YXYx@g#IUhDX~Y`SPZa2gm30@FJ@(K zLDot{zzzXzv_&9d>=0OLz>@dO1$0k}FM@y<f3#SL3;ER14|I40bO`eZi`c9^Qhe;Z zdQeM`it>=7N?r+vKJ9e*`@n25KV2^(CujRrGXAmuv#5JsNV?y-lBi&U+L`NA&B^+K zQCtG?_CaHopI%ebA-Vmuem}_4Hd{%1$hRgqJ{<YfuYF*f-8cJe%`<Wgd4c;>Xpw`j zvCooFfa>^yud8p3Y*=J5d$<kqLO^s%n@7vmEUXLaN_G6jc-6YD_Xp$!lcC_^k&QuE zm-M{wVs?KA<i(8fy0s^Sn(FX@ikllI-G+3$RdxPnxaHFZv7`v6##815E?%p>0@#uj z&)oTr>+T0Sp}Ch5c@o-QC}zHUr`+MAFfXLK^D8xL7i@Eq8SB4G9GhE<RGuV@w4O<e zl%78@9BVsv{+(<nsnb%y%i(PP4EQgOJP<3btmdxaP}w?r&!f6`Z-6OFzo~cbJrCoa zvQV0mrB(XfYy7JEegY;#Ma#^Ej+Wxm77exFrK2hzAJQ`q^8N`Sx(C0O^RSGLb5I8Q zt;5RruJav`1^4O}ArE;=#S}+6!ysKl107wx=(<NW6B4FrDZ!Bv!p3^j_p7opu*C`I zROUS2R}H&==<|j=SmS!};L98rd*x|K*L`<wgB3U1Nu%aD8PA2hy4kk%KY?;x2s5hV z2aBJr>jqmOFQnW&F$*JT$P2y2C2LQr-_*wjzhvaP*dL27TJsDPKwgLuh%9n=757<2 z6~Qh8Mdz*S;<sU!rCx=7Yl4?Ti`ji^;eiGrn6f_0@YTeN+)Jv~KNg810nT!#X^#(m zy-y;(kvl9M_$k?){g?P#g=85Fhwh2~PVz97>L?^HCmQL+3Gm;X0RPPi@ZTA?_-`J7 z|6YMBQF()DV%*QaL)B-+pnPf5aOao8Y~P7NY}mGCtlWl8tlX9&7|JIA=A6e4!4^cZ zt-QSq#&yC+2fl;!n4#4J7pHZ9I(gW>=gQs0#bfCUT4CVA!fi0NYJcia#(Thpg?|ua zMbiKNmQr`>@<_+fz|_FNsIo_(;3#{OUyh<^e0qr$--myUgeI;bf<SRe=|xeFY^$xw zgpZ1k$QV>=O}<d4*1W1GxuT4X7s~l<x~UiyZ>cDTS{tG{|31tzJwgV*?p_i-)m2vB z`bJ&a@5NK-6-1+h(;dZ1@{=Jt;x6&fNGq{ITykX6@IYizUy(?xqSv_qbpdnw$gZXf z|8o)@(a#E(Byb8H#$hEgLefXk@lnJ~C>3I+xKU!JFt*)HqJ2-(S?gRX9eAk%8Tx=& z!CFy1F@sV^ay*(<dRQ@qY*;=;P*Eubs+bv<0Jk=^Ct+Z?KM)z&&nH=k2aN&QKOhG^ zkYgh<ssEwC6GE%31$4YwX+podp7J(_-usP7YLrgtUyi<vLYZ_*@=UA|Sv?|S>-!`z z8hryKdMEYgam-QaF|VU>wl%ak)CEy3#!ugvMmvWWq}a5xm6z1B;Z+ObMj4cD*fg_U z8QZ-vt(4342sE`RWosHTWtin3=Yu*xkJLl$y4djCcyXiR0A(`U)uP+|2aCEnEKT6p zvYCd$m*VBv%hZEQm<ZF2pxZum9gC$<aR>RnEp6R{(kxlCaiQx1L=j9*Y(P?PBg6AP z7K?_=Rtj5ysQZ2CN%(2{5p$f7R1NPW+2^fl`li~xJ<ok3d`DEj++Rj<J$|_|ku_Dp z3Ko`W^ekmZlDLwHE(Kiv&?V`SFX5`nsuH2DRsl;z*>udTz`lkrwvy6V?KhiXWsB7Y z6^m6|a2b~m-1o`1kGf1b-qbnSJ%~KsmQdB)q-QES%}5dm_;Jk<Md96$rFl03^6IU| zM@k3unWD)Cei0|KkM%{HQ%bP;{kXkjN%4NKrhcNL74r0TJY3^w8=LCgDGtTV?MfZ; zwx=mfwbTxTBC!qe*_;1`EqS+WI-yJy+qqgIusH`}c?|{j2#Ut*XISx%fyurDCM%`5 zG-6p~9vWQm{9y+FPwLlMe1vbZ$<#CSpb8dv8GnXdqm25av$*e4DY>9j04IWQYD@Gz zo9farIdD^q4!Njp3KN>jfp7%ebbB*DOyyY$xM?CF)qL~8CHxPkmB(8P@9Gc^^QXoR zDPo3H4xtYeO>EnBE-}Nbzl|MJ^cHnF@4BLE6f<o;nzaioNVe`Sy5EPsi+)iqq)6f# z*U-U1?cl2?Ja+ijEoF{tiM2|iK}XZZjPJkP5T^Q7ve_>;hTp1p-Y`B@A`2HIM%j7D z6K4Q#00$@t@qss>fHx=rZx{mJ!1R=1Hbaoh<*ya+hBV*}q{IpvjggO#{DlFc4|IZZ z(5!4ZQLN56ajcX<9>TKL(at)q8Q#RG%^>;Bt4D=+=8>!<6u=$SfIEBv?r;j+vL3jl zP9rgio<@x603wPN8o_XXqyT^-cng&gk*u_rN@R4uAF1AdG4Y?2x&SWSj0Qc5vNT~> zUGKg1yji;$@@%R`G)l;mgn!_(h<62wo~1r|meMdmeB_xfg|XrxiJFQ97g~9B#8%gc zA!&BTSox5WGruF$j>f@=!B@JXN<DXWZdUFP%Ntl&b(wt>EaljSf$8_6>?oUC>5ibV z&NE2W`uM6xYBhiJ%&!p*nZ!-B&hFJ1EB=b`7)fhvgJ}-6Ln_{vg)<owi`GROQB#{T z9gi65&HvQuIbsb;{Z?7M%>~A=jN%#w9~2#LHs}~u*o*c))RV9mHQmeyq%oWSeZcSl z(#v+8-8SnKgId=Vrb%Fmd%!BzhO(U#DlXV2v6s|q>gdbWYpjreM;%-o2jZao&0jrt z`I|cn`J0!96U}T|0kglJC@M>Imkp5i&gK(?QsF7qs^%P>G`L%e6qIjFIy^j^*xu!C zEJI6w2=Ie}exY)8_W-_)-9yuLy(W=`h3m+|uJ-PEC17egz|@*_E_s2e!Cg~0_-Yql z?Jl{#%qEuMa1$DH=aWWwu}!|I@3>@nLzrKC6QyWkoureN0q(RSba;mR$ZE88wB_(g zQi5EQ5^X5zoU*)?y=AOD%B1<G9z0+^j(-HZi1VaIC+%vI^+f=1Qc3=?2LWy=9H)%` zs(H;kLj8v7iS0+6=%iWt7DcH%e0YV?WFv}(I(Bv?$YMiLx0K~VxvTNiZDV*<{WM<? z^Lf=`T*DK`BF^a{)))IODZxGhW2yVJ9)`SzXlml7en;R~fp1*3?MfWm{vdG!yDn_E zGOdI8OkSq@U>Y)hq+j3dGoYJKZE^n0dDZ<ntD}MNXm*o*ELrrbHkTg}VDwKFjjDVQ ziZ1|;AE0ZvIWa>hCgFD&)73Uid>nU9KZHWlt_$B0GdW#`_)Q~GmGiU)U!WSKKjr}K z7>dcT0~2w?eGD?IWnOcrnYOKdN^`&SieBlFNf$n!Q4}S&_+i@OQ9kXZXnFi9K~_Ka zT@Aaku&0=9i<`+qEQ5bI@v!r%3c5~r8R&X<&C9$x>B`~Q)(g)Lg?sqye<sJ^v`KgE zI>t=SJ=BeUy$4@s4EPPcAOb~dtAcDDpkLSMt9B~Ko}YWj8ZG^$p|;z9V#KDOdUn8G zQro~_Q8H`bS6G!&IhN^@`nI+~z@ntA>R8t3pn|<@X0_V&SoM1e$MGeBFYbZ2Kz22c zsL<-@^=pBduxhQmjCT9rzUK!j^AtI0miEEd)tnEH@HJIE8@e}g&gqY4%Ix_}th^Mj zlP+*sis?-9r0LW~uY)YCUN%TuEr`6T{%CZ;#c-r{a1BYiDDepndw;E4+0A4h>};`a z-O$}s>xpM#WjfayS<O>)M6EiXK79dWIQme2P2Nqznd>b-k#fDTIO~=XykR@$!W_gA zKt_}}cNLWVX>JjKrY8Yt8a2z*k<=04QC$0M_$Qkbs<!y8B8Be9{IlQkB3?mCzF}js z;yQEX=S2(^-aZd~LobWD!DJ;R`8@tpuq4Rv(t->xA;|E4Ut{Qy*`S|;u_Le2Dis}| zgyk-bv^on}5hE$b+xM8trqCVe87Hk#N}qt=aX9xILWaw&5MG<B*X#onZ^n>swQ(oA zsHJlZ!+!dQXpTZ1*)q4GO!zsqJV!mIj}=CtETBL@m0VQEYX0R?P)-shT8Do`2NdXn zf}6mMBuWBM5THs9H?o@dY@}8jh1#)Z+6gk@$5!$j`Ip8O+lLdUjPvCr;fBwpUu3t3 z>o#;yYx9p-gDU!<iYJxw=%J?aDB_0AB;P97<e!R*m8zl1e@x!zrS_qg7Y;Xjg;H!L z4o_0Xr*o5V4QHuq0{1yt^urzAjMb-T<EG6@AQrZR`IrPa3+>UU6i!TjcXilXtx@P1 zkb|2Ef4qX{=u|p8iSj8BR9%%k12knjSeyyuNbS)TDV)3|-PI$%m;CWEH=#`4IpC&x z7Y<TuTDtrLjw$2F*GahOh4hPn$BLs+C7=vECVT|Y&S^*_Xu2-{$Q@PkMXOtmbW8%r zCH813Ai=v^j@+tG7ga_P609cHza^%pD|x<;UJPTpJ6MR>N91K6WN3fCCJpRducbi! z^?(K`j3WG5O*TA&P2zvPNEts7V)71>8^!v0bP++D^z*1$C;q)BUh1~1?1MHVt+|0y zNCE!}qduDFp23EplmX$;@|()QOl<=e(#pf_z)IS1!ov$REoz;lQuXGZfkXd=z7!4} zG5dg>?3V!9a;&e1Lsb!0FJv9|pOhnL?iu9k0UlkGZ^ouj+F~6fl}|*r56n&->wQZC zGtPsSHRotnW#-~mWpGPPD`;hB5Ggj%Vb9e4v_n-4EBCUa2*!B(WDNR`^4Y&P>q^y* zh?kGOrqO2_AgFw1%Q3h8cg)Q1|3k1i=Fa+IcMZ<aVU@o|WacF8O9Iybio?b7nYPel zvGT04YW<HKKN0$?l;C;@E|#@V>rZpagdQ9+{QhIklbw8x6z>lD=v-0b5rzJK;2GOu zY}}G%#z$+R#Znf5dvT6F-4DDLm69yq^NUqlm>D`CmXW%#lk9jaqZto9{KY<8bab$B z9v~xyeOq`(GoEhNn*|g;|55uP`TpU-UNy^u*_nj{mAe-!aex=C*!C#S#rd=O<a>t) z2OT?&={AI|3JafBeHq`<Josq~nB1*3JarSD$+@uK&OAJ~R~lLX;(TnK3}`5_jc$B< zT%K`!TPku}>i@ser2m!f-<ICM<7@W{1a7G|s#zr1hb5$zbdU_U5*^3heiu6$MMu}y zs!6~2W$th0!}Zl%i3Csx01D^tw;VD62gfFko#P?NG56`_SRa#y^dAPdGA=ocTE1sk zA-DM8mCp2n(h3QxubQVFD@cw5LZ~kwJQ2DjoCActa<_yVKl?MaHBJg%vZqyZR4H$@ zB_3p@Yz{786V9-iE>$b|#(n<R2){cp+Imst#;SD0sJTQxaL_u?lcDp5llq#H;;HEG zmT4iI9Kye6qWA-1YX2|~|C|wwouIg$kPp7>1M|w~*LJ8Yo*@%B_wplq@xL4dm4^H+ z|2-%ACq(hI%>y4zvDHKDs8dB{_QrhVUr8AMN&_zI>nl$%w=FEbCPiI+A2fk~`}~MZ z{O=1;RkY<l2cmx>06Vl!p2IoV!#vrKnItcmJ@xd`4v%a3uj}F8FWlppe)1fn$d?W| zD4SF+S*?+t&oY$rpPh*Q2Htg8w9ll73G?>`k-uz*XVCC(h5&qL8^tBz)hfX{K%izm zWb;m7xDWGz#GKd3f0=%OR?TgR{vALTT$*gL6D;2F5rku>ZM@zLoFbqkFtPnWFh2lL zhl3<W$Z}e6A{x<%Ljj$A{@s{<V8wrbv0=am9mYQ%5`nG~RdyT_g+DcYjUT=bm9Wq7 zh?*dLl((A?)#F0`LcK2oF)(Jc*Jr*v$;Sycg-k8_M89zEI>0@nI1D0#%z?bsOl>LX zpjaCI*p|UGf=6^;@}Ue|$P)a-_(%{sY{f~RPyE;_7mk~@$S<>lPm^Mk$W*IN|6>S9 z%#^mlFaD#%?>&Z-BwO_)J8gc>u&H+Lu_wStvWEqZbWTtSM#TWN_MybOy=+3yxH*xN zD5;=tP81{Q0E_rRhN)JfJi?XWaJw?B)N4p)rtLVN(!4?UW+vC2U<@<&Ikk_%4=gys z=fIicF%&LXa17oDxRsS@ZZsDGJ7!6SNm#5rB97qDE-b7RvnDfRyq8Z|9jw$t-Kw0O zCOq>vQ@L<q8Jtpa|6>_K%*Y24DdDDgBfR*;a1VMC2F}3&WpJ)gT99ZTV4^}mTssdU z4Vij~3I&08A{am1ZO5^Bj_c_4*}VBbDFu@4^;W&`d*35?PVh7e%kTxxpV^?-xH$m` zhv^!ug+jQGi+b?OczaPZ@cn*D=(BUS5&4lx$*4X6$QeY5fs>lOycB8hZ~e52V2PCo zmRPJ{iPePf$U8h;hLwzvh+!P=;2jWES|v#aKoT+lB$<=Z%h&~Q6#pq`U}xS7lD|b+ zhw&HKGn}wa2d0`2>}HI}<KIZy@a^>lo?4&4q^n13gI>~WgGlZ*#*^orDz-8J63`DI z0Sc$3WaJ~+pNz>p$E=?pn{0|wnk8nj84hOa|0VU@GA8vTF{TE=D<V(bUn(F^S_<-{ z*&t8qcAF;^0(sJ$=o;k-A&D&wsDxd7DgQ6y_^~}ZDfX)Pi}Dre`Q+gtV=V|pcisy( z=Tm(azVA11{DD6i?KP$vDvh>MPystBgn+FS){LE$i+J=!`4a1V5p$7od{&ID6v)M1 z*kc60>3db9s}v{XJ^=b<TPcK@ofMXttrSVNofNI`P~e|`9~aFYPbBU*zkR&A`qt0! zaw)TGS*r2d;bCp<;g+gDVRhy&86N%k7N)L4qsm(D#`?LQNB{4UfZ%Pu-SMftxKh@r z{r8_|lAD{G#OOOwHSKTVX3wPV3GW5!x{XOZfFAuw+Kl@+Y);r0-f4krob7n8p7|q* zjqh8g;eJWA*SOEq$j)Bsg}67^|N2$-vd;7P{lDg2h8`^h3Ef4oZb<NALcr11EZ*+B zq~eU@BzS3C@qc<2x{=P@Z2dmzWOglTs=OmiB^gHvS@;=WlJK62t5#;FZz+^1dX1(s z4nflgy~dbuMZYG=<{(vwCp(Jad*-^Y&$!u!P+DV0_Y|TU4c#RotJ19WdYU=@L|@nB z<P?+fg6F40eT$Xqdkc6ueOT|1i=`07(5Sw-L%=lrBqGT=K~9BlvGl}Q>&^h;exH}w zDPGB|d)!ghg$z>B!~`gtV!3;~V+C*EU$q~_B3b!{#Zz#$5vtLrJ*h_~705sS#Agt% zT0Mr0v*jQCofQvwm$-hk%w)0;xs?s`eyG6BIGDZST&_3`pQ;$j*qtR&_jO?_Z4+FB zOaF;eV+c5*Rw{_v#iUosE4)~oX;+H=Jt@V%;_Y(hn|L$PP44fQsgD&y`$w}R=SUZ} zK(nT&#AmKeKfjw($<UQ4PA^pOoqId~fnNH%;9%!a4Lt$(-1q;&RH{xIUvbKAYL4K^ zAMuppm-)FKy&m8_iraY|r^OO*Fvn&Y;2XYYDb*`bN4r&F6n`>UH4<<<M=JT_kj?LR zbb4WSUBEBs(|Du38Q(h1`j1rt$3GA65CmQjMRW$f8L*TZCa!zCQEsG#95?EOS_EgG znT#^kX?jhk2fgB!7L#|(Llh)yp-=hDs9HwvtzGSq)zvIG%zUJY4!lEAt@QQ)XYVCP zh|&8B3e2GAL*qjcZO$`lc-n8+9m6Xaz2P;C*zgyO&+s?w-uxAeZ9Y&fYb!_O&Kjip zj2dtLH;jz>4<tM;MDCSFh+O1Eh};{l5V_cz5V_axA#%}Q*OH!!9%;N1xhwGp@;Y}- z3P*H%PA=#2kXZBi1)QZT@HMHW)DSYbT5lF**ozESH=M>p9~6yL8unVYDtnD^>8|+2 zKwDRAUuApn4Z>RCn;mAc$O$gywnK6~b7UIrkj`Fsr=OKy3{LvWqalUX_g;Nm5Yg}C zayi~Hz$%B}jw`mWXFT|Z*c=b|5J->ruE*g2#l8A7U0w}n#u2*Yn7#?q<Ql$HmS)|f zr&j;*b1HsKQJYENFVc8*uP>x#xgU41zCHJSU1OPRn{DK{_-zjR_yim>2)w|1*BKbQ zYAMx6Tldzst}cMB_F8!F!avW5W%2tQ8v*FkFwm#;piePI@m`=$MGxml5od>;A5I_e z3<$07cD~L#ImBTJ9=+T4E%~|DW-`Od`6!>quN|D$;Ahbi-xyp;;!C?4F27_>I?m7c zsVeYngswmFTKCLvI~L(JKc&Vpzo3>iKcfzK`Bh}FZBvA??Lefs?F*N4G{qWD0JYb$ z=SI=Pp3tq@^<J-!o_JF~N$cq4>3Zm8ANSBJzU-mz`>%(7=*f4Xk0&cHQaZ)pJFD29 zgpe0Mi8eBSnnwg2&M`=y9`ez?xWI|;42+Jr)^$T>CaKwqJ$uihnP|Pw@};bS)Ao*M z$dTc_wT_>veEnTU;fWr6y{xTp+a|ME-eIm`_&0J=sCNvTr9^yV`zwEs#Eq`TKZ96- zgpNxTMpL;aE~Qr+Ya9bgt?>M0i6x@kRoge*9(;;Mtr_{*X6n;7$v?unGg-f3N2N1K z{~2aK(QUrB)%V-qFVw1H$;i9vbvY%SbiMW4zbs$shS=L)IT~u7%#N|WH5MDNTlQdE zTjCusqb4{R4wvg}*~F`J6CGBg);#GC*OOeRGYTDgSxDb+5xk+RKcvDv)>T7Ik&Q8Q zuQ$sZ*Ee{JMet{SoT%<aJm;^S=5GZhD+<9!KP0GG_T9da<yPk`@Bsd_i@XED)C5yU z{^W?lGMLi7%>ZA=GH;!ya8BXT_i?=`&c7UQ^SRQ_7R;qyc5&|2wLklNX*_9V@?g(1 zz5Dg-?p_Z?@@}eE;}3mQHL=?#YBaEW)mCLpKNzzV%Z4$16}ZOVXJxCsU5Mw!o8hiG zrmyETxrW%&hpUF3l__Gz4V(&J$NI<MkmgkAa{9VJ)pAT<4QX->J}M)(DbS0l@2y~h zAI<8<{w}`qp2=jErWyA7;SYg&$wzGAmW44xG2i{ac#@D8Trn3YpO>N_Z6*4qGBI>Z z(yjw{CNqq8w%)+*ih3ODWKftny~2k)SpR9}Sa4^aN<S#svj+tcwbnkR=~5%7+9;G6 zYW;3#?DQ%X5LGSP7u*r1)(^7tNJl{y-L+41$K(JJ1`x5tn>xL+>q)-LHWcx1(5d^C zf?ufh=Jy>Ihf3Ky0$|kliIC-+DBxB1WxZ1>nnIKerUw-;J;;OU0RyIoK}%6G{NwDC zUl-aDvb^I3+E9O1C<8+ERU!e?AZrAPO_N*{-*Can@P~qv(hruR(6g`ECv2wy5&g^0 zU)bK+T|yCgf0cbB=0`v5_AbFX%|e+^@40Euk4tTf%f)KrAGDXwZzHU)%6=R{4GHu3 z_OkIHd)cgzFWGR&ZZ;P5y$EVQ&vVO>X`Rf@qnoX-Ge|GGqTLZ?EAJuODXsNE<kXL6 zU7n9&eUMjRoy^*>n{DtoNbe2XuTpsOnZ`ZlFWI{4<5C<@25-_W*yx)e(!Q&eE?ju( z(LFx#1yURJT9)BObFJ6N_P1nmk5lP(MWi%vSBxy_8;tR2cbeR{Zt~OHX<r+)(?&0} z(}s(*zx~hCTZ(?|OW>pP4{Q#nA=>Mpwlt{Sbv8*pG|<U;=2vdCRvRF2E-gY6O$h45 zgF3m-&PWTvGrDEd&9!1{+u!1>KKnnazB(+b=Z#mCl!gVC5QU{(O6gRPF6pjCx<Qas zzDO=5jdXXdbmt-+N;gXih_sY?=l4AKk9+?(%i)}<^Ul06pZA>^NzT^YtcPI=zTxBa zIgGw-7-x+MU|0BL>MO`f4As5zNw<wWflnl?`)0GEqt^cHQvWNdt>>{A{_y4lrRtx! z4-O}!m|sGV_TT3}x;CFbFAJtKYCsQYM0M$9<P9{==cfNPD(w>^ju)$(A*<^1AV!H* zPQHE}o=o@fpjKH{^O2q-M|EGi#)$Slg@cRJ+C0{QH22@qV{@@a$1~wYlr<KO?hCh< zIFMbcZqlpe5_HZI_eZwOKlN7`eTK`E`>qgMBI@<GDGK98f-{jFYt!UC#Kb3$%qE80 zqto4fem`C2gQ2s`8Yb`Q7ak%58TAmsvdXINFCwo4Od=l~YGcUow$paKUG<KbslV%? z=sp+^8<A6gSp1RZLN#*yPIb^tX07vzQur$|7u!t<5tr1nE?o`1yZjz*%4ZByO|}R_ zOzBH>$&K)V0GYHwtsHol-YxV9sq}Y9W8~L1zQG^Az!Qa8DefAfGOZK5d1`Ti_^B)s zwJpmsSxWPN<~x)9y!LREt#)R$3QweExG4or*C0<Mv#DL`D{7i~*7&|_@c6a_z4n_& zNo^jcTkC;ds4BSECPhU!>x^`@qH+~Ep=4X^$C$D#-wjt$nEX|S7r0-P=t&EDIdNm3 zyevD)F?Ne~VWWP`wr}6Z?-#&R+^lO-`6T~U&EF|5GLwG=X%!iO2OmA`k?==Agb(lc zskM=<r<mfqFY&PWSkP!m)DuLf&UmzuS)m`JC828T^rQcl2dqZxl3Y&ohj$inf<<#O ztT{sN7mGoHIWPM;Y?g4s4857URoloAVWx_FD?Ej1Hk9|x3^IPoM5_rgZ5cb&6Y>kq zV{6u>F5v`QH)=({x~}%*z&`jHsR?f&BvLt)2|{}_^)t7TS>~BWpt^Zj3@mB1D(eX_ zc!`bkIKfkm&y-%-t9fu>CxR@|C_*BupE5!2Ad3&+?qI;(Q_Ots&Ohs?z1XU&Ood*W z7`Oer-@~YK%Z|O;1)9kUnt8d16BY=X`M!+|6J)wRmSVa-2LwayBu}Bi8_NEMhEX0e zctf(%$s(OyOJj%(-=H@&y1{F$lP1jH`#(}pW5kFzQ;%=kCqxjpK7x#Yo`;MG^~jPd z_D^V)j+Xl&uX7uXw4l&5$Y2TTaRcNCF;zSs?L31_a7*Uz$(S4ZM$e^$+~>y*w4%XZ zHBx?sAAo)M8ozOovcSid2D-WwdhhX}OhlYFlMK5G6E5P->;ezVyrl*g+B@Tkysh!! z{T>_R&kzM$deD7rp!=Twyx+&wMrP4qijNxLd1c^8gQ%<{B$!<ah0r_6jQ(~X=jm^@ zX;DU#ZO0~mZFby1#GVWe6EGTkGawV)mwCuCYl~l<^bgZ!8~Fx()MLbaN>&hY+UAcn z@C`1|%f_UIMya0_CR1j9fxJSE99osZ(TsI9)|K31qu*yG`7%+b_iU>q`f;AdxlIjQ znS43L?x?(0om%Qe2ZIB<+4o#IBMg!zom8gK`5`uj9xU&dTnfENi3%zuUeG)xothbj zsWu%64-h@o;QKnPJ^IJWSIA^%Urhe@TAOaLOBYStdr=*!smmekCJB4(w-==Wg&Ud= zmM=<~j(n!E=Oi7F^y9M3`NFL~6RnotjUcjby4fiu?mB!ZmRV_zrz<E;&R8{02HBst zpA2D{-ENW91bBp`N{J2-=47t7XsDlza?{M21w8AadRIp6e<N#_wO-5XiyV>qypf<m z;x@&8Hao+v;DbrDlDHfqAOS`--pUsPYe`HZL2Ro^a-^2@?hL{BZ9<92P9kmW?bbc^ z0FQ`7DbZfe9EQz$4RyBx_7ahU6f{FwxCYza51tuJfc+<ZcIcr>c7Cdc&EC(%2j?e4 zOgA@M_<sUCqW?;X_T0<KMC8^@kd57t#VPYmXVr6@@=JZTsAP9WS~4bEHY*VG^%jId ze`r!hpO$jUi<3qonZB-QNIvW7&@i3L{Y2<<G(%u^l~B_BE0LD*YU>_pphtuPXp$9Z zQltj3oY>FICb^?{E{lw*v$me*s2(qx?xEGcMAdDOiS>B=W@Qo^67KAH-hMHJwR*ip z_AAgMgcOiWAty68@mIIjTW>uG>VS$-a6q|)%kQ%;xN#`qauKLLDaCfo3Wr2!cc9rR zoh}O1P~!#9Du0(^3HeNu(Mvi6t1$|qZA!ROV2!>y!9T|_96V}=zlX3lLDwE0mlBCf zIs}<A3ii9i(OJeRsMXFD6wmMKpbNd*6=L{%%8(7eA{mn{66$iJD%R@)pY<?kEJ6|` zx%wb+bQa|b#Qb9g@@Yq!R-?zI1U7!tkh;ursNDEPDfU^WoFRiO&&3e-2&ifFcPSB} zghNm$sEIi)-2$bchT8g#St%=DJX$kubagtKUw*xI!)tKcvUs7}KhVg1U?hwf+ntU1 zKyGf;?{GWNC6Fp?rrXjmwR|-f>*e)mNl^Ijw59}E*QS4)Ozro)*M}Y)L~UIYvF02L zOag!ZXaAiYY@EDvNnVdsDDt^6y*@T>;C}RGWY#%-@tvL1_v*eH=pVcjohYWi=bi_% z&<nhiSFweHkcWG>pRovTK7Y#ZBA~^Eelo9ppr*(-7y|jIHptf>g!u!Zq#%0EgwB<w z`(#;*Wr)=WUiXGTVBqyY&`T^UwLx)KO08&NratTkVeMH$Os?5#iu_|CMA~Xl3nYQ8 zs*q*KoIF!FzZoqb*Lpkw0b}~730j~8Cql4p=nauF=OBR#TlCaSkULhEs^am~yua#6 zPYBU3;xx$Qg5q<gJ%kKWlkE-Ern^1}i;V?pCxbj<d$V`)^%SN{3l}7({QD$(Bhd}z zo>nSM@?krskA;##Rfq`<p*$r7l@x#G>wilrpJv$$eNH?`pJ~X(lIARf6lHsp=Gc4h zOcjdgB!I#BETcnlw_@g*DJkF}F9qc@xS(Z_H5(CXIV8a2jf@ogvnQ_5wv11?;RKw1 z>7Vj42p+r@w2a_ki=JEv;TNO*B;5G;gX@c6Qno@LSDCPPY(&SiAxwUs{o^K=LcqS) zpM=)~0j&%vPt|8b+7m(H|FIGA4~CHAX7CM=JvhY;C?)V^ca%YHfKRpWy$4f;Vp<4b z63;E8OK`V{uP7-gBtXMpl+PptEQ9dah}_pg$d5kr4VXSSRo{puAaKd}gh?TwbxG&z zCZ-H)cIm~RQ-yApWBDq0qC>D#)fA_ce%<~Z7Ed2%Bbr2qFtw(CdbbSd{^xns8P^!G z-(Ssx_WsIy9p~BA=a;fMha_*qmgbtAmgXBt0-b}?9-P+^Vv|bMJ%q#oeULaYto^{y zj>0_a_6o19H-iTl#k}FY^eE{F_8wNb0EI#|ZCR^F+3)qT6$Z6DtwzGa^nM;3AJ(1L zYw3NkcbUmUaY)Z;ys&?NfqDKDJK{5y8A$5Y;Ii|)!~E)Pk-9|BAMLEcfQrVetI(_B zwDKl<?X}`Jm@pS}r1@uWS|6^oJNA?ExUZVwoF8u5(Ix6Cw<Kym7X^hZ_H#ztZFt<v z+ur~9&e`hoWwG4(c3^hzu1NgxvgY{Ldg+#tLZ2+ve~JDIy|2S*|FYoQ+$28nO54^5 zMl?zj7=?@eWx2W<&cUwellVY^wR20%M14g}PTi{R|Dc9+EI?~r?5AAT1Zn%ySy{0D zZXIq7<9e1p>B($`g3norhqdrqv->`ON>20t%R61X9p-H0S}!tY@!43me<}t4u%}6o z?W=<<VN4dTW)$qUilf8C-v)7Hx5<SanTw(CNiHKI#E;&<mc63*k6O^!zgG}QJax@G zvI66+=M=Wrk*O7PK03h-jLC>n1#2iq^8wLiX~5Qj)Dx);kEj5>ZR+d8VeV7I6yvQr z?xsOoQy2aouBJ3ZLmecY{yqA|ZgH0YBE2HiaYg4J%_<Y|mA3DT+=HKsQEYF*9M8Oe z(x)#9<##=`{keE1WRqPn=QP);yL1ya;CJp`{7=QRkJou>PPZq0>D`Mi-bZ6y(CLVH z(+^$$Sp+@(Xf2Bc^JKs-@L+%xcrt+V0~oOUwnb#lN8MkgWo$<9vww}Z@Q#I{;UDs} ziO$(y5<+t}pFmSLbD<fV7SO~^e7@&j$q|0Bu-<6~g=kLESnNbk22QqX!Ys>A(gY`o zKSW7_3I1js&n~*+^Y^<(@J+i$@Q=DG@-4e6^7p>WMDzPd&rLQQKG{1+Pkj@6Uw+#V zUw+q+V_?e=I<RASKYFAt1e#+T$;UK7VTH(M?J1N{K|U4T)|g^vCqKD;>`NW2;!BN; z@}&+V^i8?9dj@A3-!^2<9INXWNwigR6PrlD=%`<x{pAA537J9i43K;YBsT`hg-_v3 z)w_nwCL?wI&FQvEwLB9Eh(M5h>V-P<ODy_RI5zzm9FlPgC(1a3V>NCW$~cYHy_!$4 zjYLUKBv>eb$<TP_>8@2(=BwWO-k|N?pzW8S?dn^G_ZcR@ncX0%o4^FcrXpzj257si z==Nhv19fIiEW9%~Hr^>5a(f0Ry7lm&xAKXF^+YfzAmgixs!5#R{}q2{;c8u0ntw)M zav80RRnv9+1KYJ-3~gL9emq`%#`*GOlWFfpS7=J$9DuFs9gdzxi|tY1clqw4qo>|t zdmZ>)Zr8ScST&d*M7VcIP0*^t)?IAyVvcv2Yi+hb>+NMJQC6p$4Klw%{43E4z}b#m z_+ODWLGwz)W%>P@(by|sD7$#0Os&o|aGb^%A3m20c6NzJv>CsdkC#-RZN2hB<t#Of z5Mh>t+cp2LmOMWkV|?&bZ}MA#3Agy{J3I5=p11c~t=DEyrPwP~4t?e-OP9x`*ja}T zzFm*I-d12g?u6@;DN!}~8+kjS;|PD%DJAN<*j)&>d$PRNSGI_U{g(izFi7LZ86sKz zauO&I30Zy5DOK=edT33&7^nUE%d**$8bZ3p^tSBjPuu^VMkeqiq~(n9kEEC%hzm3w z&HtTiyui43hc)_a3*If0^nDrTrr=rCN_@QMe-eH=Q&xr!_rA1=JJor0qPUiENS*bH zVAY5NEly|+O<%E2whLmSrbE<+%BNW=2@jYgo~F2Ghf<6-y+-0t57$o0Jb-_hB#Yt} z=%pZxK}{D1h_#H$5TqD$pdS)i8`$Sz=^5HZ%uv%=#)J+SIBH4#F|y6WKHyG5d<=c& zR6lvIk6WN0pD>2+n~YWTGtQjq=*HK<{M5?)vof>;hIT<GpzdH$w_P4T+vg<GU+l&l zJrKg8G>1H*?{I<cw}ixC^GVof{Uo$bbvV4Qjyq{RBou6J`8rG+050{RVIo#}<l{zm z(VlEEgd(na*fj7YOn&GylP{C^QbE;JgfVV2GFB$f?X|kS2w9sg^B}_9NgF8u3Ubb4 zIx?^eX``mIN>uVyXjzaUXf)tJ>k(Sd+vH(28rwxY0-se9Ixv4>cuhz|Wwa0Z{U`6v zNja3Jt?!TgI}S#Kq(vS!9(NMvQ|L1WA<kcou?8GHnuJ9nHhDx})rQ+rDXEp+zR7T^ z8*=p7C!V^jM~6~mHoQi9QV+YW7Phx^g6uRPdqU{5%4eLedivnw=?IGq9P@|{z{mR$ z5~F%#U{3X~&0<uCGiJu9hb!VkPaWRmwbL1L)X4uo|9(yqu4v;<vWN@SY6rB@XWJfI zb0lQ-vC4zEawp}*O+h2VA^yf3FL?=z_U!YBB%^l<&tGpG9cl_k3OAw|zncYAy18Dy zF%Pw!pgOAK!d#xc50`Q4&U?-976i{pJ(WHy;ZVbb&0HP2zc6Kn?j~IE4YTq`53O>P zD<YW6&x1BL4#{QYDkLm@Sp2zO$5+Hb83i9UpGR--Zw5dfKa<FobSTp(;0?5<@_`i+ zE^J)~n?4b7#orDzK@no>>*nPpR0OUW;{^X{{j|LgCaGD;Oi91p2YJYxZaO7Axd|{; z^<l;4iz%}F#tIWP9&oR{i{vXr`v~*6y2|<Z#dMxVBc0}j&ZSly-ok+kbpzJ#uBYZ! zty~G!Utu}RLE+`_Sv#cm@odq5{bCJi<sS6zv^3m~byV32MXjS#C|T`_Vm)Yjl`^NF zA;W3tm)p~CvPK*uAM1*g<*JJ%2NjL?tle|jkgm^#8>?IwT6Ima#JjwZ*y5eKv8^19 z<+m*@f#KdU&h$nNf1Y<ix<*jP!xyG4mY=xCxR?GGvDU<^$E<%**<9${pT>7F`Z8U( zbEB6Xx^8xPS@@=`J*8zt%OSbxSyP)F(s`}i_RE(PT`9x4&Tm2~H3<g`$hUGj)d}?G zKkO^?heTn{Ke$9I^dxz_?YOF6QKe$W19Z(Yzmy?-59%GyFgNp@b2|(B^Ge(Y7e=Vc zYqK#wCElotF8!z?Gwu{!BQ$(yre2@UUIqQ-@9pVBgdtqWu)Ss5Bg_c1^{%B@Eb~@< zENuGfq@p0GVKF&xAN!z_TN>^%Bi>l)cw=mZb>Qem?sVL?PiHLrN2_~)&ZHf0>Z~fO zwFIZZN5#)DK<l-J=|{hPMw;{b8SUSIa$;Vj54SBf4J)fvb0)chzi<TJRh*J3P@~-n zf~?GwX$q~&L?9b&kWEsC8J_bm1t@15k<;Y(vQvlOmd~|kLXTu^iuaM9F@c?*%ftk= z@kUh%6a=+zXBf?(`^Mf$OS0{MjXJ1R34f8E>^K(1@wV+}ymwj3L$!EmtMD61S*a<V z-c?y2+#jD=XKvQ{7=<w+<_V)0Y`(u--b01h63+G@>oYSWq3k*-WRXC780;;XFUZw4 z<I<oL=uC)I9NlmX^gcfAKdqU$G4?niY}J)5@S$t^E$nc?d+@>eAEibq*3+s~%GB$$ zsvqpDEB{)^D?0VWvlB{C8xzc=d#)=lt<RrNvQrY>43rw_em4JwLp1JAMsz(;IxDAe zOA_#jf7&lAsXvAI-@)$XqkX<Z`hrW335}{np_DEi{yTbO0({wu#*4kPm?{^-<loq1 z(kE;Tj-8E1c`YY3?VoAXzE`?N&z5v9Co$Ghf0%W8)KI*P{I@VUZ)S2&p^`>1V?bzI z()`b&UMD*Dj_<~@sUUM8s>Ye!kcirs8Ak$4L?<eLq;6vbj|INIJl=9NzNRXv4eu1X zdBAj%-{Q(GULCPNg`A7Uo6V;=bB{lkE#abm!Wih>#-%cX>*FNJDFYwdF&9nTxuAGl znMJ_+(2PAjJu3B&+(8~e*<Hjx>jP18+^K%d@Z7AaTZ@O<JI~@LbnK(o1AY;5*AsX7 zd;2p4e!gDFPP44M9DP#0?uziVpEI!0^L_qe;GCKJnfgM>l_xh`pM4K_6G6(bRWr#K z`ZbLCQTlO{3l(4Ywq_^;1n?YX{xvvD)<}m}slC57k8=IWfNGpYbg01pJpz;Auazsh zhTC;UIitI1sn3`&4V&hpAzHM1-0<;a0j|%wrtI?XQt~6;Y3MsJV;2WAc4u36fynLe zF|(IN@H3@&jpF&kMA}A!?ctKLMx&c(E!TFnjA1><6s_x@)x%I^78yF_*BFm#maIvE zO``FTru$E-j2XdamiDFEw&Zi8{53Y@brBUir4en@hW%8I$~SO~2QiOSRB4Z#I|`Sk zFO<#%YkY3~q5HT#$+ls?p}BIhVZV@Py-PEG<J@}wyynL!OIzaVdu<MGq4Ul{1IxNu za+&-U<&$-W{fwT}nh8aQ{brtueUb}sOg-2k%kb62|NOCWt}(;9$x*PuBVek!ET$uN z$RsIR-#d!G@S>jDHnti>OMZjrpEWg74BC)q)hDl)8_~M|e2(YUtCb}eAYe;QyxkD@ zXBIO-SN&i%3DoiO0Ox;i_-yS>cyU2P?|TyEhz{GgH<>*E4HgHu%53@rU+NP!Fq#&P z-lGlsnd=nDD0tKLcMeg7Z|WjaZFmQXK_f%8sp=wjcV3iKo@!1$_lz*49(nx8osHXu z{OKrD=W9?j<^P6|L=+fg?7gou<s_cj4p@T`R3jvg`BEejHSZ+d|BWNw9Foy4)*}y` z$2}F#D}nQP*7t(UvBNJ!5IBz=YO*boHFoPF8fN`6eqbK}`_ivwytbzTPh|W5x@!G^ ztG3-QcykACvIo9T*?*gBj9Jg%sfPWbQMX5&a_y)Q_pk$&CxBi!b5z~Gu|Ll|-ik() zDOekTsSf<Il!@AR8lO<oAsUBKuqPlc9g$rpf~<>}TO^j0WAoWe<XpXZ6ZTH8<yn-E z>uMy&N_vks=&zQtk9|Av{JePrey9JDc^{4`7mXq#@ufz+ROz}pe({3`qxzH0QkP3| zP+GPP&u~U=pv3V&i?!j(LL;Taez7WPRI5Y#nQj{?jA8rTy<!6o{RHKf45uN}i;Ezo zl;xSSmJGY0tXdsU;g^B^`Fo{vE?W#YJ5khKzy13e4s(Tmb1dy=7zs5^ghk`klNg*t zXl}lD_Q;2FWjXz>nQ1GbN|jh!vYlv@+E_A<im}k(7DBEx%tO6r5QMdL)L?UwhIwYE z8Z@<)F?S=jao0)K$5Ru}DB-H%H+2(pg7f%CA9O#)VAQ#V!j>B5fw)CeV_di!@qas@ z&_1uDxO-%)I>w#06`C2Z-vobMR9pRGlEwOa%BJjd-ks`#w*TouqIC^}ho~nnpgdt) z=2(rtV;tEV522o;?HK|+$2x6YUiBJ1Fe}k>s5|~5mk#4?qOlZGGAkbIV{Q31A2Y|6 z{<%a<%`9(hdL(w=$@@psew~K3EQ&HcS1p63Di7r~Vt%djE?=l5o;x4*#kRIA(7I7_ zp)*cPXBb0qOHdK;`2*5h7XICLKkBD-rkWy6t2IB&G`9+&`0TOPL=%m(m%7+Qme@Z^ z?XJJtQPKum1G8w)OsZ!)Vjh}w^Aql6==eWQ{?y73hVR>eZ~S~2%sYY;eY%fb&>@C~ z){OJbR^X+r&&aBtKVp<K&l#PZV8??VCdv|>fZg+NwxE3h9>E(@qG-i;Edr5|y$sH5 zW&7BkAg=_-yQasaqBhL&^Q5I*hi)!*bk#)Y?Nny;q|3u39cvT+NF({k<VI#s(?Xlg z#oQIMwDYQkDF;UW#w;iM68ioxbpnl9HuhXxj8kC8X~tM>qU4><9BU`zx4L2G0Vaaz zY-g`%z3wX`C+tlJl;(L2dZ4zH+Bk^3VP1v^^rWQ)cO&$H1Bx~6r0N$#($~iC9rn5d zjUTzKjQiyI3aRPQlSWQNeGVubs96+ue}s_}x%@05iocxNICv`MWq56Jc$^ggpbdWF zzA&tgbv9pYngH3slNaG8<IDGQR43PXY^|1>Qd0B>7v?Xs^x1t}X`OX1F!PtPz;Y_E z)|ty){`aG>N=J!gYB_7hC11&-2z78UujJ+AzxtlJ!fJ`oJi}@!?DYPK)2-#O)so?* zXS|pE^PjXy1C7rQZ#m?O;H&jHwZrq?twm3%#5DdiO8SGEVvVV-mf9B8o+<4V4EtZ^ zSH(Ij%xky_0dmnUJv4m06#H#f{JFWkllWp0_18wLLqiVY;D^wIMBlH)D{8N|?~D0+ z1@o9?<%58VJrGcF4gxCtZb;$~?HV>|>xmCkPluS;ZnyBY0q|W0fNuc+e22A}r1#Hz zXLiUWdj;F3gwB+!pDb5Amq1l6q?kdv-A3jcS&|1D``}hf!{Yc*2ihf}Qt*8#sH$4< z_e{qWN#m+N9mV^=@|e_Nb>yK2Zfe8KWqE%h_xo;#h{hxyhV9!GeYn>3*^umejxHxo zrTi1Rn~#eEgl1ys_RLJW9=8&C{@ybF=(i47@}do71zAQRo$B23U5}^R7(eT^%hxzG zy<&-9Pd6@XKBA^|X-3}@UO_|{yYTmFHbKGB!70x;K9C(Xd&{X9d215S3enWaq)2Op zyj+YjkZ#)atjSpvm=pG{bcI{wYOq0be`b#Ci|brKwFglG7H?lxeUXk57DStmVOT3R zrJHqwt)xpoG8m4T)rzGbFo|rOC7D-?eFk?IvPHf($)sgV-gYhyD=6!exAm0z+wl%( z)>FPxK(;H@jJuWlJ<`1xIPGreGG^KP9k{($o`1P-w`M~6GRK5e?w1LvY|Xx7Ktf4X zdfxYIw&3U2Y{<H6wlL3`aXF#nwP?v-ngl$}y6+u${B(j<TpBuq<`|RLV~m|S)~gg) zeQet-zR>*V-zpc(s2=~=>0OiJ<QV6v?9YAbYdf&Z#)F>#h7i-uXel?y!kpLW-IZ5% z<lLRKNs#uuS+cJ2;b7Yao2k4vr_EQ)&Jiya^)vq%wJuA{gNzewhKel=mzf6IZ|S&v z6x;Q?imbf6PS{=hK(&kLCQZr2uab5Aao}tE0j$?~tN&x>pG%{6>l+TX$v=21p0B@E ztdT<ZR-+8$uc;*8*P7_F_VM1OGi)UiP`934MO`(oa@DBUE>>t)p6n9=?HJ@`CfV;- z!)W<YKMplj!9Kq=qwRD_#fxTM+o=!YHp{Z8&@Y+anV}u<xwvQ5;TPd<CfVy#!)O(( zufaD}QIfXx)GQ3$IcA8J*df*fF+ashx!NLA0ZtQZ;56~b$+QU7P)B`R>`&f0)T}O> zDT~^&Uold><Qtp4il8o<m$He{Ydqb2q$evHPdnpVS{YTtFjn7STqO83{;l!o7s2lB zi~NPgKPfhE(Bwx9HR^nGjc4U%-HZU(t66)2nsBw4|0RqqvMwAVvL~sG^fk+}?9?Z% z1?J=Y_6!V!G4VG7^HIFR54lo(f0#||UFUB){3AUY*~+l{+1n!c*}EbfW?LdqvmKH9 z?ZfUN%|E3LkpAx<^aXY?bwB80>X+?e>V<VN4OoF#B1#ZTq@9`<2z$JIQjuSB@P(5W z-|w0@VBe1ZA61t)n{aF(*O;T@)Hzbg@8ZCezEqoK-j1@aP0MkGxreU8V0G641im0` z5^IqLfx$E|J3SU^UARMRq2W6nK>=NTRRvv_zNM{i<v;AwO))}o^Fz>dL43KdH8)MQ zCD-RUOB<G#rfK`6^bvA%!=yBaLyb-Q*lj=)@Bm}xdv(+B`{s33y@+C-_hJ^|j%TN& zG?`w`>QNc4@0`v4ZUlQ)kEuwTxQVs-=@<sYl?7>oP^CBC_P}^k2f*znV=^X9!CGX0 z9D*hXF=q21#%zn)H|LNV#^4skf7yn{zFkHjJG@cneK<?Y%sEoca!78McuP+`!;GSx zC;rz>=Q_TP5l_VH@ovA`IyO<ol^!pAl#&~1cA9lH*!ueKtIbneq)J=Gvz^=14&}bW zRejp5D%X^hvJbN#k~WkZm<`{CjhyEg-xW-T4%_EhVexiO)Ao$;j!3JTS>ud8g?>V? z30edZ@9Z!M(cNxb|53Na8o$eZ{{AOjCw@3l%6jbpzI0~`P8K=Cwffi-a@yrW>rcl- zjKUN|d|ws$`U(1IHtKsQGXGWI{io6MWdcc6dq_ygX7E?;dOSJUm&SR!{avF6QcZ8X z0-20AkJx5vT>PSh>J6jSTXYN36=Fa%5Gxp1_3_Uxx&?a)Wg`C2eEUq6MsqFnk=*H@ zOe<VDdZJ%#uw;#`FwH?G%$|*iZzY7u1}d6AZ^^f+PY>_r`3Q{mT6}*ZYOCNL3RM9E zq>^&+(%s#=DZk3p3O@ph%G)n@<Ol)^q9V2;15V*5tjefa)nv<96MGfDZYRpHG;821 z>iX`j@hbm0JSpe#N2K27GU@4zZZVEYt!40%bejEfO9Siny<50IzZv0n$)t?cVdErZ zH%8)hcKD;P)Kdwkrb+yF+?@T*wyy_jLh-1TYYpvBhwFpb<;(ar9W=P@k8wu_={LWg zOk#+S2W4cPY75CMJ3@<noC?W~Hw_#a6rRLxwz@O?ClX7aBET^Vy(PSIuWWq1s%~T# z@gG3Tf<g!OT=O`qX+;UITiWdN0=``qy?4tK*-6GB+@Wj;{){X47)FyPV$%`1p7gjb zGz=h!<RGjndNrkk6<RGUnOWRy&EVOuf@pAukn=_|%D2Xg<WTeWdBAMRr5mjQT38k; zZ-B_-pEbNpv1oC30#B)MW3Dyd3db_<D4<pqg~4x+%maWulC8jh$nQB!hNS+ofrW<b zRz_*IQMeazH>LS1I4Y%CB13W0obs614DCW9sfTYB2-Q%dgNDPNLaWEc61JNFfioc7 zPHkXaffigaszp1|9CQ@!6^_%V#+Ig^#f-c>?;t$y)F<-gO)GmAo%;1JD-@CRxeOns z2DJ(y&{my}OG2XhUjLCHs}IWaON8<D@Tt&2WX}_d@+)#2PH>@bPQsv0dE{Y+cF`Nu z>4<cc@YGZse|!F@JgwQV3MPN`>Y-70KmkiBN2Ko!ZE^nDPy0iSw<R6$B+I;b!l|sw z1|Qk;x=DP16%HU^rc+ri0`2)9ml1hHDsWD01BbkTScDQ&uMog(zsqQ=3V)+A-Qeka z+FERnOEq?AvPl<<{+waW0ykRoO<{rVfCxAoK;Jw--#2-8Zw%~^3-aTyKDPEBBXPX| z)zj>im<EVG7PtGP(4rHO7{fRG+HhfScB5%3YC3Q(Oqj@Jl@g4hVG^p)IYY-aytJU= z7scVQYu%B>tv8Mu;E!l+SuM@Tzc4Ln>P&ikMCEBtM0h@{A3gW`vmvqjcnCR9I^TdU zWihnGHYbwJccN+Wnxa04+)tK;ug49L4(|eEldsfSPQy&8b}C3n3^vMvE!R#$=ivS- zwW`v@sshunm^-A)`4{(5bjvFBW?IzBfgZ?c8)Fht{T7KH3Fo991{d8%Gw4)*ZUHnl z498t=O+Z=A<Qsdm6^~g-Vl;x1W?#wb9Y$HwIuA=7puo>T`u5O)W{W&dAzD24;=HEl zkCc)dtefj;BFzK?J(1PNNR;?ge3hU#&GE{LkT-j4leph5H^<}8&^F4BRZ|rTqPZB= z2s78F(6D*eJaQ5+-esxNF`ZJh2!xT(#Ng*W!e8`w`kDW@^Qcu((;_zfGi_Ff8mgU) zP)-HrNR&JUzp%8xD2h*`DeXa~;3Yd7EH0XbBEBCEi%iOROuwU(wrem-6dt2REjj&^ zaNGHW)W{glv&rtK@uV74GH^{+_04*7zW+y><yJ*Ab>(j{#EUDVUqr81eQa!=bbC^U z%>&1*IB?9yv=m_1jlqkW^B-$JDV9iuC#_eRHQ{jQ)|i<;nBwaCuJQ7T(RP+!4{pBh zs&yWdx3OJ_BsJY;hqWsK+kOcfne&C*=6XNpKMMwvlT6Y{f9C%PZs@<l$A2lnXfW8n z1U=y6$5Mpb#L=OrxC1g)Z@-0G{wFe`9b-jZ;+q?9KIO+a?U<g6(>^=5h|T6>os?mx zBTnB!7PHR;`<kyQ3D^~2?2knN;7>14fOnVn6%?MnC@lY8PW$$o41o)sZCCUC->V#v z2ttWL4mH&McO!!t2LFlMFofHs#DU(V1ie`ZdeeEqIG9sjDT1n5UH(1VXz9^r8q9sv zHO4BopTFz*uv+Elil7J6?D`RKZ`@MT<(06y@-rH=bKcOJ@e@l}o1oG57BmGr0~nE3 zqi?xBc840HB+}5Y@Yd!yYT!L(4aJY+78sx;RGTu)c^_dpVc+3Pb8%UQ>JVAqmGA67 z>8yQ?Z1FRHQhxfpV~$L)CF66&y%ja!U2pLXp<#<Y`4+;N{iCC<w959}u}fr{ws_Yp z+J1b07W2vGiwhMj-LthpB$u|t7(HlR`@RrCbrIb;&Zeg_k^X5TnBV~s|92wy;kWW4 zHoZ^<wY2>3>|z6~y(04iG)|CIaWW=7yA*0-D$Mj)Go7#Blv2LgS!OjjgHP_);ch#& z)5`g--UVhT`tp(SyGqJ;(zZ6zR(6TkpT+l<GA+$6srWM(EUGnPkJ)ZR6*3q$8#L7U zMzXw^Nt_$?+0zmOv&X7wYL5wP{=>Yu9L<<{!7uJlyjcEx^knA~4Z!#KCn^-ue$7~o zp6>AWD1zYf|JVchu?)62yD_idca_|eqm=AT#F{c9{his1==;NT8!BD#pYXfYM|?yV z`|TYk`%Jk07x*7RbUHelg1WDc6-3xSoj8e7w<xpq=lAjdm(>;&)z`d~t!;An+S^YZ z1zjXQPYQx=nV#(Mb?btF^>h%hzEW`}KU2Zp{9{IY`E)PewPSOJ;K=V`vq0&2W<KWF zL!rkpcm7CJ4#DC#ks%j$lC8}#P`TOe7l8s+Ftmnovs3s30i(|a<d;NpVYBk)f?0He zg1=E7#^<_dmw{SgJnGBt{!d(_bG9}M?m~ft981_zTzfd#ItqB@`+-+}f5uAUY@Z<8 z{{q4*(F#@R1gL<&q;0NG#^1F6S+}0J{ZOwQVLYN+V5&a=ruxeo#*I(<aqjaK>>`*M zZH9|Ig#Af#$-StkX#W+M5-OmfM^XoJU~m8|@X!UqRUy%V$it>~XOG~-BdY=gi|=rt z6NXI+&G;Mr5}m(hKS9!ex<)%ef+YMe^$%|F%8|Sol*8M%P7yV}68zfz{kR_~Q@1D} zS34kAPsP{fy%|dQAS1kKpOeS8kw!RaJn)F88>3b@l6@cYapf%M_HA&(k(taMj>+$> zToI$rtuZV#-2^A3qKKwll*nzWBD{HP24-@(&tL6xfvpeha>pETN0i7%VKC{J()ba9 z>Dpar<M<PGbg8newtV1{+wY9d=p4mq-cFQVz8oSyy4r&J2N<f<_O5s%jg#K3L`IFg zZxVNRpZVpH>UWZFez@AwT=?!r%hup%Nv!)>4g(^b_XK|_&?DLz%(J!DI<?{MBZ$?O znXKkCZx?{E*xXX=et!=_;yQ1^$@H5K-br$*U-2{_M5fg^d)u0-HrceEvDp}tuJ}?W zmZ=@}qfHzNZV_<xqT;r9JUcH(0?VGm&xWv4ZotF`1lXa$#0O^H!s2t7s6FcTxGC-5 z;agYkV(F;mBBRVdhwse!&@E$uzj0v2LWC;{JT^jY0iOwLm)OJSHxprp7efTa*TdXs zso%4RagT0O9yIM&$#*RgXbjGg2l>-}ZO{rwG~SA@%60ssoAx{R$b9(fpPRDSgL+-# zW!*2j?`3ObhkCa|7aR-1;GGi9g9Tdf@zn&uY40jy8n>@4wmg)8jF6^)XTNd-J%Z?^ zMEkvR7&g*1V%>FV=XJM++rH}qAl1D_-F>v;IRkf+`Kpdrc9T5UvxSqAR*d7Y!b9`M ztI^$&)nchkS9phTVCk{6@tvnlWqb8_&C#{FVJK9)v*{rL$p@a5BzV`%xZ?sjJ)6i) z%XzQ`4$Y*>d-vL20zYF>xyMg!_Z+veZVY`R{+{}Opxw0{Pw@}i6k=EpAr2R@?;}@V zaEtyfl(`;FTq1JYa-N>m-9CsnShGq++v9dU(?;=heP|b##m7MgY0LJ;$EEikFNjPk zidR9B%k$96)4{trK88Ypxori^?aCgC=;sE=6LZBe=elppt4T|*PSl2#ziT?Vm=6<| zGe_-QhJx_4Bh}XV<<;XM?76^a(pDf4vP^^IxSu^7bS6yVbcmnP=2u#UM^Q`QI*=6p zUaRi@USVzZcQPI2`bJ+dk&+t2zjnmc)G)$^O4qXYNK%{edA9vHcy<q~beAq;s@y}b zp0Bb<5!Fkqy{d(;y+R4B_1Ch17<zXQL(j~75lpQ;OSqb+wQF-`-Ld{Nz^aU<Jvp!k zZR2FOFgZEOtGs3i_rqu0@#A0w00QH#-~IOSix83QgGjf8Bydpd#j#c|Cv#O4ZR|j! z$WOs~uJvfJnr1d5Qm?(DHDmKhbK+)hbJnIsbMhv>8;EJag#EMN9KR+eqPYvZNAo9) zO#ctA)RRkkgvoDuisj$*TFYm2=?@L|gvsE?DPD;Ne1q;}sw3{NRmZ@=z(elXX_=e& zsI?EZkh4FyVZLB}R0~)iB?{I@Er9h=hG2cvXRtnsb~VAl<%;UIUFq&y1ZAgQ)gAx8 z4k?Z6ioah2#2sALuoMD2Qm$;$ug3qygS7&d=D*E^s3rw(t+C^xa*Y8fas)sTzv=ad zlXbZI5oUtT%;(iTR0SHu)!2cKZbf^$^gokLAmOJPWZbzQ{*rL^+|qr^_tF0twc!jL zC9c3x;-mZVY3~S~3BTF&y7F;5u0GQCS}<b6;=NX7P>EnOe)iTczV815v?EBbi}4?y zsqu?#n->v??Ph4b9cojIstb|yW!24OJ7n9=d3M(Fa9#)C;8p+!Ck8n9>>R+ss{sz) z0C4ciGJu170UW%-0aavR*w>OjuPcjaCq6KZipkXnIkFq(eWbOcxL^D>a$;(oK}1b9 zP#Z_{fTt@J=#+eI;$0+g??j&L99re!()WZLIYE9oqWIe@(A4YB+>K<e4k$Sv(<ttN z3L_^1vsr}7N&cq~)%OoeH%xGFBx)>kuzKo1o<xTQ&c1#lCoHyU1R;MXwQ-1h!#pCo z3Y}u1%H0Sla4gklYMlFIV9;F(pk0_FN;A3?J;2uvpk2%VLAzA|+EoP5?ng%yD^w?n z8{JJet{dVk$U;rUmrG6m@*k+<j{}PTylMj<;Z)U=Y@tG24aw;E*e_JH#(W@aRDUJi zAD%;)cHK4dfnxM#?Ozf4pY9!lz;5Ed0tzzKtGEw#CpmPBO$P>G0d)|uk|47|&Ye}% zKk=xCdo1DDkF7WhubzYx{o3a178fNt(IbJi$M->Nw)uLVimIMGtKNx6WF>J*z4c}C zy(ZgkVbGFDlnpEKfUZX}g!#b3$r*<%qr*MY*8gKDZsy&Nx2)1B$C_2tjF{1aSSCZz zdt7n^t%{n+aRQ^eT@M{zYT6_8!za!3|C*@($=%0^R@9N<Gl4<+1bX>&U|3;xEd3TS zub_qT&<BDE7D4h_=lXF-OspT-9no)XVGMgqjXcMVT}WP&{QdK9iV@j#k(078{#Hkp zm%=fHX-QmoJ$z=8<@Yvq$PkqenWHsdMo)1m@+sqR$`8}pQ&2WH#4G#6#QrTqOAi(X zJrZM{FM~;WPLlVzJi?hTC?NCqf>`FnA`a&?gCB?~yT2A^lp>v0y}J=9ga!AB<)`Js zq+Wm13{nz%$Zx1XUcARKp!0||t+)X4y<yXGzBK+`h5!YkPM+Cm3jde4K!Qbvyp~hk z-xjoViqb(2y5<oLAJYtNkIzTV=;zSB`TB2CGgN%?NPPK{<Q-J3x#!2NPZqfhT11~@ z!~S|eb8{HN_MV25-wawtuWIpd$SaZeDRMsKlR?T5rRL-J6><!`=2Yf;h14Rd*89`y zH^uc^3+v@Xy$2&QH>ik?$snH`iKeqOD2%^HC`f_$Po8;nPBGfo0he>OJjgQU;r&Xz zml3*L5w%)4{CcHNdOWBK4cK-omFmr&R_Hs$lU0`L<xy~2bjIR?t-27^vCy48j&7$% zp=m`0_|Lr{R$(dH$Zb%5ar`|MP=2I5GkjJtT8>Vs_BD)?`c<;ym(HM;nWy#H7U~Vb z(`Tm{@Nn$k<ppJaW-jlxKW)S^;5KuIGr0^|hGcj^H^Ug%nt8zKzPew9E+BP9swdQc z%D1+wuBk)|o8jR^sat&Aa-u};FG*mTseRDhExsN@QB`;OVOSrWGp;h|dqOICW`Zm- z(E}{4A$h=%+DG<vi?3HxG<r&Q)iwCYBP~DUZS{lEr2gEXY7fOpITB8>5hhu7QKDnb zVL39)M+SKx-f;d^B~~g#SW*f8_acq~0YF_{q?W4EzcuQARSXSIE`J+3t^HTE)}2qK zjqVb|u65(QX8a7dmT%ZJwK=4iw7VVY!8EE5!khSS71O2MtW>Y&$ozn!5(MpXQ9gSc z^Vczo+IoJF-H4Y$wAiP*pV)_OI}f$Ifhlvs1Rhed7W=@nk_P-F621>A?^$|{4l?CX zU^?4%A26~c$98t2beAx(?8>R~R`gCuO?KgGTJtx&^ClhCbPwm5<*<z_^iGV^Z^0ny zV$fm$gXkk*(@zXxB7jX}!5|{=NVCXiINfMVQb0N<3@+slc_{J$LKF`(^$Ca)@tG>8 zS++rN=9%P}yp=UWOtm0ZO%T?3m>lONTe8?|F@2oTN%9`mN1CJG$orfg;iM(rNpruJ zaenWzk{4JZ-LyS7x$Mv}R9YIBRepggGv(wxD_2S(>jAs@!2yrSJi;+3EFg;lJf?JU z>I{Ng&NQkr-uHa1)q3;u;nfp4>|cGuw2ohE25mhQk99~mvj>^_tUw1TlE_LV_2H9l z@eKrus=8^AXfG$9DxRoQTvPcfkX+kICdf#=PL-9CPwl&BwZ+$OCmPMCOkx$C)Q1h* z;zJ+rrxiTvGv?H8f5*V)>-$Rt6deYNwg8_DiY5X@KiJ}v{~)S535q^XK83EwBq^+l zU&gn$zdPg(hjeUx$}3^O-D3)8Y8?TA>R_}MBt?<c6nWBb01@ZIDMw%iMBMJizd1}- zYC*~)F#(~bDo4A+?j^V7=)bm6AM}#GxWUS!Tb5>`hK9cFQv~0`9w}dWNe-#~sgO+O zG>4BSiQzk(Rtz$wBS0C$$S>_!2Fzku=1bxsw;yy!g5x@7KCbI-1+|jbu;K~IxGTbl z!UZYTBjjZmW)wrL=px-sU<B%RENG>RCs4goyq<$ePA`~QOtE7KB1|4?<G|7lj|oYu zipTd9ps=czrx^XNh`io^iEU8Eh+XrBa5~0E(zuiyDF@iO60kFnVr77xzbi&>JK)MX z>AVb4<BC8T;t({JK0)jG3Zr{AzVC-rDqc-iF4CkZVl{caa#I1DygeZ1517;p`YrbG zL|uV=b)RE^{t>HBRRN^t{)Nxgq}B*$jTLXhPajtQJeXAN40+%4M>sy^1!Nj;AseVz zgv2+^;BYbJlNaKQVG4^U$NS#qOR;lJ{$d!)H;?#<NChDlX|XayGnyexVn}`i1p?e3 z9O&-XlG*o28#XrtU4t4Q)%gAKdNrk?KosZ&$&HJZIiJ-GF%v^hX^+@P;2D`lx|zfH zkL+1`Ok)b0wX-w@n|@7FJbhpJHkjFEMJ(f_@(%SZjQe3x%XInU?XiPeqsRl)&evg7 zgn??(ELRF{<t^%2mjktiA=P)&nzTiWj}%RPb^G$tXD+P*FIH%h#Qm6Eh9v)>=*T7h zp(Iks$RBqMGxaEl7ViDy)fxoj>%n<)A6eiQpNuPzOe!Rl=Sh7K$-hPE3P>6)9-om( z)6-MEybK1dx5=_$JU|E30Uf{+POd&8j&zqNq0CI~gX|W1ya8hl%S?&<ae|U&h_Du9 zvkJoM!!F0UYHRu+ZXbQM-~JGv=S`p-EyPV5)=o2tk9Lj$XX7Xawb2o{YrxuV>{$jL z#2oKv!8jSq@LsxWHXJ{{dj-Re17nNXc#WGfERl}DO&P|d?#R+h8`CdR5s$qqkbntj zTsC1QN}Vov=w^t+R9*U{SC^{4xi}bmPWKZg%!Eh{;pE17;6EI)j+hO7Qy4@k#w=0| zLt2R;M9N`OHZz(LePT#AMFsv?>#=uX6bv((NSI-inLLE1zVPb8-C!x!{7LxRSMIMB zi16M*EV^Q44!>)Lbci8Q3JL_?2OMagpFYrWM_YKeXiSJm1^N5W4lD!qF)SiQ<Wj`= z!y{CMekw;Y-W657SAtf2NEKYG=1lHV3U`$wB!t{#Oz-5CPPe2eOEYgcgmmlEMjivM z0ed*pEMRJ452#f^5+Tx2tKZEOd4Ks+KACV4M=v)g(%l$Iu^gWdc~_xpzHHBBk@yf_ zNIxTrnZqJZ9{<uI1d$^Ty>w*h#sV!WB{#bepxCTUe~IMgvY0OqzPAJ{k+z4-hp%5o zXK^Xks^D;XkBB-_RLw0r{Nz}<?G1CF;)=h|b`W8KjO8dkh_DQcy+1mw8DS`f<TF;_ z-?0-dnlB{}Bc~(a(}OV$*t7Kd#Pp}-#$!_oCLpvLePsK&TVVfFvg>vY!4bwDAImqX z-Bny_ffW%i8<yuWXiMh-MKm+WL&5SJ`C-doO^-ChTQ4W=32}PPxOU(l@bz_+(j(y< z7-H)40TS^giL5G+h>1Yhz7bUg>OosI`E=0DWEhsyqn6knaCxbycMZ`ap#{=8=f7dg z2wM;6MhnA$4^udS*)UU%q{?o(MpmKfiQ$6d(1`6D*R4;ODu!q6iLz1$Kq)^4N?8F6 zeI>3kvcMt^8EH=BlsS@u=o7Ql_d8Vkv__J#N7e`UT0$aU*S>qF5pUfOeH!l2Q|^{( zq0!xkLaI}e8G^~~iFO%0VYn>KZ)A`oY;T$=QfzGs->OtA2x&hZfJi1cV|5ft=(r4B zq^PyWJ)#`pN6#N}z9gTsGJzMxCr=h0Mm8}+o{tTk>Jg_==!@M9bGMXzDIzW&u@oQu z)I0m3XY_F672xEt1m@rc-HK+`rdK2cDZ@;1?4ob>;Ne)UgO(B19#Fuj1A9E-1b%~- z$ZRb}&K*T&*C@XsrkAdO;bGxKf!lm9q&Y3aTC^Y**^tmQUO94VMa}3?tt5+hNRa`9 z{{9PZmFlioeB8YVL~bk;h_mkX=Jw2&TgD!;80C(5*P*P6*{_Ew%e4*(3*0RmV)@WK zSXjK+Lq25%Ciw%7-k$w!;}+I;jf>)qPuHU%<eB3x-y=$c<UAB>4M;dsfR@t|C8|{= zk%g!B;Xeg^sw}Ent4yL@nR2S=t_&1ti#!Rz>@X8rO!SQbZ#WhhArYD$P|JFT0Vph- zpm^94X|Kh2{nnVm_m|I0l53|IB-gbjB-hR0>IT;!xF*3hZ$d)pl+XvsD3ZVxVTx>j zx6B-lZM^*nk-_lH38-appqAA|quoS5^+NT_pSt{%VMslNSY|`efG7ljD9F$SZqfX; zgf_Y)eb`fF!)9uofn|(~4Ay2{NwZ3jg-nAuNAUev$B^|9c@A}lkc}ky`<b(f5zpx& z-SlAm<3ObQ0+F7}h>d<V(_1kp7js-~1Z&Tk#s5_ZG-bKGjLCP!kOQD8Wnly^4lHPH zpec*XsLjG%978NV%X6eShFFHm->;ljjL@ZvtTlr1&)Tu{7{wGClrX*u$}11m|8hac zG+#uHT%og^FCdqin^BDT15#?h_%F+8iQE-&$Vn=m43JZ?h?ECIzHY5R@WSURRJi42 z+zMJ%b(wJ(rpaHHIK&ysK(f60C*>5dTK73#2|tqCt1f^_zD-&;^cH59<;=DfelZ+@ zDNgY{;fV(N><zEis}p4fq8cyAdQ7ZLJ5YY_#E>Uu3ItMn9B6VN4_)lP_EjutI*XgF z#R62XITor840WYPp(4OgFY$tGM#oZQ0!iz+c!J$r^@y~_cNeJOnYLUQGD-~5Tv%`~ z)eC|_#xk4CXhu64smm@;?JI{!(rwJ>!3cn4L;sii?3!~mVTnv%?Bzwu^1wb+ua&i_ zOza&Ocv#tgF|YN=$fjwl5$H#!it(CqaQn1aXg;t1XKh`pCdhGdc!_Y&YYggBjU^yK zc~I&g3Fpi12S4J<ov*$h=FEj(tBb<~Op9I{s{Z-HU~GEbPl%5Rp2&~L|C;CybYIk} z<3JpByKCifu=FZ|e%t>4bC%+*%#9!ly!o1brb|xukPc-At2hew`Ko?SZ)<mVf|{Uk zW!2*+BNm)HS<w&itIL8g<qxld<Z;>=E1vYTQoU*}4TjPr1!ykoBf|WZ8P=;Q&Tgvv z$z7hhM;j}ThAS&)V&qx>HkiH)aQ<t)on{e7p?#=}2n$pmohX1rJ>C(??Z?JTPQ!en zc+Q}Qxu;NQaEIID$jatZ&<}A<PTR<$!1LinV-9W}C#9_yP-wrs5f-)CtL(>WRYYCA zY^YXNom7kDY<<cvOUg<zsf`5NO#5^4zjS3S-Ye+8^NT;_?Zo}3ibUfrn{)8@JOz`4 zvVNk8WRY%dPU;+<5BdGraiI2iisuqKn0usu8<qo09pe^s4Z-443|D)Ovu-r)(O<_F zI;yqgorUIt`@u_Gxy?oQ!!o$mDXnND9GiZ1aR$Xz>EfZ)srZ1R3i@d{{=s2E@6Fo` ziZgo@g|?F>B5Vv0rWFz;VWy1;t^hk7$VJlfsGv$UQj^k14U*C}f+_Gsc+vTPGr{{h z@LoQWR{g1akhT_le?p0Mr_PFX=fNvh8<FCEtXO4KsWf}tjb^m3pYrH;ZA5l9#o2kG z!E_ExS=G%T($~MZp9m0CqyB%-{wS-~YJg`I{Q>*M{Q+qwuG<Ztq??@g=IKnolqAc| zM}J?Sg1@iSF?pW>Mv4;7-F+Rb#|x!F=HLG5F~T3dzGIqv1Q@rB9BB#|w<`YLcPeI{ zU-ooNY31ZdPCCmpVDKhFn0f9Z!J}Mq<O!W6v?TtX6%})NKQx9cdKMqe5yKY^jC1mb z<jGde^7vu)A&63WC;%(EEkYw4o+-kx9|+3uzkm__5v0h?k$DxmP)Z(5OqXX+LmuWu zM^LNBl)qm&h2P^4^Sbie|6%H{!=ik?|8ZPNVR7lDL8PR60R==-y1SNMx+Me!VQHjm z=~cQ@T3G2ux|D7e1O&0Z^L)KO*YEoM!NoOu&zXDfGiS~{=R6+w%$*NviFhW(WM?19 z$He99HQ1=s#j*8@Y`0;&ioau4?<bP+&D|NmNfZLndRUN82^F<NE%BYb@-W+0Vp_+F zd%YT9{r%Y?x0qb^#V?u+9meT9A6_KlEtQd_eD0>-WYbL4Ia#>#K>++zL8jXffQ&?R zDnDp-j<l&##&Oe)DPPJJ$C_&=>bT9_`9J`=QU_`+I!7XElpic0BW+rgugR2IF}Bu= zcM2Dgk=kxzSSL9Bral8ICN5N!4UYz_5udP;>;tcwC$BglTSfj#l)hN9hCE6itQqxy zWa0FkKJP>w#@Ra`bQ4caWB;pFP5w%OzBs#@JW3TTWzMYMs&NbK6Y<=mt;31)=0VU_ zy#k@zx{z*z3UJ5i$`6!XB5fj-aY&3oe?N%HgX9pE0>}}Wu-yjdZKe0iC6bX$-|_q= zj$M78c>2Q%+*NS#|F6u})%~Ajyx9M{4$A*sroPYf!y@q%X3f?$0?Oy&ScXvWSTYK1 zc(Y&xHQ7k?#VHVRpu+`!>UdbQfw#{A76MeQWdNF+6~hk2(r<1nCZ2j@l&>Xq!ELqY z?yzvVL?%&+|KGKKH%Ak8=}lptjW>$ar%y1%*R;C$Au$iWagtF#Zv(p3WuwX!!`ADv z-G)C<G&lh-6_YaZxE2t3y-B%M^<NKp63ME82@od=@c|QXASQR{GGN0~7n5%=VIzqb zqd*jc4q6qFzxoL@T1Fn#4m7F)y7E4)O7kAi5A#Hwu9-U@TodsEOTf@tyF_lFlphqh zMsDUP<47Am^`-^}3s(APAc`afqZq#C8*AHBhx6(=@C#KqQIB};c$pJmB0Vd@HcE-F z+0|;1n84`rFzsUdd&B|B#MgLg_#uDa;>d>T-7b_FkxO^ArY5`4y{+U)Ep(yxB&<M$ z%-w;Mn#|Amwvq(3ke}g`FaZ&=3415-liuwCmG)#MhM!OHZ-4ry{*yWF!Z*ktqx)#6 z8mG^+Q_g!(Kq#*ja$Bq6`#DRaj>Wp<q0SvGNvgPQC~7lXfyisFGN$w@MuC;~tImTc zDXOSqC`T_@)qV6q9~1>Pd?ON?u8eW~`OoR3<`esVi`eJf2!F@mPf>?o4`uE;ZRD&b zFVn0)=TbCscxg)aQ^^sQ_o?&Pn*0BD%iF8V&dE7@3T@8H%%iz9xcO7VF_y~5uL^z6 zu7Ia3)Q|OwlB6x=sJx;bB@0>=QvCFoBt;@MvmEMhPl1mF5tI0r#Cuv>-GF5_ixnr7 z*0xwe!R>eRMSmYDzb@0qfT%kmb}^_rl7=%BD^%7s_NZG{SP_x`L(TAIYbGmvL8!ma zg<sclohRx}wS5eVnxvs2j}@AE*ugW;#5a!{&Jm2TX<%M5c}oa|9W_$d5b+~odPAa2 zvWLhReCf6juQSV!3n$3JO-UMr(pce+pgli+-Sthes5=@CF(_`52H_l5D5rDmkvx}( zA_5#63u_hXA9Rcw&hEH4wM!T#sh-89vpEhYX}*+G{vLeK>F8lm?Ta+#J129vkj{(V zK@S<PdKJRC@<wA)ydQ_*s6WAo?J#CZ$#Ptma;dk3IMJ8ASaO+UnIvXOlVV(#-=-CW zI8_(DSQnZ422D~%x5eAy7bnxWbfO30By^xXAsH_r1JItRA}Jrq0xm<uMQ@)Rlg{?& zEt%xaHs;}{ecZBN4E{U{?<wJWhv90sucv;Ld7k=Fe+;!v!a0N=&h6cYoohqbnOP)T zI#_rKvk3%d)6s;C$3bp84uP$iY^B}_J#<7k2uTRs8IOm+_J;5oAX~2(54K*#D|z>Q z*lOFbQ?<BLFD4La0vl^j6c)yP6k%MlA4dEtPjCny;<@!I@tt>HUuWCKR;b14DXYNs zH7yNJNF0P!Hmjg322YA3W_N95Lw)=M`87QaUr0Oz-ZO+xXFZ5d^LYDJ^ry4gn*&N- zU7Bs%A?CrM*P$9QOoZ9AkD$U87YwgF{QbMp(`C(`wb1jni>ZIbv;T4?%vzQw=}vyW z`ySr=X*3)ant{vkE1qz9?}miA>fHqm6pz^u$|qgTxD==->4iBD;bAX=;>j9A`9dlf zm#)pEV<wxvXz$`meK&^MPnk<4+j#g*vt!Ol;<f0R?vT_l4x7%fIB-)zgT==Ls)sS6 z{0}(E^PQD<d$AXz;Rz0Z*?DWdrmGC^&L`bR3+B}Xq}%!-Hl6%fXTlR%{*`JmF<rQ1 zoG09m*OI|xEBYP9X5d27cnINpDrK*s!AetT>EE;e)(>C0mOD=({=BF8o0=B6W$mM< z^IJVr>r9aDg65x3L*;c=bjHB@kdt3m|CSE_rM|qZbWZ(q^!8;H<A|FflWDe&zr9_^ zd`g73p^Ctu5mOMYzW<Kh#rqM6o=QvmkG&2z0<M3wlz3VL_>i*gSBbu0h?c<+X;_@r z3kqB>{Zo+|H;9z)X1<{$=eH=qL{J)Bc>U4-r(O0>Ay$(v@7nIrQwqiNkDp6(_q{{C z-rv=}t?!=&GSmP4sBixLF3I8L@4EcIrM{bI4OW*EGXavpy9~LHu3X#(TR#5@EqgyN zzbt_m`S!PX_NA^t&6&=A)%#yVS}%tXyFJY(0#58XR$utNuf`k#;xc|UHg=Mfy8HZ4 z|6l1lC`I%8{DAzg6bDL3OP?Q~N54|>URgQ>(4{`yIiVT*uOtIX1~)q=#{at(3QDlx zos)q7UAyaWRg{$%#x?b#?nJA>$J+WC>2uYzon@Bvb#9}tt}l(<>gBt&cs766&mo8m zYOB<pjC|c!5G)y!)qSI(4$GEW>4)u8ng6Ri`qd{@h2i9|@zKC&sO7TdvwO{b1$>?Q z)oT(N>${5a@TE8Z>uY9XXCBWC!Sl-h?)cg2Symd;3Suhjzc!*l8y)ftCk_8=<K?pD zq#`mxM)z5nN&7ec&M{R2_j;%QJ;izn0dLvq&f31(-AuXNjwV0HOM~0)^+W1T3y9qp zZ6^Z3%guev-2dI&I739hoXWe$dQ>ue)ZcDo{!nRkB|nuH6nsYvC+kN{J+mypvze>c zS_!TUdSv`pSXHZiA-K}xk@;WYky`B&!If5z^#2Nk>a{k4E48)%lOcS;#r9BX{!voF z?_Y%hH>3j*R?BE3sfR|q>m^*!$|O5#s_-Emd0u9FuXjgJH?#%}sVG*>YZPO@RIod4 zKEXG~kG7mw+o^Bby0<+++zNrNR%Hy^m=E;zy&--^3^lI=CEtO*fmz~b5Gccc*Axc& zK71JsPcqMlxOK%t$olnPXF|`L2u{PVSAPxsA9!79`+PN!9pA_yKHwQbB~<G_vCW{} zb=YR9lEE(+l#ZXT=h!o?j;(Rw(WBsBtC&nlT%C`Gel=$42-FEZ*c>SQ+c;a8K?>Pl z`#qEm%lFa0<PPnses&J=V5nUG{c82b<=w5i%Jo;TR##l!6>YSZuJHHgy1c93Xzf_x z=WDCEu`AR1_k5o~yduZ)Mjw%R1I-KG>3nV{8-M=tw`JM;uWJjbEy45t70w=$@XJcq zgS@F$M~8@-67VHsh^vIu)JmU7_?MP{bB6)mMxVtO*QS?}dD**ajQmIYiyHW|vSJag zHAm?!k~H0UN2Htr^%tZo=UIUS=Mw}tkZ}SS41L#)PlRDOHumg3AD^%fgJ{B9p9Wii z@Yt}-INXQDH$l4Bf{j%88|mBI^ZVv5N5|)MZpDdJ!+7wfaV%%%^3t#fA%n@Dt<0Eo zwOvk93m>Gu^v8R@8(yrztaS~?Wg=a*ZA>1);$O?Mf7NVsd>hHVAyCuoAyx)osT~9~ z+G5=CyFl3&l9aT-C`pq+G8%QdEgK?*5I)DJVM-)K4LaIBbbS9J3hq>2N3;g|G-kqm zo+2ot`P}xB6Zsi^>N6AsUi`Hx41n<FR5dolu|kbR`v>Tw0!_xqSdM8a5Hbq#Zr2|^ zKf6(vZxp#VZ)Dxm!xijm+2Q2f{o{SWO|<0jkMY)pd9lUC=6U<`pBBuaf8~^0Fq#*> z7p{H=yj$3!z?L8XzFb(hbn$;)YWIaF@wV{Lzc%&rh|e=`(rnZC_E_~z-wj1Hp1?<s z$`}#J5s`38z9z3c2l(rT@jl(mc1f(|D-?vW@r)swF>gOP-k0&aSEhpOq}PL9XDCWi z$sDD4g!`T+bF4^^Nx7=6H<5rctFJy~HE_D9)H;#|y<=m!x5ATiTsCHr16iBP?;7V{ z6Zer){pe6zlijY+f(PIA;cpT5e{b)V9F0R5h_rd!Jz{obX6R7y4*o?{C%jv%wq}~7 zXMwi9mxi+SbUD&JM8t-WMRRE&n*MJG--)nAOX51g5h5$R<G{U$`31et?sjxI!L>&b zYfr;KioR&V-)7!AMELFIbz^Y;D}8(K1GaZUE5q&y!ajs{iE9Irv%99WNGzNnr#_;O z^TalW=sB$mRyHcfD{PaK(YBvuIPvqx5+_%4P4nlxgR{fsB^BInFyF7xt?at8t3?N$ z^!aae4r&&3shB<^nK(u^6f>XT3^jI$K~x>RmgMl6U6G6QhO(8u&ud29V~M*&bRdFv z=LR9T{-+kcqA;YNsMfTB3mrsb&X!t23IDrBZXh{CTHFSrt+6y{_r;$(&%JhlEwl3D z3uF-vDajl*6t~5DN{(qwT!$urWbOkWJsDL6vA3(RzUVDjph24ca%G&051Pn4+XD-q z1P|53d9sy2^jxy$P!}Tkd4;o#N)-8&T#38g<7cqOVlVTPn&COMDd(1dHM5_&Y&1hP z<B5;vgWvJBicyAh`@KjN8bSC~CNk#ex$`Axv@{6c=O2S{mgOvEr#o&TY|*jtM9!Sd zgJn6_v{{Z62sgB9jIh|Jk*jid&j*ps9}d4z&tUIl^l`5cSGDD()3xVi|9O(uw^HD~ zJh*@^9h{t44rat0XX2-Yz(xA{9w$4y^r%>dT3KQ1P*t?0u3tZ5)N3o473h}ItA64C zI@F|n;!iVT?hsRZ$}W)iOvuu|R!7PVk-Q-H*D%+$)^p--TzAKo?n}csr=;4zIqQ<G z<r@A10r8)M3mq1Vwc>OqY7JydIkq-7Dsia9FE6Jv4DDG72jU5My!zz$e>)bzCyy(5 zY)vC@Tf}K+21y8L%jI6;woFgSxnGpH@^Y-Ce<}T>{+Vk)9^s$AjK!LLl7CJ89fs|A z2+wLKf&VKg!^s7Mq>S6ruz9uO$RPf^6zySJT{nBdDAHdswtI};C2RhB1)Q1In6D*6 zF2m~4?nJv$uFSjvwdIFE6$5y#rhmr^*2R{VQp5H-$F<JI->HTn^WRj3^1l@?d=W7j zVGXB#&sC+X#Qw%DlZeqa2Nl8B<Q?V!{n0c&Ae$MqG$z9G+ysV@7?VHQiW|rJOiqj< zxW@3F)sJJJ0iQQ0LTGNfXA2pV)&M@Qf)65ua$x23R&unX+jn#l%5lJ%ZD(iV+f9z= zVEkU6tso2Ye$cB6COf8+m->iPW%P!`k+!{8!~NrBV&mhb>f@T7-*38o)t~FT!p!~F z-9i;wRkX$Le3||@xRB{4TiC~EscrZcr|Iq-mJzo_`05I6RozqJ<UW;&UNAbToVE1S z*Us5q@A#{Yv9)N9?yEud9?0c$R&#IPSop%uoBe0z^zmz4I6JkC?Uzg4EZ;Exs^7Rm zQ>G&~k13z~2bIH9bhYyx6OQs~Mi%#io8jWE2=jbSzmwDqyU6NR)O)Am-cV+<z#;|) zh>%NcV0qQM(#%>*dQ8l-2!YS!0!CBxmX}W>N?-l68GK){^Riq^^m8gf`@-N}>t1U} zTC)_U>YlSc^0tb9$!BqT1SH&D^!5B7|FXy0XtC`!0pE#sc+ehth10Y_(67W;m@Lp4 zUVl33hS+YYWkY_Q*V9ju=wJ}Ys^Yi!L1ZbBl9u+P6z8#N*`c*>jc!feM?*7%E&sdu zqLS`d94Cz>tRZEqH)>#J;znx`&Bk|pCUVSRxxfJ%6EK~GXqae`XqZfbKdrld?iC&6 z-<<Bo1z?|8l9JIi&)Ibf+`KNz`@6Tgv-2gbwuGAhd;1Ds>%yNTt$#?Nn7DuE-~Jhw z{c{xJ$^SC;t-ar%&a4ZQ*zpU#X!f?MIg9GcYw@|cJ%-~`!$k>dKH!AUG#Be=ysFTb zdGnuGIRv~WhxxnaCpw6`j0r^lp~`R;83J84Tf<k{J{KL<n4N09yERL3y#MLgr~1bo z4D+S}|MI^q0|H)_OSz3S51BV5>Ndg~O&$Zqciy!6sTqeV;pQw0-t_PG@~!?dUbof7 zgDig6oQj`9ICyC-!bdGOx>CkE*N5FLgnS5T{6hoOvutPo)tcM89b$08zf)WM4MivR zW*9ImGnK6@wPm_&Z*=^K>sX*V+K%=>6)V13@X|jnbgdkbXua&$u6fzhYN*u<aXP3u zX3jv7JoYxvZHv@ZnO0dOrLK^(22)&{rma<R``mJUI={|2EnMMcewI8AuB5$9kpLI5 z5`LgcKw_LS>BidIT*?7Lru+Nm9bKb083phBuDs`__UX-<-aC4!N;Ddf;7uM4o_uWl zO2<r`w^xix>-hVo#i@zJ8$G9u@0XvCoxv}h))b^Re6PJB{U)`m>NtxkgGsFC`0KBQ zf|?TH{eoI+9$&iZV+v1W_qHA`=4q8kssv_+7v%v4<5;$vJ6b&|tUdqac&*$|%Gp`; z1yOV92eHf*`Q2rV6oG@RkSQtqZTx7)uASs~<7R0po|C!mQhd|3lhF++cUmP*!7v9{ zLF4!!Wu{c%xCl)W(lu40+Kptu@|VO#sfYUsqhWPruX8hRij7(R3T?q8YdD?%&h0+< zr70cVM<GQ-CcOQiy>Nh$!UW3-QJ1o}ql;$b18W0n;4V@XWC?(~KtNHn*z`2iGRPi8 zvqJYo`Uj+=0<)*cSRi0o!>S<9_mP5{4(broD->lyJ4wdCM!SVzXZm>`vsGf2fDuKt zVqv~E{@f8W+%OzFJwCn!&4zm6*HQPBAat{di|vc85_1x8F-4Yz-bgA)cq}&z#yKOU zZm&!4&NZv~kw-u%_A5@S(l2ut*1CGMcus9uzb0Pk+Z>Z@w4?1d?)rXJ_OO<gmWCeR z4hhEq7Mn{2VGum5wd%XSq8VaSgfn&50M32WrJA!stI6`-S^v?ayNMHtHJ0^BvQyMH zEyt0?;`Rn$b*jlruz0{U|C;<}cBpX$gE}O+@qRiu+%c>4Aj16Ooq!UjSFD4NEmlN| z)g~u`fzS6W-GRBFVSLCu6F+dMk?h-{oj<<gCg;AR!)c-e&Sz7{O|CJw--w)uI#3to zz%1J|KI97O4m6V4wHY~XL;rKpajxO5y-x*H39s6Sea?~<m<zmyhwPyEf&Il~S1rbw z6VKcaUy*lcVNPw<Lr6&${V6hp9kPiaB*He32(YS<bI(y<(@VN-w)p*pHj8_P;xCzQ z|MfJ*8H%s&H^~}!@er;6Itz=<;39_gt=uOP$YG|Oba+Iqs1q`)@9CAJ#mwc^ZZ~GE zqoK5IENyCGnER8=!%cTy&-1+L3=QYpeMwS&#@W8XJ%Pj)pK3`ng}ra?G93$I@WqyW z^Lf2l@}}@!o2GD-f+H>i;RFE`ntj*eM1mo3sf;(GR{ZCri%U~hG!8fx&L-flX`;LS za}__Ub)&U+%Z6{9@f%QI?ED<w*cZcEUmmO==dnK~=_zTJY<6I+$H95uu|G&U>Mbv; zvRwTRJB}m--;vA>%5>DPFTBBLIm8;7JIn{0-!;wih&QTvcNE_Hg}xD8A$J+Y=W$rs z)!Z1Wzc^QE-1_<WsIIXwRG~1?#^F~-txu&<Yu%xCZAUp0C#kB#s=Y*=3T8FK#Vk|) zR^+dd`CN&^;n+%uL~FBO#%U_tni$qC*!bytrQiYD0?#47-*bgN9%<fM(sK4MZ8r71 z0*<o}7E^heEe+nB^MifP?&4ytdpq+5AFbS-Ykt42eHl}eF|}x<?_3iS-pc9I=nr;h z`{{@q9^{A%@tq)m?`7X*9Iu@r8K90B-1EpT;g1e+cU2Iu&N3Xk5pCHuF<U4EHarVn zxME)tQ>QZHyed=1=;~9<v)y*!oOJCGu{Vv}K8C@Re&j|rcD7wmYB=Yh_frG}L?#Ov z4ncNXVFH{yo*Hf+@#8W|U+5jLeX4fcr(*=m@izo6n=#=llGX9&z~8kxy`drTnA`1$ z#D{0sqb@n!?DB~onYdyF7P9N3=Q}#$p1IEHZ&dkZtgUo6w2K<K%<_A_tDY`3U-4Kj z&E24SXP)U>p!>_DlmV``%CGM?W@@;~ukQa&cmCNJh2>Vsm&}0<=B~E&#;4y*mOM;N z-dKqngrTOsTYddblmFMQCADuYsx1XYO*AmrAGw7vllK;8D-O)g(Fqw>d%)^AM0Kfr z&D+3NX)Iu?f*r<oMcQr$%6of>6t_vH=}7<1)CsZBcyJrekxsVCz(bvSyaIyIs#aec zS<`qMOP-ph{<!C}E-Ub^vCn=t@pv8;bptU18Lh@2&l{DhvN<AB2zZ1><@gieIt+Fs zbA3w!8IHMck6Z>*;uE{?BSW2VVJ1#k*aEsfQJnJx(L&T|;(%o~Lf0oz=ks>!lV^QG zi}pYw@T`2TV4u8(2hE{UfkUh`-=uanaic>*PAqaN(^K3ouHWJwE#5B#Z&CIAQD!yq zVT3M?47tfT8AP4d){a|&k<TtBe%G=&r}fnEr8vCjFg)U0@Wxg&bKrFap=|K6FLhB8 zbL2r4emltzm#GxWr8hOymp8fIB;>SXWDq;hhL{3lf^AHE%8iEkQVk*e8EAn!c*C}l zIWYSzp)BXolQ5!SGTV@Sdm3w&?o&rpZR^~1kH-GAOninV&y)b{V$%Ic+;_k_=gP(1 z6&!-_CglNb%~!ox3N__?^~Xlm9~8n{EK?OM_dot@c<q35EWWH)_aS~aG-9Sa`zrCR z!k3kHeqxr>mhdMyg_8E0_|b0Q-4$WX=yQfvU<R5QG6&9WsF>_qmDPft+!52AyDb#( z5mny3lu668gMfVBN5-XCZ-c@_m>WDLN=GEQCZGuh%N!SqUV{*~&e_E;R9)|(Ojk&# z5q`p=cL{V&WQyZw<PezUUM%~^C<uYeA3KrQ7wnW7jRmE=uH+e)29}d-27Jfd9j{_Z z>`k@jv9_k#iy1A+4l<g@hJ43#D<p;)mu?YH3>Ienl}v@nvTfk?Li)xF7@dWtr;IBU z-Og`XN;nG#+}2B|N7*928GhqZlMDZpFJ3<vez{pJ_kI$+R(WMP+~@Q9tJ-}|A>*t? zoUskej|6h6wq6B@Qy_Aiiqv6hK!HYZxhg%4?+1_jxKmC9KA&$xvV)Jv;NHMcP$s_Q zL?c<2q@#mZj)M<uR0Lvd>bT7}#+di!kJ9E{9=a}&ukeMMf+Yciw$#oBQM85hUUIYw z<M*?A(e{8b&!`bZPdG8(J<1v$bl+`Cm?RPlgXYvHe&9UWh#~5fbZHZSKtQZ|AJ@Nr zNzRf_Scg>o?%dEF+`IKtatbGlGh1vObkagP;lG+8JUT5gUP%!yk1qsB@xPu<W8Ilo z+6EK|CE8tMSI&uWsU@9@V6Pd<6YW5-E=5=LvPSSb_Cvo!U<CHqyEd&N%WO>7&?_-@ zi|l8^6Ky<MF@qlkm9gV)iyu7|;C@<7<V#O0F-Jq{`y&Xm%heKJ>GW+5QujR0dKc@T zKvRFdPh(A)SFUT~gCzoQSE|^~VfS|39BM>Ax>;14{Y_w}c}`D}8Xc-SG8F!nYC}ga zb=wA>8IKIQ)Rm@j5x-Q^^YP;6Zf{FTmtIp-ll{tGaw{NBFXlP5YN(|dzmauix{2=S zhW*EJ?Cz)`jGdt~E%js~Ej1pJff0BrE5MmXTK||EC7Esb?Y*G-QIk9!iF3>@{HFY( zLd}^*oWUt(ubA}ga;6Y@n}CeJzc(t-5yKN&C>dKhYeVE@Siu&%XDc=%EzNfLGcav> zPD1%HIoQ~K#w~;c9WSVOewu@nmE{z>bgv?frEf4~?Yb8Q{X{<T!5s55P_y|~T?}l? zL0-R*Ayi8&yeyXFAx}gE1xHPf^i8DXBU+^si-ddV=uJh4P&$&8fD4^aCF0BKZF|Y_ z@dc67CHco5Mc<iRB=d{Zi;&;<%woO~X@<65NMMNaw9|{qL_+gu%_LRC2%Td0HvQ!F ztvO}TlCgDKK84_Cc`?H1n7z$gGdR-hW-)|7F!=&LW3k4LPC8bEc#9WTkQ%dS&gngv zeWW4ALKw^W=6&E*h3rw6giUFji|@zr!P>Vw2q(So4gB2rOXzfYx7b3Fo2bkAKszHd z0;kww6t7}agM@6qVqrs%;)%rx+cRbXvd&xkv9K)*dHvkHvRF<+2u4csi5Tfn3=E+q zum9)kU&VrXntW2Si9x@u<BfX_zQP$xLD}+RENQVY1jUTJ##7`GZZZSVxXesQHHTT4 zxFV$CVR1$6wN6%GL~O^_&;Dtw4`$g!S&UFUmea{u@w`48DGMX#@0G`(Op=kix>=!> zvL59fCliWkC2C@X3^APQXe9Fjxn`#9jQt@ce}CmoVCfry=f+qa|K*`r$L%{ajEtP< zcm>6@Zh@JQ6E?H3Zg5ks;tD}iZ8mi8+x`J1g#jO1q>a;-mEPy3Pfx@MS3w6ERi}nb zF!;1-!x}{*qJlQ%gXuYnzCsa50Y@<UZW#RRTuJY8Eomv6a@pAKY$P<3Tys!J@m%;7 z^0xBAkTM~4h?c4vjy`1pPe5*}Bdm4638&I{Ex*|ZSZljvcDgj9rkd>Q0biRc8&}sZ z8a*=t(xcQU5zqeR!Dkq$C2CLER{GXw6pu)+Mx;X4fUaD@u<{mH2yHOW;MG!2VDDm< z+f>B}-^K22L1#ijSk1!fh&08+*JFKjFV`RG3RGgR$Q}`f#^%SrP&}V5M9Kp5@0G)# zXsO;uoFI?B^Leu#>31toOuD#*JUzjYW;Kf`BhtL<DH!9p%`wB+bsrrqp?H1<FK%!2 z4q-?4mUUd?t0*j22X?ts6}z#{xbfXXNBmUu6;47j?@?$HXq|<9ejQaFOQayKIB^f1 z5EHX!M?8b0!D$ww8cV72VQ4tAn4V{le4@_;(@v`(#_|Rjg@HU|u9<6wPU*vt78nD` z88;F(bVRXYnlPA?C*Y~q!C>(gqZ-}6+(Y+1!;C=Fk?>ZEi9Q&neMv)%G<ORk@AA_| zL65?u@YH~#gU{K~#!|1b0nRODl9p~!t)<3n#8GmKH&riY_bfq*F6Uo~BBcq1XOUVO zu0a>6&)lt+Y{^v<MKQvun7a5fFyAAQvdonHy=)j%VD|LfE&oC!&ec|a?MIQeCnWrg z@kzv57v<bDJBo=&pSk;oL2a61Ebo95aFo|SoAAdFS*Tk)rP|D6i-OfsObk+Eq}nvZ zXtKaey+0FTanCI5GpHMKe|BbK=JAaE+`9L}&1#P%*8a&p1W<~i7%6Tn%vMfbpEvMN z4Cl0j_hZG`!Kql1O79j@@7A-lrI8U0%t*QOc7W~vHp7g3h$_;h`j4Vmc#>k%X*d%8 ziE^Uv5vDy*U5pMwHZkOi!4J%so|FIViy^wuqVf}CFXV_431dYA?0av6cSia@rz1+F zsrl(EQ0b^5ewBYuqGFopNZ@`I{X$G+PDgW&swl&DT51FlaNo^usSv>ydK0$K^e7}L zJcD#^!TDs}W9ii_<x{_~bB{QtrdxDrsmT^_mE1}KE?mW1wI#XuETn=!MAC{&_t#R2 znC~<fcl&jYhBZ9$?auadJ>pY-Zqzkn)|^P+!ZqDIPhaL+dmDc+fFj&d*#+qq3I7cs zI|qw;nqHWaDtG_yHmc#ZDKN1hTO3R%6jz#~h>o@zJd>S+@J(uJ^cm=7B<Q8B9<lfR zf(GQgO-M+$2`Y#eJb|I=$Uw*29bhZpg*mzLX>-%%6I>rW7W=rgR{GcB{I0nF^03@9 zyF>y$uhNF!{lx@MUQ5IHP`Y+hgtEtWSjwX%&mEC|2_L$z4DCrW$^vBs;E$+uD`PWq z#Il>|^DzE1+IG|zWe>aDlt+QKI|9rM?a?aAU$=`>2m=v2tY35E76-Z0I`jKv*l5~Z zcVJ&>+Y?unziwovbhbI~FniFpCmky@Z=h46+gy082)Q)BY7rPGSZp+=n77&Qzy;{r zBOuCOw<OrEygm(w=7~}##Zz*gY_<{o0-v$pevS5uVN2X)5z$$zl3g{J6^$4;RK|&? z|L0WpQcXCO)sC<l&(W`U6ng<RS<YU#%}c2N2(fFcMp!Ma@ii<XNtLOdxV{C~h_&^t zadLwH$Fx+okv*shk=s#N1}c%MzOkVg*9f{0(5ptE$W;Hmz7^L<cEPWgr}qeIf-=dl zdIE>N<>DB^WH8lJn9SVfg^WEyIM|sIRx|Q_3CoD*05U?=Kqe{x$ix5{+8nDV(7iG) z4wWWdAVa$jWb7US88;x4a13P5{*wWBmE|m@sf-UgRA$~QW%!w$=0Syc)&UsTiMz&C z$OKGu1&g1mFnQbOlHVl4cBy?W1`Od<6>u1H>B>@II>=3VS0=`DRlu1GN>{D}GhHY* zf+{s*Xq*jgHm%G=e0HAaxUik_%nxFZ4Hy_a_1qb|G+VsS1m*`n{4!u*VbOEP3DIaF zI^(Y4aa+?=SAOkXsPB%~^LEAL8tr1YZC;re@vQ<b@Z#-CAni)F<F?(?O3kpo3OFl4 z$)yeS>strY*N(WeS{y@-w?U_FK*H=G%VoRB6Ld-zbSk)P<@!2j0d2XaC3@z?JJCNh zA(nPa;-iMVMcr@t2JXf72Ca&kZWqYZwXszxt<!gcYZ?NnYb+~GAqZ9|qwODmD8BWf zjWLSWEU53LIE4#tpwJi|LBqKG=g}!9%5mkF3sZebqYQo&VAGG6o7vIld=T$&8yC`R z`Y}9@-|9b&AE{>cX)et4N$lO9`}EBzsv>kvMFZ{fIDj`T2khT1b&RxmH0H(#G9wXu zzTPno%mAPodRn?S|KgN36Gb~FbQv=M*$X)`jnC33R{Ayi?Qf26^J$#s+@LE+bA1q@ z@ig7rWEu<Uaxx8*I1!Hw9206;zb<gVVfkxnjhrdi(O#Irs$?!-_lpn~n(l3Z^mvFv zPLd}d<TT%b^@Wgzd-e}U9{DeMKWuVNAf}u{_L))#QzA6DCVMvb#zIJJb4Wna-S*Ch zIjs}w(($>>6T?zrrRPlnCMu9c6UXg`V~lHvoIF$E(7Kh??vWe(HjvVJM_2kI@S6w? zi_FKXNdNS6?#$)3DG@?alf5n0F==UA)36~D{YM|PO*u~!K+djdd=LU2W<-QWJ7?7J z`B0t7`&)IUFk6Ayqj~+Pa}&<G?(cgk?0~Zhv?V*{#s{>80(qo7ZrYao?wHH-hHQu1 znL-+tpUpzaDw&hb2U!Wnq;_oaqou2Nl9LP>iR;k{tP2N%<9ZFJ4>O6XopMlj`O+Hd zWwl<v@N7-FO#Acrn8ucJyUccFg3q@e<scB%IQ~H>^C#_O<1IGYQu)mkK1$k>q$8>^ z1O@1W*qB=a$a^*L`GQY3m<OBqdhs$**~3EAU$q=_dZ|hg7_R^rsCRD`ZP4~8#sON| z=-iP#C_*}G3PV80<i{PeJ9@lth-xd}JKg-UH2ka&4GP4vvQW1Bjs)-O#GKujY8X6< zzU0!+5H%~rROae3`Ut;LV2<(~9`Y7oV10csCX1#%neMFUefc<=@nkzWz8fSdqZMSI z`9A0sfTETvRCp>sm%iUSXdgDu%S{|&Ox2~0mX_W~PI}Hr>=msbA~wG-OWgf(wzFdR z*TZN=3jmAo0t_@qK~@0dIhADeHqT6<%WY$<gjZ5KTMwe8^MGs`Bk{EH%lHaHzF&ta z#ASqDPZ9T``ABNJ!gyc1k!&VM6oY<hBpFQqGJHA0v&U4+!i7nGmn3_|v-M}P1i4KZ z&3Lg#;dhpH{{1vXK~@Xg=|8kpzwJZtQ-k1<U`vI4Y&@iJ=Yi{uQnxs7L^K~|V6O<I z)5OuvNg#MkKcPiT-0+iHdo{0rCc%s=cO6{G+I6~N>pAzkeN2GPAz$OfQfB-of{eHP zmrLl`Qj5!1Bd#~T#@#kPY21ki)M*U*eD-7o@wRL(#YZlo0>Rj7`oT}ef4fKe@n3-V z%U?^)wZU6#0YcNmmLwuRq!Ed0Lu4yrN9y1BtyNYnQi!S@a!}%YO$}%Vs8PfCfLdmd zNuLOdlPPS2#J%GZ6_8uFKym4Fu1`WPnG^pIq9(HRfi=9Fv9Uf$L8N&rIRcl_$2)tZ z6GchV;0@SpuZ0y~SCE`}MY765h1q+bL`5#uaJ7>}J)5Ttx3O#)cw-eHo2!7+1akG9 z(Qe}#$q|Q)sZCs*-(Y}$HwgH52Sa2up>$itqzWMy0Glt=45rvJ*T3-D!qcrwS}-aM z{`GUN_F>jnQ@3Kvpw^z6>-9mV3!|)h0s39$U5w@-`<S?d*fWo*#b)t6^qJ(<`-gud zY-d-N*4nwvB~j@Nxn~Bsu|G0z(5-w0&RTP#RaR}~J*7Dl`@TUMhx9U1)eW&dJm+~( zRV54BMyqM^y5ZIDiTRJ5&I46_O<ufwWTksG=|0gOVxIL8!fah;a;Ix19~}R6a5)~R zer_E$K+-iUl{NXNAR33oXU3=-3L6sB_^S4b+Ts3~W@z;nwl9nvi8xK*SY1gK1|xC@ zFOW-K1i55_F}F4QoCtH^F>^cdAo~Cpkbp|H^|I2?0JlvM9b&Pk6UNI(>}|MMRQc)7 z!`MMdLyHYWZmhYa%MM(t^>D?0QvuQTrqk4{#PjE~j$B(-FYSPE!#bAy^3L8$iDc$! zOSyUVr%7NDLD>P}dvQo|b;z`wKGrg~FgN1*d~sYp{7Sm{v^Zyndx3}vDei4rQM03L z{CPe7u5vfqwVkLozN~l}v`v0DPu!^&CscDu{xN0i%;!iZ5+NHJ+(V1%1pW}XMOH?i zJ0+~m4h#DVa%s;&dZMRT-YrB}htT@&+<*k`<>|Uy=x-q%x>;Ie%qKU^-MM~m9Ht#! z6NGekNtG7?=|NXx9Ar(x2Xd9LHfYHf;59V7Ycgx!CJouvF!r>oCVE6`hZRuHt&i5_ zJh6LAL>Oq_QBOvi`?PT3|A+m1h|dt{2U>O<r7<JX`mw~)Vmgo{u>j*7$J4n;{DPc% z)IO)%Hj3y`p!0BB;t;{nMl9T!dQ{o2mzNS2=#GVb%C1j*2l9ZGrDgnx%V!tPe1_sO z>vH&xwB>o)^yP`BATt6_WQ%J)eRwT}7O49D@F$6f3;G2eWKGBhvZ%Q<NKN_7%XIcL zmDi@yrLS|K3EGnplOi|ow(Z61&4;2@k!1I3&RE;i6?@y`6B8tMfAs2(i&CkPqn~U| zaY)k2k0^PemaqjKMF`VVf-I!4fp>Vkvd5+?We%(ArzZZ@C9`X6fJ~2A%KX+VRax`v z$ZMw#p4@M`#)Vl~r>>hkup?qQGrtk>c{_64H$m52E5!FrenYQpEjLvyB)?E}Oj;H< zs`u&Dg#WIBFIv>evi{OFmOiReI}4enPP(Zwkp9*(Fk8a;d8zW#sWn@~7C>&^al;zV z)f(#09u8pps;D3|g8F>YT~8ZM&+?$b9FU+S8IW`?14-xD@X?e3Ii{21<690#wsqmb zOHM_yKaMM0Y#Z?fXInR7;Ewfca`*&v<)}Ua7rU77hqP*CMgN2A;bu`KCU;1*8|sB# zy-T)iKS2nkPB{ofD1?mc;k^a|+XOsZZD73IjKA=+_|t2OuQ$?`9JlOB>Sm2CijRe# zGv3_;+!jXuDSvl254Wl;rd12~A9G(y4&(<PVH!sgOWy?do4cB2{IVVFem)^+I*>J8 zau7n=Vv^HG3?-_5`%76Vy-y&M=)^Gxg$uy??EiC%X22~DiLk7KmmT4lyxT4S>s=-_ z2~LkqIqUNrV3`1;yPqj#(knu9Zn|f~JQiYsFb(@`0^5={wudixc|0R<GU4<>JHWIW z#s^h1r6fm1XjDx0Ho<!2#NIUQuO=g8rY@EnaGcuM^GR-eR3GAmnm86q0EFo`$`1OX zetaMxGw6CygvA<oX2_U)$X^t<2n|E~eP7Y*evU)p5c?byDPPlBfdljtaN~Hj^cJMs zrh9gbV>k&8rZK`6yyx|?ydr`TGZv`@y$>=?X-7mD*xIVEm&W~BZnt_p=!bU@8_2EO zr&}j&uAI+HjBY+l5TH$NI{q=q|3$OLG-3XGl$+*$2l9oO77Yh=pf^m+iiSfqzN1$D zBT>hu)yLAP2R~ywq;w+VE3~&r%sOE2y~k*q=bKGtxJ#$q+Zvyou`il0iJd%1WZ-wB ze<qxm8$z#@KBypjw=8>*P4=#Y+O}|El)1*Xb9_(l>MDk+K)4K<1cSW&=R5e`Tz%)? ztFvzldKdrZFgZHB5k^Gcnh#dhk_txsm+Ed>A2|Dc<>CkbgU)t5n-3*gTLH<6<m+Fo ze)w}>l0D%ofrug~Gktly3c=B4L-<YLO?+)5Iv7?c9QYY?OoI*{O}#0v*()s=c=fw? zV*#sqrL_uGZ<Afj8)&lj%UGUk;;%9qb~!ULHvAv6A+Kn2KoBq+%FUR^H(CTp=Q(le z{1RJyTRE+Bk7riqmR@Cb46bnSmhm*Ucl|-3aO#4PoAZL`a~Pud!wU?<Cx<Lf^}pe@ zI~+%P2SVSD!7=Is=+2>Qnn!D$9eVMnf|>(V9>*FT%KB~CMtxC_Lm%Bg_qH@Gi3}dc zw&}j}GW_#ki?b;_al#Ro(0rUgpcQ>r+D(*Jku(JQcOH39XlL>L*Nc7C2uG6npW^!~ z^tr1pF1hyGpK2fZ4#***K{j0rNLvEw&Itm6AK7=MPsACnm&za(=;tkSbK4T@uS^Au z%@4wq|5nEK_|)i9vYb^-Hnl8u5dNv8Q}mf>!oMrt@^kQE-h9ms>^};ExAMDk%U<1F z+H!`0QH!GYDdq=d6E6-o<M{uL>WBYvmu~SImUCxxofVshUCcX_mDE}(cK?2|PT{X! zU3XBUT_l+r;>k)nrD|5#Cm}<2U47hS-a(bw`TpQx>HP!|X;tI)|7ZwxfQHaEub0Ha z4-Q2@Y?{3|3Tk*fjA*RgO>gg&286NC!|r^5h5+$@mrim_ETLRzJ<=2wSLxjtf*+6m z=!OR?TQM<7b+NN^29cP<3h$AbetFXEmCUUF$3O70RGCh#bFXm~kbV{2urhd$-~I$e zy`ry9>yNYTH=~(CoFJhCq4d)=SD)jqox99>-&!8~Nzu}gBh@BA)M#e^mRdbXjKuD_ zuIvbjsb*25@Hl;ku~h53+*Iq~41NI!4iAgEvBc_&8@|$`uXPKp=n(VTCttEO=Peza z>%Nnb;zQ4;vE=LS^D9j)bDP?;j#d5mrN=I%mg1P3g6tU#-SCR}xr=CE=_g%bNR!{L zb&NpL%zZxD2Vu)|RTs&<j52!KcgA;<PnsmzJ9(ia1#t?EX&4&IAa(>ff6e#vTU>{) zG=AEI=>z^C+5tWZ_=7<!eD(~PEoLEIj>7J+210&`k17OqNv0l{Uw_<dT&gD#MEpHC z6ecV3%=%eR4Y!<bZar7T>zOX2CT5R#u_CHO3j492jJnO(D4eVSCGZ{|KtO|N!TMi8 ziV8s+El=X4HjOnd#d8N=NJM-i@ji=SWqu*juj0w+dHY6)UD5hWiSoPg7c06{$-N8@ z75MKhcl%nwOTCBt{GjdGeXOLSQag6AXq@pqofxT$EEbB2FyF{lJi~seR92X>aQ`3@ ze7T{ZG89uTR1N!eNN;Su>70*6YY`CY@!>&BO@?#i0Eg@YHw^mvtx20C+IDl(Bo39d z?I-!bY&d&Aa<aR%ySS&JxVV;IaA+@$8|H(2+K`Nk_hpzZUe8`Q3yNoGf#A<)Yq?B* zxjQdnWy60=V(Al`dnZp*w82YYqJQwG7$xERlAN}-WXG*dz_m+K??@HQ8GgzcF$Rbc z#()@M0f-Ua`~&h@qI!H5eA*4C_4fy`Lu#5sWTuKqoHZgk;qwG8Hi_XYCay)$1-kP1 zOM)Yti13@Gr^Y<1b8ndcAFtu{;10P_QZa(^E*{&G*=*cIhrpZbJ4CHW<qt?M{)iez zilx#=mXY>sCAslRoVi?M_8;tX5?T0sPtzO(f+c*%6|6xNINW$E0>q<8QGI(@tFMxy zgHVF{M+(|W5eORyfys^ej*2}q+XDwgNb5}Zw(pMNgdj{~lKisX^}3yG#EnmGJj!~@ z4~P&Vjt)7Af}rsn2LaiJ@ej{4e+CXU-tshsZQ&c+L!bF5?b)B^sedF7929|Q1JH|T zj8WP#C;rT0%wIYIu-X~`t1ZfbRoPdfG~Uu8rJXKeE7i||YFq@O0AeJvF-8(ZPW)fK z^XVi9*_(R4UXI$hH8PrZqdgl5V|y!t#Wr@C@MB=e5e{$<AJemjXoj~qCm`SqU4aZc zcDDNU@!v?6*}9w3{rS=BezOz%cK7<`H4$VD&^E6C!6MY1Ton*32m!%j@=C#-Ncquc zu7hvVY*b&En93eE#Ui{Df73?9=hCU<ia+rTG5;Or(WukH{~}UKp)>E^GtWVr8{CrC z(b}KIj+zOkYu_fFT#ue_y%H$raSvpf0~Cu7ziyH8pDg|##X`hrby4Go{YtQ3jk8;= z>(skSOH?<Y3&;Sv0Pw@`XE}Fw<ZR(y4IkV#Y&vU6Iu>>wRSmpzm!<*$9Yi8`q}9Tl zbU993opB(4mZ8Hax$4uVv{u%JI?N^dyu3CM=GD9rn*W!!BMxFA>O=SQh~7K=Y81h^ zzFB>9b}icxZF)I-_JP1<TXKEV?Re&uxF1(pP2-cGlAIHTRwah?EP!0=i7vP472V8G zS<D#*Ia8j$s;w~ev=vyKw|1aBmk8ZLX^x+Fj8?4lOP4)vKf+)*9P{QA>-a4(&dYS6 zTQh=$27_EK@g2>$L1wu=cCK2EztU?b(gSX^T%4WDJX3z8`h53^W15x+%n}^HECFl@ zhVyX(=-$!b>$oR&z7pdz>q{=H^{iQSW0jgC%PT$)+|?$*<j4V&BdXQ$d|8iNOTs7I zS=qy;GG#T}b_dFNJXShvc&Pai)sJ$YkL`GJwFr|6o-Z$haUFau`?zqVesj-+sCDC~ zAi7qruQjB$-Ph*n=gLkI=UEx^b9MFSkk*6mmP-Ze<E-JDAhLa8JhS^{+592}yVWUv zKO|Q+>4Eq2CbNOm&7yE(lIit7N-j1<;iIOJVCCvoBoIYg9$iduWS3oA<v#Hj&}D1W z#OtjVebh`4`9$V`VgUyfi->tZSk54NZc^cjKjYZ3qGny}DqFJ-zB;jMukAK+j}{d5 z2`}mBtY~KHQIx==diR0mm<h7F$&mZ6Yxd;>Y^n8}`LsmyAa(d<&CKE?Z``;WU`cdD z+S<9xd)IRox0&SYNLQ;pkTv2=zr{<T7PBj?6neey^xPr^pSCmM!0?AJg$FE?or)oz zGA=@iXkY*vxn=W2{!I9BF<y3-j{LZLje%XQ>!O8k-O3~?$CQ7v#dyUbmYU^2m$Ino z%gfnAL5jAL9+=Y#@0XUh`^PSrXd}RcEW#-R99mUCbjHdtF?!amap9X;2ea%7Lx~u7 zlTmDpjo#S`P$+mIJb?yD_Oi14TIKC?ryCg^kY&<&4Et0?=<|KSYMH2pfUe6nrq?yf zB=7?ngD2hA29ttC*P@e|)`LqqE%wXaK1vy{GXqj-=~-Nssmpu45Scq?dJrGF5YJhh z@SYVBUBS%X)1_QE-{@>NnH1c*Y+@qbf%lANp)(}(BFp*BJ7&r23hmJWQkm0JCDM?P zg@{{)7x(&yTJ4RESi04|M+m%gxp@B8_n=^4=!boPS<sWM?H{B4n10(j&gb@UvcRVg zcd!sL$hl(zvQBY<$YLDprTIh6>1D4-_%C$c0CP%*6P&C~J-EI$e-+a}C9z7&?iHZ` ztMwfo6bF&~C@YPz<j$rDh~&3)!s0<BU(9cs(hxP>nR^>@ahR!LqFHA?zsmV7*;e3w z_$p(gSG)r7Xv2MXq3vyxtfY&QJ9g4^z43KNvBVCq!ihE9>LT-mEAMBhG8#Z$qy;?? zLPh&<jw>+C1|A?D2JlN=)-RIbQq#H=!J_EO6H`FMO4T0wKP+D%Uo<EJyPEFUV(dtz z6oDN}H#2wn?n43p05A!cpu>SJx{#XSP4-<8dSM?(9f-r#*#b_n!<Nzj&3Fo6hcYv( zpv}LOA4?uEci%qrW~j)$&(q`alF&Js1J~4hwWVINcJ1R{rAXx(XSZoi6~}vbdon|l z?%_gsS?1F7-zp3w7`hV}Z~%_L0chIK@zRzjc+SDp=5j|>c}aJFXRJ9<XM;`0sZ9_? zusy&;2m-yY4e!YQV4a-jdak3@8T7zuLpnXpn|U-yigcGMKia2tQbEewsW_uggn9H( znsisJAlgTHN<pff>vj*irPA9K&QTH=AGuSqv7u$|;NO8BUTttK&h4X3&8;k~x%@IH zAO1o*U4fL;orINDlkWwi8x|+x=|{s=9bBN>uxQxL`Sjql$IIozsW_c4MOE{;zJ`#8 zDUNo}^n8SQxr4HE(nH3ds@*Q-Dk0n7PBk-pxJo}}(p>HLAH*2=F4BHm<KyVS;oF@} z1HJFwBHZ|rDe3Zzb@m#e+tNG@Ow>)U9Bp0#AWM+DeJM9x`b1St_8Gv%Isp=yf0m$B z$od&D2HX{!>NAkgR<el!M@-Olinfbv4*(w}FsN(vzbdVN6>9O7KNYY#^XD{}_G^H5 z7RJCf?Bw-7tA=Qcg$KlrQ^}HRk??nMpd%y{eb3NHSu22*s{`yZWqK}vxR67HHuUy4 zR^jH;bfjznz<=$)rS$1Jr#cog&B;v4oLE_;1ow>W+<whBawnRA*gYHivrFL&<n2<x zmDq_am6exBLBcozq92HnqE!*2u>(~|W<nCQkVpDcZ(=xw8pW-`X0CP#$Q2-LF^+bU zGmHSijW1R_7XnBs0hgHdT`9#hw!1Ssw-m$}{>1DR3C>ttu%e?s0c=@x1~EsoY1BL* ze#zao3xL@LnBajN^@TKmg1G^}%!-Z}Q1oqxK{CTACWde@sO-Y&Iht*NHY2pQ<T0N8 znw(KEN6LvoK8#ehsu+!E%%07|nGg*C@>eKAggJ^Uq?26Y6Rj#E3e(fwG&Izpoa`Jl z0M3l|20-Bw017qqh=m^(EFK&Wu*GuL^IDOsjUny|H`k{j;m^n>`T*eEkoh0IU}DGx zgKwhROjbpKUYRgg7n7<{x3Dm<dk%;acL7l%1qp?dPYl2?K}%|4EUSPJAu%I=0?^rn zm(<@>FrN3`Cj@k$JXs#!i-K7JZTe!Q0+q#Rv;nh&XeLCO(=1Fy5ppI}T=9+WGZ(tI z8?X}2`V4K6n*uX#Yd{UFioV|QNM;Y9hPxR2Hmzo|MGADBL@k--hR@a(CeZFFX2r0} zJ63YF?Wq_cP0Zew1SW{~$>b&&5F8RVvzTI{i3}QvY$T5L>NX8cDEF1qeNmn;I>kJ9 z5_WXNZNNh*L^9uyPpDX9@DCK4$+{_-l&E?`H0;grg+8yOp%YmEMjZf*P+5%BI(Bax zGJ_KWkbP|;P5g%}6q;kkG~dy*X4eI6WYmYh0Wm-um}9}){cWZhoGuQt7zrXxrH3>x zG+l7s|Dk`M{NSS$K-2TM(22f^zFwI~fl0E756+mMl1k0DxG2$aey=GtH%!0~KXnom zZuSD0|7$QBHkfuv0Fe`d+XLRq371(+D3Rvw&-uEBdkTs7pQolqtOJY}@LFt~^oZ-( zxKV*jQ>Yr->?}pfKypFbk`Y}L?Px_nm+&f2RFLusV+iLnfdaBeEJ<KevCha#KSlCb zwX2AQcPch{MI+%J08Hn{v;&d_sYJ})_QM$*3w0z9NxOzvxH_njf&}N9i-~`qx{uDI zW9Jd2|H(z607;AG<eCGv$6Z{Z8^kz+*UF{Em^kVR%mmE!hX2iV{@!3fX33hK8}5ig z;#5)6B`7bn1L6x0Aie<hi8^4P5Y0&Q0h>?)<cE936}zv36e+U{+meyZ>r92PRhMSV zr+Joc_t7Ldlszu&Yf56_`JnMcWaAz%JhmBmx2H%Kuo;zDc*_|+XNiMXF0Gjfn0-|- zdkBshi)uhg+EqMnNI>4s<P;OnUI*lk#D0`w#BvZYxQ8=t7J!0L1q=>_WEKF78c(3) zT^(6?T={Zu#oL_?dp#fT``kg21?eG->T0)NbC!^)Y|;77wTb5;aXxI7R5X*^kSRbE zfHx2V-rznuJ{)+1Fr@4aCBNKb4C)|vdd_4a7m4$D`>Nv2;F~(Yy5RUkC#hR4{5YkE z-ry5gp5d+UuaVGCWST!**kzWh!1TKhrr%Ss<^PYZw~mYIdE>r+ih{sWQoE#d!_tk? zN_Y1H(jlNo3b=H)bVx0Yw1g~O3Ib9}gOmbNOF#2{?)%UC58W5LbIr_|GiT13&-K2p zGsOZrJPx>>p9%!ZuHM}Ijqq#-5rE|M_yT@HS%?TgB{phux?xKj3fRT6?u7h}U^U|{ z1&b;KEFT{xrmaMTJl7oHiz*PF*e6+WVG8(lSq=;$Y(m0MT-mZB0s&?7-;n<#h$<}^ zcn3Rb)hFLQ`HzIC1SG^%a&pzu#|;}7oqupvjtgjR>it+16^5EV7JVYk^|x^->;K5A z_r82(MG;Y8E*^g@ju-?>%`{kQUh5>svGP`+7Bf?6xHVOH(^*)E0GE(++UHfv7~c6} zcp&~G^ZNeBjWr#ee;%NAv7FS62jY2r<T7e8rWM=PDmo2t4vu-~hg2HSD6b6jx9wGg z&c7oLj)#Dt(0d0^3fzE%mQr@9IkIoaXu=?7Y1bSLlVO-YIAOdTZ(9>n0duQz4-j_5 zd&ir~P#_{rce`{Imhw`=Y$v)ugXfk#i=Y9OFhR`s@xF&L)NM#jfIbu_Nn)%vc$Waq z@CM)v)c|KeY`k)_eEaVGEBrw9erLPGk<<>B!|xzQ1^$ZqTinkcZ^W&cn&2xUUErM} zV<Ljj4{d&&o%VA*;cr`f)#bWzpEUL-+Lx(?|LYKKtne#JM66l(Q>DL%)Fd3J;|7CL zX7sGAd&*}fHY_DxHf*pnC{J31f}H_2bz6+A(qHR>q${WuCmrQe_4BR>de;5*&$u`k zdo)AW>nr-)+oayk-B0Sm(t@qYZp<ESi+B4cnjA{79Dq4|sUS2m7Ms7vMa?E*wYlPp zQ)Na2P=knKfEsvy#4@;-=ktZLZ|VfE!GlAZLp)h(2iU9w8{cVQLj;J46<J{O^8ncR z|7X*JWgw8e`%XV{afjS?-NsMn6?gm0J+6>!KumZPV;P9ly!kTH(?n?0*1B+yi%1f* zig<}rb$|aqn_oNR8!K|aMrd&g*mMG$x>{g!_Mc4%uo0UBHW3n5EM>ks$lbLTZziJO zQ*i+~s-k!vBEQ)CKDcd0Ob9E9pE8vVJF?zSX-``o?#Z-;3(P24DWKwz92FuWrKJmE znIJ^R#t#)20jm&^u`gYCkeTL0YV+De-zYOPJ(lKT>*K<sF#yHG`DO+NuJx#K@O50x zxYe4D>ey!n2G{hm|EH?sD#xu}iAPQPJvj;SvjH#`n*e7p__#1<^ucM}N*~}1GXQ63 z1~|hUU@WYh0b{}AsK06z02m9Q#T+N5Iu5{C3;@Q08ZZ`BnoXYd|1lN|fU$7?9zD_D zDnv?zm{JRfeIbYoml$X6D<3&PWxvX$-b-Crs5EeGsYV6ZGQ>aN)$gjxeg@m$`pInu zf_b@UUU`6E-Wt#6OCmJ?>ciyky?({s$9p5J{IqJmR{++iZ_$%x3#)ob%d`LTLmU>j zmq(%<FCmaNtkW;VMjHO74A=qM-s$+?*y*?~Ky_CK&eIQegv~&rHvl1hK%&0_A${B3 zuekf*;T3G}zczZRt+-@&@heJpt<NjCLI5000^ID$c63SrjBrSQ2<-(SBe2rf5o~p8 zR%_k6_^b;&dHoV5nSBD(DaKn?c%x=&b{`@fxsUmC?e5vvardc(R}40O<*$C>+VIsL zk7vQv9L?&qDwSOxCtj5w)&^=%u%p`o0V#6n4~_a7h+|--bhKA-ZCws6E!u#gXg*j} z`Z_rv2oQy@0+f8f5y1j@3ji_9a^oL`TRUB0Xh$C`2|gs1&N>V8X*>+E6Om~c>tRc8 z!;A?KSsHDva{)yNVH|k?(am0aYRo#3-S)6J;wz4zx}J2vQiPqzWy6^4)ATmLT@Yb` z;dnAC2eHXqNTWxa1)R7n!vMjdojxxH0vrbc!SP@;AP0CsbOC9SW$m<g$36PsD?ex) z;t}SPN-GGQAfR9dKrIADrOd29Bvf+a1HUOl&0VDkwjsm1Iw9^)8y!8CvrMl~9@+9D ze?5kJ%)zc{kbwwz`q%G8+?U$Wid4RIjt?qtO|`o}dNN*l*xOG~RgByOColB>xOkj^ zi>FtB-Wj-fXh-F$US>L35}m9Eom>sXRprN3gMf3;WV4_e@=`KWC0gz#44CHv^B6nA z*C3pe?*9+x6n_c^@dg~nyEY7a&hnTT%Hx(8z%ce7!kB<-H_48Uw{C3kJ~%7G4aC_e zZ#uH5Ev9QV%g<iPJ|&OK8WsOKj)oD=i;Xkjl!<MuG5;S(VI2StvGNRGcayTX^L{6O zalcHCUNEo(Wqkn9(8GYUe3DkNF!P4+EvAQo{;4=wfoMh?ap1}GapEh_=LOweAB!$l zAA#ckBb<j0G2n!WZP>sgqyXNKP)LIZ2UUmfC1p{_Oi~64aZN9OoBVi4``dmM7U3fG zJ28wB!2QYcbQYdNgU$f%?=2*DKYcz<y6p9QB@EPTye1VmU-@E9nOBiIUu<JVFv2Wg zH8G-=<{y9dsP#K>8a#f(gy{_rnTQ7z+Xr0^POZsapXw6jMJRx}YeU#(gk~U81?ny= z;y(9ptw?gek92!MMsG=MX>hB4WatSc(0nU#uqKFbsoG2oO9C`Tv^<>>phlpC522%l z#Oo)|)p^(ewowH761B+<j*^*hK68u#M;9C=XM~j6dSb#3ILa`22K(E8ExET1F@C+~ zu3e?hwdpm#itfBJXUvs8P-!jCjic4*Br*&0zJ9FJ4^*m9x5@*d*+9P80`krLuRAX` zg;oudM$z)8cD8dVC;|5Ui`m>;sS5i#CAQJU=PBXHWg%4DG1W|uc--z<mC~t)Qt_o& zwf5$FjpA^lY^|9=5dotT?S}?VdiY$R<mQZ1v7fd_Z7C$(K`*3)Y6dM3$NAYZZr7?% z$*2BVv0rAbb|81DlS~*XmHx^{r6v!R;svo9ZCaYCa^83+JtAcxR6_3zYMLrr|H5sm z{JyC$3dut?Ghh#@NC8!77yHc>Xa`o6p}3b-K@|<43dQ1uR+Kia8K|PhS&v8*RFN&M zYHnygD>75{d26qsbGIRGoClV}bME{AFK5SMm$TBEH%!;>$)fIg_s$8W=Y(pYzk>}? zE-$<S=S8_;Du|bc;62LOyFJgn6}e~9KHUg3I_&uo0=*UA^X~nVmv$zGv+R7E6q66~ zNXF4#07L$D12Q*MMjOoB<-Lr|aeJM?loD?XR^|JKnJ3(4AkR_^#M2{+ftOdXuU9=B z)7q2xzggJhwXATm%RO1?69ua$@*5D40qOD_^WUa7OX1*iS<#nNx=sHwZhMbzf%bI$ z5PvY9=5bEz8gE_+;OjaPx~LTwg_TYJ>L=y&^Ho!%Pbi13Fp$ejMGAL?2b>w6E^Jtg zSHo!56F~sAvDg>`wX)4~=|JW?gKx}sa1>711Ep6(=m+5Xq2GHO{XEY>y{e3JM_@O~ zN6Jn*OwsR2ofZ){_XN-~n|?RfsZ=f+Rm{*<P3-cfJNpogHnt~}m`HzlTuo==Db8H| zBfCMEpmTQy$5!1aepSjXL;8+_lw$6uxrXthzY9$@&e%789%=>d3A-V@0|LIJ)DIC_ zE5bxP9czVg87>w)C)USK#iFA$*S1sMM`3n!U0|gbO@D|PX&`p@IrpqhXN7fjf`}4H z5K;1tf!gx_UX^Q!5eXooBt%Hor!!h~T0{g9$URg(;Pk}!WdX&H-HK}_)BK(}Iw^7} z_*)6cF8tEB^;66J<*`Jgov*O3riqA+dFy6}c=knJQTsn~-}%mo_~g=cczN|liMOs7 zd@uBh`ZK$`(}>BY#II+ljxqfbHz8~MY@5z!3@ds)Z@e9QZKO3Jc#zFPB!g5VznI8Y zQ+a)p$Shspj#qG%qai~G77)I`+CbQkZhADMNU!(BBP&M4SPCzWUD@u`CvG?Y(#*11 zADgTN%IJ~8K;f3U{)w@o*0HkZ^kX2Rrc*JQyC!Y4=DF>UkwYf|{?mR<vmI>{9LF(X z6tscAj8!tjr{N1ruFGnXMzbda$8W(`_urRoZhus98(HV)ryRLv;HONw2()qIIQH)| zYnqe#``F9$!!pCxYuljDW<x4`?`L=6VYkw!3Rcg)>3n{k2vGugLda6`kRc#`Hj;r5 z8>9sx4)+BK#OQlL{H&O~T<k=mT$zWFZYh#(^^rU`ja_6MqCP9-W4)Jm`lgAwmEzk4 z+9|=ga-93wjU2{c+PGGI-z79~_MvocY>#L%`86m}O=s0<Kvd6Q@VbOoA&})#Z9kcu zBS`ADiO}28X@FYVp~sYVsHJ(;ye&hoVA5%<qTAWa6{Z&bi$EW&ixf?pwuw?9^7q&M zZ_hREv}7j_NM_Do*zW)OzBHYsZ+?74SF*}&%~VM9M<X@tA;Fnh4)=LAZjB7&ZM4@{ z%C5B2JfHN3r-e8v7ia0OwloJ-jK}}!y?B{@R4)}0|Bmx9Y)(sCNl>q`E+Sgw^`IsN zxfj_={9eVw`v{OO3@Tm6FY!Zl=tvIb6fnLb+mXll(Xf-owqEQ<IhWB2$vQh;yed=~ zUlXcaxzd{I*?1J|*@z!MYSTnFzBL_Z+=@eSsAVNX#51;D7}qA{4UdT6wHJi9pW7d4 zS+RnzR|?~Hq`d1QBX}v!*m=}Bji8pVf#5?q$-SR|yq{ERph&cB8xkflk7meqL3r&i zMQzA!HE)M}F_5mSQzvq9VVku@C|7ZCRvWrDGh}=_L%Hv#_jmyi$Qn&y0U#zr=Hkh3 zdjc2~&V5Ue>+Gd8<__k6ASNDvwSOGuANHro_Gz-+i{>ha(bd44C3T;_qtw_dSuyi7 zfA5oVM2&v@{3-A|tz$}&chUPC!Dkx~V)5TO_a1})yuMzb{LrrrZ`#}Mp46WP=2g^O z>id-JeBeJ~7Z`jfSB-gg5tJ;r&(s#u$t+r5=AD8=tA4GW)U7?6u7oN_D^ElFzwAPh z3Dx}3$W#94IP#JxKGY$}Ti={MIHQIDTu4KJpIf5=E}+rCAI<3x_o#~1h8py<U*E=R ztGUE#a|h5B&&?^2XLCvU6|Xag^Lr+T)O#jJd3q)zk35s(a7)gM!fuBSDpqcaDN9IL z-^22B=e`KyW&0ZM9*nn^%7neH)y*lC9wzX9(iRn8v_BJ|Z80-3jpBC}isG?&cos#U zo9Z!M88;t35WZ_L#~0Kfq2cN@)Qo<6q>HDmEG*HqDrtmkP*99%Q}}@Wfrj|0EGI{Z zht@Tjjh@3Vm8#&dl61cv6K{i0BTtzoOXBo`>t9xXVQ9Ox`DTK;vu?Y!7G_^HZX=GJ z?XENnX5C#@ujww3WmVpg`y_XhFmn2`@bW;9&phUylflI$kxTED;)`;8MyC4{>*O+a zLmlGxe_3A0&bJ^o3lcR=!kx2Q^bnqv9v%3N_f<`fKCAY~%KWmx9V~nDP-WH-9hYjD zweInuHHas@S;uQs*~XYl{P9K2gkqG!M?P-@#zOD#43!rO?nX5VjN61<sn1g{<=I#s z_Y+QPM=7)_n=#f{#-yn*M+e&IS2i@<=^5R-t~zAPKMp<{w7V0>q_}S`ao>)=2`K3% zfN<Qn+BodE<M*lrFG4LY7keVVFY_v;-=4uyCoKt+?iA5q3@Q5=p#h4fjZg?eHJBP| zc$v*tsv2y%ZG5??RQr9I&@bcm^<>#eOGH;mci;&ZMMy0oS`jX|ZP}O^fTMqzy{uc( ztuDoN!6U_Y<GQZ6<Br3p8vNP8(62h;3|M%xQ}m#Fi++t1ASP}2y*Y1O3%qvRNzGM2 z6DFXE7SKfde@ztoa!?aRj~}cA9cfaYM+f`0nqE&07KnE1o#Cu$9x<ye=chh~1P1-v zY<SAeEU-<ZawHd_gDnxR!z%G!hhiZ@M`Qu1BNgf{RA$!ks6<MNs3btjzgQ2B)tN20 zD(w`+-Vf(X6@ZJT3h=X~HozrQ8~C|WU%>@aU-2`g{)0=W{^PF}w_)`YR_(}FCn$lI zzSqHKjnH9bMe0z<;Fq|lK}u-U9+jBI5|v2B;+F)(y7EH1W3pJ5t_I%g1W?0Njsg+v z{i4x@sYsGSGJ0Y;4xC;&4odlKKDT0Juh8?kt@kl*<G4iT*`zY<*BkzJ%h5qT&yko@ z?|Nqk2h>XSlAN=ZQGqDbs9ZGDXnO4`AUaZTI#OqvRSdfOm<Bs2bp@_{7uIm_obbrV zK6^mqv<;nJ%P@?dz|7h$&zK5ejEf>x?=()Jm|2B{sNfeTMws6U32}E!lj|#YYA3Cj z?#I$}L3!IpM;1D|P=}OM0{S0I>L>7ylx_aQ*F}SUrcreG>QQvm?yP<^nNf6<N=1WM zswbEsW?^Jh^Nabzkn7;*C-Cd@C_1b=o=L<SJ*hOst#i@f0BB1L6QPh0)2(^pi}|dO zkkGB}goj_tV%J+%<Id&>z2%%ltbpoCgFkH7A5wxgmj5$xD;n%SPnL67AACW9Q_E&- z){$g;beO^%laPN~x9?w{e)%P}XN_W*H=Kp%wt4^RMGfRfX+8hG3PP|>FmAjrUPuIg zaca)R<psj4PB?D7zgb9xD0^xyARwuz8p5rf1j}EN(L^BTQU~m<5LDSw1dc8gKg#Oo zjWPzdtbD1mW2_xr=)z0uFQCaq)oR?TNgpt>AwnYInp1NS2xx^L9ygADCnQ3BGc`wZ zqKfdE6pb5~&leJ*@6>HfLvC^itdrWF0|Pfl7wq=Z`VZU51NMbpRMg9SrS;<59|pFH z45POfjFQlsR(5z9G5Q>4bOvP}7e-TSdv=y-X0@~87#XDb^|PL|HT8+^Qh4ujK=J-J z=ATBEDLq6lz9#B6I2z}T76>DGBEQKf)tBpFf9v~%i~4eC){&v`s6)3~maBt)kiBDX zfW4yy{0kdk7m?^^CzKdq=auMVr&}0gms#kP#UA{EFCiAdDot7p&xzB9<iu#J<s@ix zn?-87n8j+-m_=)wnZ;{MnMG*_n3ed)M`fI-Vy1m~l&o9nilMC}#hI<JVxv~_;<;AZ zVzE}z;?`E`V&7KEVoa+h&Y+xS`=_I}wR^d+d^)-Tc5J$Sc2>GUb_$Jtb`gz1cEUW+ znY;<|rpbt|H#8@u4`oCrLL>4TSBeLz*UU>IC$0+*AQDXzLlD}w>x}wW*z`NL;Y2<S zA;Gi5thefHrkGrf=A)|vCtI99jly0>___P584~k@cg0bt5W;rn<9q+^W`-dLd$w5g z4xC>HN6&b=Xe~uZ^Bwf?yJyNpZlA{Ou8302^w$cbA`*mA36>nc*Tzv|Cv>%RIS~Yq zb(6^R`E>$VK>CVRCb-Dp;yu<jDxtmT=?4*Uj<|$4Q=~M!_RL~ZV`?6l%o3vZkorq* zOdwpcrw7i~V{PRY+S?};D61YjNzEPmgW4tbJ2g%0G__gm1htgf1a*L#%aM6p2wzcm z#SE<LNLu|#KXr|rA675@4gvP9mOK0i$1e<7yGtN)tLE-;DBRhjh~L@M8(b!e!4NGx zr^d94U<Dzrl{-ULpZ;g@X~}BsTa%s85qY)SJ(FJp`A$AK!9_FX0mha7m}G<Vqrs8v zOl$Kosyp4#u+?C_uc<7`*mus!$kkxg1}J7Zg`0IGg`0vinOlT2g_{tS%+1^If`W)A zg<HmirEmFNej;wSuEmQ6xE`A^<XShmUqM~YQQa4p5FZ?9c*=^06`Pou5iTLoomXh@ z;9XIU?ToMxI}vqGAQLifRgEe;WXjQnRil%EPFvTtb~frTXS$qMV*=%ym}dC9Rmo1Q z)UENpe!#PcZcMp`y_nqZUky@h76C@ZCSnRNdCr2@`f+>&dP780Z{(hTwfb!Ea;|iS z;OEpX>t81y{FbKnI8&2fJ%QDK*D4Io4;3n}e5=4qO>f#Wj_>Uz8NBo@pCOQ%0EHcV z@I^skYEak*6wWd@_t3ArYAwRAjS6hf$cCBy*o9;?wui)+{OWsH?Y7!s(Bi34DVYgI zQf+3JuGG<oe#&RHsm}2H6;~L{B-gssKoqW8nVnfZ!!tIyE3yTK{kX9`=A!*@Zmu|m zcK^pP@9P~~B1xZy1X}m&xa$YuiM@h8*eNOMkd!5@r8#Xi7({c`2AYJnvogcWh^pr> zqZs(;F%QiJi-R6m9D=~&a0wO%XyVUj*fOeLqrWj@>9#_#OFUp0A>?S^&;~j?Gt;F3 z&M*(so;D2ktk|Z+FbuO2$)~8xgipqNuX|C|(+t)}m$&N&BSDD{qgJk*^u1YnGFX+m z7iv{1o1f|g(!LEu>&f7Z>Ry;}t8O0G38j4-OcolqxAMx`$I!Nmr6pKvbLNL2D*{+4 zK30TB@qM4;4#*p@N9a;zhs8O%@QQq_e`}OKu!Z<V9c*z{Hq%6YQCsM+_TvXE=jn`G zA>_A46UJ#i1Iy%BgPl(bCcckQ{jtq9RH-SXG9?x&A$nsxpD$Ewa@4b7>OK;?dX~M` z*>@iwkCBtPYoJgy#fXepMOPk?jpyxpnbPp|aRa79ANza>!UFr-%^`zp@cbVQ)d-hU z1I*i*5iWngdX&yMSZn{uXZ~`{M_^kX9}<||7vbNkl`RV%ULevjB_lYc%3{M4ojaPN zBmah<JRw?!l)>maq8gr3?6m(ween>)gSvHa$V9O})A!<_uSi_=#BFwbEu+oQJGBy~ zLh}z_hU;ehumh?yIVj*uq9M@1o!-fBnejPEs5dtNUoS#~r;m@AcDUsknIMhpWq54A z=D~^7uh12Uv_^|o1ApsXX4dDPKZDs%MhtiepDHl4=Q)yKL(I|7%v!z3;NEyxo)z)h zUnW-7VxHW;NBmSo;PQ`0mbDr?Pmm45g?SwQ_s<rjpGdVsincuzBOWzcCT~dmw7gCv zH4H<J^eJq_z(P(zUj}SUq~7+O?j#8<_rCwmh-azr9g+>6tq?x9#>{R;u!j(8^fTLf z4m_w#uq4#4$g<08$2+Drs*vGdzofY>dzW`vCEnhIdia{8ZF@!$OWym(=CwxDc@&D0 zG%o~c@JbZ%528N8UPpW{3VfsZ9U>|3IN2r1=-8!zTcUVX6aNurK)#q?rs%xH)ASUl zNSsgMUT^=@1<B|3LvryCr7C7YTu@v2$cXc5t3-nOT{F|kC#{%t%$%3euy8XE$iGGY z<{AJ5s{tJjD`p)IYc3rQt7E2X*9pYOg3on3Mm%(jsagxQ)y-zhDQbkHhy)_^j;g(8 z#B&0;pqklleQF-FZBUd~pgr3jI-zz^dkq0ul4t3uK7q|?+g-}b(Ly}y>i*1Go`?D# z5yh}iTcy%u1cEU_e|kWh&pI5ef;${+EIS;m-5VXV`wJXvm8hQ-37AcmWAi%ek!A>? zkTH{`cKxNl=v+-Gg#L)MJ@lP&OEWE)Zp>XyZ{QVjEo%u&Q%}OdSub?oT)2A8-1}YB zjep<YG$7GjpN9IXcy=T>#b9Pn?3t%UIPS%a{`-h7v%QtY9(2TLPpH6@p7yUfEnV}7 z*Y1?PI>deF?u~|pA%u8jh|9$_uVd|@*;gfUB2%c{=55vt#&3HQon$?sTBm+B1;Vz@ z6YGC$Vn!RZ{L_n|+Q^fS-c#fsP0SpURk*wA@Dr9exrNY;_lZ<*ir)qI;f!3Xi1lGG z<!~8p&e()-yicAc)`S`yWBo6XZ^b5=n_dJq^SBKo-O2~YTNsmGmYj8KxAWkII^6AX z3VEo9NVyp<M=J=vBhNIW!pg32xO?%6Bl^$XylK+eOPwoC?l_?a?rB_i#f9#2JjZ~> zoBlTv-l?iIjd!gMSHB$}4k*bFD_p(N$_zQf6P(nrrm#?2OpH1UE`;NJBi~y4fR>Vq zYwm_7E!cbvuwJ@l0-ay%gH;(xRkPQu|9fXWzi7#Er9%R@Hs&M=f9oDBtk9RW7jIPm zo}@QsiU(aw%2nHzl+(B1tnof_W;P%S&K*fyf8UgmBz%*E(ZD)}1ziW%ajO3sM90%) zlp`I(STE(z$rR3}%^Xy>TOSLhQ%u6tmqrMzWy{xJatVh#+S>QXj@07Cn`OcD%yK-o z&ZJ>r%*J_a?F(fnQY=+@Z*3x`67G<6l_U^jx!KqGe37b1olf!9W1d4JMyXbk$Bpk4 zd|RT-Ec@>4Pc9j6MT?&I-L=O;YZO&E*6sveNt4{ZJ_slgpJQY;?5~u2&!@w9k;!=b zXF2Mz42?o$<Q?X)NsZ+08zb|atC9r^?+*?+g=<OG_wLS23JP~B%r8Ba&EkkB>CRcu z+5B<}{y)<mEgvXHIwUMUwr(Y7C=zy4c`s`GKC-!$mt@JxJ%W)B6(sqcqG-2;(bYPt zxs~SY;A2-$P^qa|>Z4xdBNA6n3r5IqMg_9%Dh23Qg-C~(smIo0pe7y-mG`R(pe8Y1 zl5hkG<HB0G0`#(*luAm$^HTAi{4$&vxkLI8(%Jirti+HEegeVLPNV}1n>0ir14=?S zqmTfl!!DBd#_v`*iKtl(TGF%p(9>7SzIvXj08vYhj2c!)A|7~(7+^%^_sXINA0ge7 zY0Z8%Q+6r5Hez<Qa$t6~8Cpc{2xF2Zk9=}rCk9Y?dL(SS5>9$UW?$Hic7X(Zu2aCZ zKmC61XX+Y>F;q~E$1N<&6JDXoB;M;C8bj@XJq)uK3DjL(vv2oAJ!Cl?zpkKg(pdYE zrD!Jm)9Mp0@8u~?vfvm?>-OgH^RMvym7;HaPsA;ih=nQMd|^QitZB5W%^3Y8iHYQj zq(%_;gjxDAi}zYJ?I@-Y6vBJ8gfpyO@W*aki|FrBbMw^@pAs0r3^`;^qMQ|;gbEKn zS_8>VV}S*GlRe|zB$++5*e2=12v0E9nKMUC6fwCdk*ZPEn^TC`>1+QGvm|0MZtmU8 zH~mx5a!+A{_tNAg<D1D@k;=B1yk^DzqI}m9c&`X~j74)U8X}p67xoEyW8$^^j@=5H z8BEDc)LLlCJpPik%M(|`x~XUK!SX3WcIRD7UxS!md%xutk%~&4@0rg>va)36phBz& z%@)k-+jpow`3Rc`A<kaeIH#3&O2YM)#U^ua+WX)9yV5tVCTBx*dJE*g2T$iR5+A;? zN_^1dE;~LT6B%8Dy3~HB5!Rn87E<1M;&Q&TmTQrU$7cOmqb0IYczG33mf9w!{)Ldk z>&MR@izUs38o0iu?kP5OGO-(h=EeW06^g0ms<hSB!1`YL;wU~x$sR7tcB~kyvBgD| zJC-2|q&JU>>SN_Ruhgv-S7}qncpcMk$qVh?yW+aGso!Lv{GtAjKgSGmF&KrI_hS_j zljF!q#^A_lTZGW|%g>chG~B0+Q7tag=|61nUDLL~wqPIY(?uHl*1)L~$hTHP&}Kh% zGb6P7nT{Fp)8ne0P}E$OJ)LWB)wQcO&*i$;dQ3>7<#TRd{UA7nJ`r3BlhMx%>A_pC zG(Z|dIhDKX*G`p|D*4sogPt5}AV0r)NcHw%xH1><&pIQjKacKJeW9F;C)S!I5mAoO z*&xrP;!)9Fp|$pFR8sSP55p6L>sqrKaE_tzkn=`0aGX)HEt^EN6eRZb1B#tTZ;Cw0 ze#aTdCatqeplQXOmq`AO#J+idg(rBZX3Yv#Z?vQMWq-VfVth~q)6aCSClctqwHGe8 zqSaYc&TmVyQHpOewWQF7%#+uP%M?VP2Fe3o)c^S-$4#CaLmKn@j)Y(A2d&~3_5N|t z&y8c_b=M=3QKQ_KT}bX0j|Vsl^%uWKrDM3?Smz2gV3uBNa816USk8|OV7jbXix#^^ zZU?wFWv|!>e`dQYP|cj3j?urdP$8~75A5HxJW-uI*PZJNu{p+RwR7#n_(W;O91si3 zcU5Q?hTP*6nyh?8jq<9F{(Q`Tz@BK`h({2sQy7(WK!&3JG7%pr#&al*%L9cf;j@N+ z4@ELGS|JW4k&B$fj0~~bzBC9jEGz^WDb^ClNy#&gC6M`RkVg?-JV%26#8(V|ed(gN z;ZEyV1v~%dBw^$hG0rvir7s_QsON8fVAKAn@Y+s6sASmL+J}0$jRV_K{Ufct1v4Ln zYoIRSY@Z;4#2{jC?F0W;)HwQcM!<I$WBp@4?7cpWJUe6$v`lS_B==f`7Q<blwIKn# zrTm2obgh!?ZaPr{G)`+++Ib^H-L!6(I`i}obbPR5vPmJTD#rTM{mVhaRuyydn~sNK zKS@0w>8l7zkss8he;+66;~`xSLBrBT$vKUp(|t|NARFi8EErswBmd0)f>axwfN`uH z;i{7?q|NJLuAYuBwPA{DolWfPh5c(fwlS^g-*#2W7KU~LI7}_b#!?#WE?j=A!0MhX zisO;bf2BBx-gJ~d{5<ig=$*C611L-_>V8*~?;e+(CFlR@OTVoYibX(3!j-krpWbql zgtL`oju7$GL;|rlUE(plgn=*{)F8tmB|l#k-{B{(Vo`Y{=7dZ&f>WkKKTz(ZW5j za(_?26;)BqUE4#&&!f;pU+7*zPd<y+-w>P5o9JFCqhp-OuIk>;Ir80{Q}r3XoiSG$ zGOEFwL)g-_tKU%ZUV_<!!%`D)8*x_Uu`qQws(Ea^O~E3StpPsGP&$~^Z$2eQPp(gX zU)odoU3+24!bH*~?k~S{bJBnZ@W#7^T0Iz)gaz>CDZ64rEIT+<<{<)eJS1JsiIE|l zkNG{HGg1($_6?9kiHR|gP~tGJS$8W0?8g{{9{et*pt}wO_c~4ztU(r=H6tMescF#{ z^bhvBdLBF)mxTM2l0{#7zp@|J@2T^rq`$+!r^oYEB3I8e`xBu`Ff&7FuP_qUih`4x z2eAs`=aSnOr=V%P^)h1!@s1}ftYsD+szwnDdMNYKx%Xt?A$$wrU7Om_<+IUA!6Pjt z3c8`8fk2peD;pE#8@r9w^w@x(kA7&l3TB>_8fi3bu4Z1^zYEA2E5_@}AbHB&bGH^J zBrDZEen<A_>2BE?FGaAI^@b*v<{?8{K6V~>v3&I0;8Ok(G7|H_A;^<|ZY#L1ttgZT zmJkGG;+Kj~fk;KA_<95nwP>a4<!^EkdkVGO(w`EB``{8{Ig`?`rr)d+jdaoOm6Jz! z2HrCCpeH1UZ3+y59Plj|qcLy!;OUh4G}ERLD&-<ti;dc-Dn*_95=UlH$yU3WhJHW) zCeZ+zH^`1{(Z|keahvEKNY28p98O)gdVug@J!v{>XE^aWYUemP4gLl$GP<IUaK4e2 z4r&%iHj9l_rGnaidL+{PaecimBjM}kef?+f3WupfiRRAHm%kY+0!pMZM*o?<CY8My zQ`5q-B%bb53N@KK<fX@`2;Ftu73Gh{T6dr^TE%cuQgZuMLFD{4opn=NGeKPD5uIh0 zGVBfF(mz1a0NwK&2l6w3@8pv6=<^D!N)Ou0iG&$d!%3aV?5(TOE>*gjVW$Vkg*p|o zx4r%WuXsti02FpFK(K`a&sSGTpkiz4A2bRcn-&@3`Iw*If{}vtdEY?acK_RisQaYZ z#b40r>~;K7cr>w*_bHi*zx0-~AM#7w$Jl?I`b_eL^7HCq7?uo`>ifc41;|NCWYq2B zdiXO&5o{$sw*pnN%x$WN6zz)l4t{8_6eyFmZo?kZ`6-4ZEF~pJm9^j~a@X4NbJ4WA zxUv|8)6OqoSNR#nhCMt~aSc4BR(Bm;ycWxFMAf>TsxWEXUIWFM(c3`CEQoL53tl+a zLDX<A9NK!<1Rd_{6dOjDhz$?)*G@KZq;IZ#bNt1=jbr2eslYN&bIcIswmLlP&_56c z?e9s0_V;gQ`uQSX<wSCnSx%f!`I*;G#!pq77&^^3dsqHQGdY#`h4SgpKbZKVJ7{|P zI>&a^EXQ`8n>Mc9#`vs%x_J)ek2tZZTq-ba`ie%hBX?bX#sLj7Qrj#ip+dP{KcPSM z6DSizm-V4v*CD+3Wvk>t#dM!Jvi;|0i{YNlrF##WCgls)3KO&+2v4LGur@!QMn4W+ zFhXe^O$?EgP{?$fh@zd>a95iSBMU_6MudDX&nRRGezLOD=Ra~<m65%8RG?Mw=Le>a zCa%*OOqNHAtvrqrkDQ1<m!Ukw>w&OmUI7I!@$`T|8&BxV7)sLVFLI$-M$feHk8zz4 z*>e5zdh3ry;Nb<>N~F_$k3vnLFF;06a!$IjECsFb;RnKSWC3d_=#^0DLT1gxil<5F zKWR2uf)j@FsICW16A1+(mt1;Maw3@p5cx+=R_wCs5T<hE>Vu|wlR}%=g=bpfaSw#+ zq6%1L*vgT7kDL&n<obu2!U`agxK37-vKL>I3MlL!PY-Z^z}BqeV`J~d3#Br8p+#Ex zXoS-0-*c-d?Y~8G{p@ej3m|N`PAe?3>W#W1PX@X)9yIaG7uxJE%4vna1>MXjVBI2~ zM!SSA%++Y|GuAM%_nLs3<h4liLGPc0{^L=}ywyd#tz79_>6ct)zjDfqc9$M9SpDD| zAv$I{YoOm!wKa15rpx?nyy&rnN7W;`Gnq1No}BOHA{qmz9#LEey{?Bp%CF;plvAWT z>QRp(^d}R3IOr{<Q_Xylh;y<IQv$CTFd&z9j#09kLunJZOqEl-cF?1iMCkLgX-<?w zNQF@$--J+6F93>R8|Q>jsp8x^{6LjvovtJdH!c2mBwuWjS*h(h7Ng&v(`{5NzzsI- z=}utN4nP(z0J6*&=~t1sIqHQkQl%Zd1~rv|&q-la++aP*NLLCpaSodB2VYKuZGI=P z=mHk9gwA^5OrVq6aZYQQfO#<k*bGS-Ha(^IM{O9PlO7fhsOkoEN*?eb+EtwPm3vfa zt656Ib<c~ljLNlnxF^a*wgyo)ywtVYurmietk1%zD05)&I&Nh4dp#<1#{e{;PnA~d z4t^j9Klp*e+m#H~KO;~fkg22MGifE^TWBR?i<b0P0@8$EhXW$Z*S?nh-=@tiZIWj1 z!37z6uT>}&R8EUj9@oiw?sKq-fx*Y7xXjbPhNE3(YO^n?8{#ryLB$^#7Eu+hgo3^9 zA`hzw1($B@=kv*p5zU459jFE!DJSteX&o!0XZY9@-$SDm50Df?4`n<0J2E!{{8$9= z=&nAf;6tVyLTc$9LilLGCzV6bfQ5-iT?~cUe(6J^MTee20|CZ>7qVSnhO8uc;QNp0 zyTac?;=5J3AZ#{dSZv@St;~iDzYIY}{nCaE=Ou!S^0y5c(QgD9J!NFqI60Q&PWgv9 zd3*}OiO@Lt#+4N~PpjB-e7du#(1bwST6lfz21R<x&jGw-NlfepFKg1zUfblWOgX&* z11SmqT!%=LqlrGDqCf8wds?ALYnNCXE45e~8}C>fYrR+-gl+7al|t;Ajc@Flbztlo zf|iyBOV_cdkIZD{vye$0e-wr9)Kq9pRO5>EeI5*y4?k_wC(d>E)7+ig_PzTIdiu6? z21tlA`ja^zCld<-s2IybE*=Q;ClmyH1WSjwQxBxdfWh)tdE&A^XJfq@k}w@L(f^p- z&!|d{J|^}1tYKI5*MHR?#-mc$ym6oQF|=a1tqkABJCt)%F88F$wm^}E{;@U%%CR;@ zFJo;A4KaVd7JYAT=FFBo5Q{3*%&{$S&#^7C%&{$e%Cb4DjkWPb_^{zm67|QB45xfN zf}eVYQPiA`aLrCF0^TlaKW4UfwR$ZseJm_tyAa;W#MNj#0yF)>C}++_5A%>F*q8x> zyfQh}c7Yt}AAN_yTnKd~s@@RU<O`E=C9hLA*MEzkz(L2D9^KYpf)tVbG<YwwUcwsj zah?*vEKnL)e0mORZFoFVx`lCNDp3#`gUl!<yiXo_)*|s7W3pw3-=A9XN^p+J;~*~_ z_&&8m@`h&15x(4pHSx+v>3Fd4;X?9n0uaz42GD6Eq=<~ZisMR>jtVIexLb#yU49y7 zhWX=pc^X>N{be5;{PU*2H%~FW+TF#;w<P_}a;U?U?xszt`S8%0YQC3Yyz;Fx?T=v! zI!A%uP2&HKCz$DmkOFT?9)|NiB_jCCzz~MNdj3=nulE9A4jHRuQgYEzru=xz4t?wa z#Ye&o6W9_{P5hqP0T@kkZtstl*6W;s1UQ3^J^dUeE27MS1VM($_uco`SIOUe`r;uW zwF##7*Tj0tH@iE4o$wJrujO@86dQ?A6wisN{K#KMmu)X(im5i^9gMSW8_u=LTK4w5 zF!AsVrI4a2u3cT<_Ak`UY!-(^f82u~cJig&$O4hk*3{19oUP<RZ|>Inki~J_rBVDS zmzwBWbdZ4x+>Dr>b%+f@<Dii#hS5#E@syb)3o!h;dA*mjg8uzaJo-UzG%!mW$0e=A zi&{Zb<HH_ME-mE1;cep9Hadyr);&I5j|3h)hAd{0V!yuI&>XpC&v#gBnac~MFmv=` zh*?o#0>6acsZDb}-*EO}*7CaWh<|jSm>K#PM;sd$iztgU;66KZsPieGEwS02FGJXh z$zT>Ks|NNe<gt1WC3)^pH!uBH4e*-qoZM_u>~<kGHE%BFQ2bhuS<1{;(F^_MWb1tt zOcn$`=pLqN&0;ml&@Xq%#><A*z60g`MA_6%I6?Vyc2K_cr5E~HEhyh5yVew7D~Szz zfE!LYj*Imto0K*0VNxIu{d@%y61tbeITyM|<En9}s7^fp1PluP!q@A)e@V1?En7@i zj-b^7P)p|p{%@wwm5H(*s|27DRZvO#gCv5-7x?*?^DQG?7KX;Tt|*szl$2?HbyaOu z0E_8`lSo7m{b7jecN04=vz@D+^N}uc9aO)2&~!_Mv&*ju&e>8QZ2#b5Vfg+eHKwyj zG;^**)M)M^yPM}KXh_S_l#3><>GbrP-Xhz@XVHcHGT@NNF1WaU=15W2Cw`W?^C{+Y z1AY0|^v$?U;Z+*{z(dQCpz>qkm-8vjTTWgoHPSK|ce~(xE7z~B{;hvFcPlHw3p!}t zY)t$K>*l@E(5|R*{najIs6Sa+=p(+&=w%+TL}mUIlihHjlBW}ZPd}oRL3E4Sr*5cd zPL%&m1a8slFI;k6&9x*0zqEWvHet6IJS?efaOHCLiaTu*R(c!gGrDj&JF?eb29<cf z$nD}(<!WyJ!L|QQ6+w@tTS4a!<NO=#Y?rPjhw~ny(Jo~%u01EJO4ArVf>rXt(R2CS zXzwPT+Tj&ND(c>2ArY*_?{jJ{fls}a-@DA{H~kz2FGyed!qh{My-d_(GNd)d&Um7z z#!uzEySoeWq6Fs<UHm=>?%uD}%QoVi?xJ|_H+5xOOx*Hw;Jn-PECVID9JlLS&!BYY zi9odZ-dvu`pBsMC_{G1sbO-1gedoP@^s{N*7P_Wiaps#|P=pJ=punm`@SM*7<6I(! zRjD+<tJE5xMzV%oVm9x&x^w=*+7`miTwQ5Jxr+&WYEyq>a{CBWmx&1C$HPTl#qhq( zKX@$P<wQ42+nogKJzGBf<Cc0P9DxUuKutWuA<uHCmBas|=diw6yZQL&vla(S_a@LG zBhW71VDWOp^P1GRrfX*(Q~tfIfKwSh@NYnb07+fWxtLk)vY|U*vlBl4IXbu7)|B~I z{99888xHYrFFKzbxJ<c-T?IKTR5-01<K;(lHJk=0Tnkqp%EFcS54n~2kA#%!51Ezf zkHnNb580JGj}B)G#cNQq3f%&xRo}yWllc1A6|v6O6iMH#E8@Qiy2)+mcAzkA9@ZBz znB9t2Y_Cp%x$W3ui3Z=KHSh_Kwn5yUzhAwq?KU~v1aE#?b7$^@%PL_Hj1KjQ!Hl6? zOw<V54Xegjv)JUMh$Ml3{A;3<m(W($nZcDk_Z=VXUN{T}<zyn2x3#sdi**U$WWouv z-LNT$m4YNDCEV%+s+pzjBJ3wL{c?vV43)4ihlUi~VaD4mOw`hL8&-?8%o{e9u~IHc zNeScK(LV;!LL6^m-0MnajUoA?Ltj{7ALiJZ@TqNW5uLF#RVhg^O#=Vwl$2x)R8Q;q z^-&4qFa39XMDl;x^}Y0heK6u>!XE`?D`IK5lagZkLD}a@G88JOo^VA}LQ2p59UsEp z02nNjjfvE&<fpk>`ejSRi^oSt#=iG|f6{+zCr{`VL~b&SmsuT*tc__n6>bWMkTxwA z7`xPWNKKuAe7)mr+|t{Chy+(n!MY}Pv1Mj=Sw$Ru@Tq~bnE*JO{i^@k<QkmU8B|^w z9oMsVKz@6#ZmwEZkLGm;r5T9U=~QML70&Q*&+dw(JNn?LHnqpFnEdLsss4*d;_blx zx{DrV>qfTkU#PV{tEA4Xolzf~+NA*AW@@j-_ON-AU;W(XzG^v>zpf}W_H3!kyc@z& zB<A~Z0Tj`yaptKeSPILmc+2m8S}gHlHBv*w!-y?g>|+WK&GfJc;kGT#l}|%Vpu~Ky zMeaT#fwh#i#?fLd!~8RFx%1|_Se0pr)XTG(&ef#2o_rrB^xL(il=g0j_$x85EUK=? zV>Z)~$#>JfYa7lhG5_pku1ishM)QO=+v0XX3T@-;Fz*8Jfb%{5J|triKt``iZ7B5i zc=NZH`ceSLr5YQxFDgY{Y7*S1LB|t7$0I<;u|UVWK*u#nb#XF;`8QQpK4*1Yyu!qT zuA8!Dx0a;v`~+Qh16>#NCimhuXY<c2O$k(+`FX|5>u9lW4_9OpRQoZE&AMYtn!jU< zPwLYUhbuAP<D0v0lcRA4H(<Mh7DrV?#AH$W!(x97LyEl{LXsrr`yS=GShs34^Q*B* zLQyH^kjY^>>^)ohd?%aT_0X;UAnY)2f!=3eP~K^XOj@#mL_}avW`+qbw{0mqeHtRF zv(lOl5Tk>IpAawHhD5eJwr(aQgV9gAKV(#oSZ@tZjtAMf1`-O&5aur985!zYXq$dJ z?zlV>9qFRd->tOxwt8|^*wT62aj0QJXb4JmZ#9Xu?I-Ltq?vVGALVB-Fh`&Fd9^Zk zGW*sF8S*Yoj;t1uWfaY^QVvmmWTbHRyIr5~|CrOlTkB|Sx@_|Nw{1lOS^g(_Wv=wf zO~oqL?CRfXGEB&dn&$RG5jG1S6Ha+^SNY<VGMV@#oH$`0DXI(mQ4kVfC&r*JS!7>l z$tJizHH>|>YfI|y-GKZhF+aeYyAS5XYcMDL!JG(mnd+PV;yE63!CO<NVZU9NLf{P; zopvzl=3vypoREE)yAS5X8JH7S+XYb-QHU(cV{<eA+_4D_aQ-<6K9J1!;RABI4In*W z;K7VA2Qz{W%n15~k1zplQ!!K&-g3Y6^v3ubPa<%puE3d+1_m_%wN_@6Y^_c)|M^{^ ze}F{&sketwal6<@ZE2e6av8z^8b7QLm9Cg7uVcf)`OI%zX~suT4c8hSHRdKB)3tD$ zq1#se?8*@X;f}9tH8eGMy8yw8f||?<+rn=U_XX98>q074Lo@Q=obC=o(ewT)zGyt@ z;MATCu%FP0wORQD?eFV@f{Yi?{s9b7LRx_mVq{V=zM_W-JWfP=2q^J;Fe>qTN-5QQ za4Xe&3MqMdFe`a_id~<mzi>479pMU-H_2TsFc9^4_k$XRpOEy2=xIFhk6<(SzW>uk z-WaG!^T5)%d`w<)W^d-<E~+ogZawd?p`JOa?8z(Wji<wfiEgfc6XvI`y!jqFAGS|e z>*+|^TXX0oX|0<*un_&vY{q#;Kdv^i@^_u!^?T(Z&iU8x>4)*=LqB(FNOgU>xtY)n zu~t4Ve<x4>9Z5t45yLQ;7a3ZR_7jaT=M_sHG6YH=y*#?tdqW>yMEh^r(7?)aY;W&V z-09|wxNP0IJV*S+pgyDZ7}I`|YVPj_*A|)(w3n!BUvW$vfe%R1P9{3vS9jd2HR~#( zKQo<bC}PkuZWCeF(;<6JK3yj<i?{d`BJDM^KWt|@BVv$oOdg<h25Bz&#}86C3f8ft zee2sIl4nxhGpG(*emS!B3Kol(@SXu_Z40(@3Sc|u>#NI7#;*v`W$2waJgfen;I^t( zxC{`1vI|)KNT$)0`Q_6CzaRJj<%Cz{@pLbC=%vwJl#Vr){B-D199lvc>!=qFgq83D zRs+&$G$+uEUTEQPJ=(PqmzCybDo-eJLaIXyxW){RRHq@BwZQtU5Txcz5CV~iM+?y_ zjJd~oGGU37x#URXd(ef^3W4MYvu9WY+`AwM6)<Bf4{-+4QCa~-4UmrPLM2)iv?wWY zcUSMr2Id%Yxmcw*ZFZi~$ilupp8f(0%@R}B!Y_N|w9YI`oAabRX8pmbM{I#iJc?YT zUc2d^h5!2kNW=_;+eND|?v&HJP6NsN12xghLw?zMu_`)x%YEN+G`Rm;k>>LdYX%-D z*uArEO@$)g0v8D{k65NWKCk^$XoPX&3Sx_`kSCV7PnqOZ=Ftm>w#>oW8N-?AgkiM{ zEx&%}(>3y`r?}NB$3{}0%(E+3RmU9PW2h>*-OGb{A}W%ss|wRFGZI~}XzvuMP3pp- ze*DyL9b{WmyvU0jRco15ojEidZxO1Jn&3Y8Xr#sF!R8_y2uD!ai?f)5fIUSmBE+Lz zg!ji7(MHa|2QP;r3Sa(}mka71$ynHg=GazzDSMHw0#Rwuv$pb0gEi>c$(O(qT~J@L zY;{=-*s@V|yS)=T&xcY8zV6fubZo_q^rUPVkyson5%iZ$)?fEd<iR&8oXwXKxq`_@ zWgxli3MVcIp7a4S2CdNxx|c8ZN8298fO*G3uLIN&kZ&%o2fP~=WojsDqpiliun}54 zjrI`h?*?1`!W+k1dG8FF7ef4IY9g@KL>B>1nR(mQpKOKFA22Pg*S{BU9<JV)C?GQF zD`A=E)(%Wx&wB_?rG5lpa;V>`hfuz@@k0MeomDu*XZcXA4YLn~Qq}L7;FM`2Df0-3 zwydL8)qJf8Rc)R>rNvd<GaPZI>QC=tol5MjaL8kc+w>6NoH1<PTn{)?mdk?iFlRIr z@w^veqRL3N%?xnEb#J>L_j^!MB;Tk=1#7thr&A_^G+!ZgK;+uZdA=dNd&}21#BBDm z>D5vF1Y#9eC!nqjvl>?1_{HNr1%#&bA!&p|4_elw&hs4w&3@TK$}1UM+L#|P-)3y< z46PylWcF4qXtjNvOk^(Jr+Rs7!e*|q{$@=%L>i{?<&mIa^`{Hn+=^9K-=T@ab_}=O zYVWh3Eo;Tyd{=u$mPhh3Yr0nSH?s}hal+v;#LP0pXWHBA<u-?0bl5YtTo>KdpVDge zX7xmVOgB|H4%zG|7pBR|XXE7+KRW+$n2d^qH3vMVB32O#J7a$7p!^DpFId%+l<&FQ zs`#+0)D@4m8URkdXKyQ6<C}B16yqaly-Vw2G|0{-lF%{|?;|p#w&WGlBCn^G2cAPT zgQ%%27<I5)5W?Z6;403p&x)e1&qD6iXT>>t>cbDkGz5;=H5v}#8VyHJG+uc;)p+H} zr}582RO6rL@0P-QmGxaxvrpn5T!?itUn`hAA3Er!0CJroPz2@ToNPjrn&6hj3z=ow zv|~5yLS^C81G9S#naA49gl!Te`DT?gHR0}4&1rFIu3V-Wvq#2vOwq>|c^laF$v^ub z$)=eauc)V<|FzmxE}Z}5yKa6_eIHi({@kY|+Z7@U1FG^D_RuV#D&sQ&@z>Y4hHvS4 zioSzQG9FJrsT?(_{<c*po_VZcVT32=34gj~8uA;#Oh=X@%6-JjCW69}OC$g7K(VMU zvV*I9;>$iEkDPJClhKS^v{DbI66du+L5OUwX~BNNah<TG%@+LQTOqe!6GrA2yL<QO zu3zl*$DImaBojR{FZ~}Z4~b{|4m+<hQPWmClkbv+T)@nrFocWNgzRaUzCJ@xYFO>N zj9U#kh$_0gu%Wbz=H;Eb9Jv@%u}V(5Ho3+ydrXReQxvIy6LMX^@8O`WUR<%jB^yoB zWTn`(dw&p%RyLltIyd2L1JClctq1$HP6(9n*3`4mKmjIY2z8f7!hC^GO_R)iwI1yv zrIQ&Jf#-Gf4Cq2!V}sIdPS}J)B1~bQ@er-WaI6+``?Yj5_fNgdIBGmE4|8kY8ji7E zedLAj^?}Ze+J1(sJ$Ayaxjh8POu*r<24p6rKR}=iLCSpgv3^(N+tv~|z33*&c#h3* zd}Jm3S|?_;9St$l&5Wu9`j(=#2rt)I4-2xbwH!`FNw&4#VJ1vXYnz?hBaY<H0YHEt zz?$ylKNxS9!Knkuw+a%_W+w)j5f*sIlgIKEPJ|1i{aymw4BgY#+cj{kUu5=0l>xYv ze>UFv`Eo3u$XGltXAI-ju^@-!mZ(PaF$J;e%Lb4i>4HHhf|F(gaSR_hk1?|*6amDR z67ua_0i1rXBvTK%&1|UNA<fyX*CCFAV*%%tO%s~CN+&Ze4bQ7i&YCx!YphoQd11t9 zIIf`F=Cl^4by2eBss(&qAK|3<<o4D;bQ=Tv)+r#msaVr#aE=Y)wmDHq4C${IFL_>+ z1WZeo!m*UW_(r0+f!r4-55`x^nwOA$tTzaG@!xPM`L{U*OfPbErzZIQzn2mb&|wsu z-gE;c?(qLn_11AswQs=qBMP{I<6)FYNs7dzMM?=l>Fxmo8AwSDNu@?et8|NWjTDB2 z0|6PG15u<?lok;A-9F#<^Lsz<Kj4vbu5;g4-&dU*CUFV=G|xE26|R7!JU2Go_czr# z9AVXGa`010RUt>CL@exvzEyId#4Ci^C$(1X_SeiDY|n4Dj(3RPxlK1@|7ac?>+=3J zZ9+y?Ys{h8?y#b&g3O=VCehL|h|l$yjMSzB%&|cjR?OD)I`_pr`$x7pu|oaHNpW|? zJVh*25$I37o&9skeOA^zw=L3WDHe`%*7Z6>K&bIaL~j_i_QUY`dX-;Fid=ky>Rp68 z(Ijb()~1kBJ(~r0dQ)jQxm3JrNSK}8w*_B%Uujx$1#Q)P!nyA=KU@A2QPobJ3dUTG z>Tpq?fox{5iYE2zD6ArUzF_ed7t^Xnvg2b-?*uX``8OS^L;UW;g3w>Jf>62rXflqV z=f}nN_V*J9=9Q$?7oKj>oO3<$6^@B%7yLRgUDo|#YO{~!n)~|GkBc`31*~rlErTA= z1vNj|NN7k{z0JZu!loo0DyhSf(6H9}Z?-hTzNE93g%(*(#oym3;(v!4|DtCuNCSaN zQ)$q&B~4o(EzMl;vq3R-Vcxs+6$G0=-SW&tPMsl7YN{4*lzFoIt;>7$$BQ2X&uFy* zBQ2>pyj;%)t50}l>(B4UpP!q^vC_@O8;wcb^|bn3;_J`#j7)OOaP0Mmh86B)uBFcv zFyTM%-5Yg717C9U=dN7tyCD<0TN<Com;)RngHRdMuX=?D<A29H)q$fnp;w9vVR53@ z1i@^qg+RTB1vW6ILW$|A(b{|tG-x(8Uz))}n8_;ZMP<?^a~7)`AAcuf=rxkMF5TzL zPAFN$V|+{=%m+UH5fQp8n+2vtmoP|yusz>o=`g{cVTP2aM9E^bX8Q^%A6TWtVXdMu zq{6bxa7&T-5aY6QK=?APDSlN86(LG5C6Yqm>((O?e4(Gy?x85@Zf-4zLj%%OP~e+V zcove5u0jLInkk0vuBYe0V07ajX+!;ivgRnD7jSF3dC5Ml%<xXr@`_#>N&$ROAx~s3 z7dS~=(9fotC`t|fE!&&eW9=-0f>oo*rkl+*T3Wg>kiDT$bnWLU2{XHd5zWkF2Whz; z%jjA@51Pi9LGBCoj&q#m%faa3Faq#oT=JN(TKh#ftO9-GNGbt#qDQ*_92#)-9*W~4 zSm4?{T{<?1Q$C%dt2bXs7~&FUBsq^APdl#m6#F8;{a;091*0<7*|6dny0j<h{QXB- z^edS+pnGie*kh>|1Q<e>^zlA4pb6|ZwJ<>BJY~v;i;FJ;Y*{yS=BlbLWhZ3A_LAZ; zzq+o%3-xhF_|Z3pQbynbCzr7fQ*Z00%_Fc^W(#o9r}T|Kg9$KpebPs6Xn?Qgcz1_n zXhxH^E|oz**?9LA!sa(VMdU13Ny29+!_g33sF<9Q0MqPU=fckd9n_orE;jO5EtfnT zHjcirCYk_KD8|Ly;@?7vV}o4uP70cy=g`uM?quaL7Tt8^-OgnSpZcJ_;Dj)y9q)7k z9L23y_i*;E1*8wBRpxn5T>2g=B8*<jJ&M2wGawOYp|aBID5f3&PePC7;%A7HEv2Hc zX5x&BE{iOrIt+OUQ<Iq&_%2`9<2;s=ztgnP^TU}qmJs;y0je$Sjfk}tu!qI`Tj1Nb z$o@iHq#u~d5zAc?y>qESL@&CniJR|VE6QBj@sB*AyKG@9m3$*dt8}kXA%@MtXj~|P zx<{6l)Ikf~Ytundo!;Dfqvk*sur^~R+!%sYvDXyhEx|t2Pb0_RVt?XIt8_;^J`39a z%l0l?|6AVTVX;W(KTwvdN+`MleisxqmMyjr7vn*HD3VhW7s8Zn*ca&;YMxf1V(2~V z0FYu3iuYC0<v4r$a$2z`8)TPLN38_&n7xx_OoEy5dZc?#p_ywBQEfYUxR^HJP+6C~ z>7baQ9ajkmH8<tMn(0zzL#ksCPzJUmgHSPQV<@ZlY>c`}SbRV<fdT=_yM&<@O&Tbs zBYqIfslUKL>d*tn8&zE@!PmplZN51_@lDtDZmeNwF8BS^6>z^NZhG^+M2FN0;0qz> zY1&Q6lnzEl`1l8SsK06c@V7N=9`&narAyg1m$1wcpjlJ|x_5;hE4-DDi~B<FQxisD z2b_>_D@y%Z+5=rWy32h%#-TD-wRD*ZF84k4gk!?u>(le7KQjnqI0+~+7d<qBIZ{yZ z_u~NZA{9||fU!`*SY$=Mh;=C4M=6o8JU+Dg(;|>kryc*`!bQpC#j`<<vMSW29nKs@ z3h~d0Xgu|}$2_P<>M(<5N<Vz$`VFe6)t_7jlJkD>gRmFWuLTw;8T>ex1^MR!)bCUA zcRqN$KqJy_anr@NM4}z)@=er3kuJf%WSp?bp7bivgCbdRZl$LnCNV$3yYlzXJJEU6 zx8L5o?DXxLqLw9~yx^q<51?8QK$-c4`b#V8aujFeenseCY@OgwTPswe@-lL?%Ym|K zn&ihk7T6at!YUN?>h4#+@|?eaB*Qr+e)XwLZzaQivqkt+hbs^{W!*N_>m_jkmoV<R zc?fo(a+O0*eBT<AIz$;Vq~9u0Q5rhhRYTdlO*+57$%M*#>*XhCk2ta{Xr)lIt8G4t z3Ol79q<uYKx8|B#Os(<8;=P;{E{(v)c>lKQ1t}5Bn^~7h*tP4lx>QU7D&nx25LCN& z>pv_cH56Syq3u%U_m|!u0$Y%v0)vhx<8CcpU6*Q#Cu6RE4xo?{KJX(qLM8H7u=!Pi zk>42@m!SuHF|T^5tyJe)VcOaw2pK6#k+AJc_G^l|7v&*+J-ngjYg)Q2L6<l3ZYd)7 zx%ua=(~kE9ueA_DuYs^U9h;$JegV|gTc2}Itu*mTf#F(yIW)-oResY2%CbaMqUDhP zi204TIa%#2+TbjH^M7Y?2XGb_0%vhxs`f7bcWSqM-BR4dcGsxD#uf(^rq;`k%NOt# z(ZnJm%7;5IGn`1X3%4?RO9^eWGe6pu2pb%^AfDj56@z%TNBXD;J(a%37IV>MUO*2< zc^gt489(x2f?6q_S3Ny!+xy|o`@`E)H@9rx=y&Ex-k>w7cQ?P8?U-11X}MM9&4RRa zSm76=KAdLR!+YXuH<N`sq!bEqVISyyL_-M*su~RaxuHXVpy`(|A~FB77B1KqqPER& z>X8b;*EW|1W*$1V|MPiG5k=X`zxC!eHfY~qM!o*<)tV!NF3Zs6jc*|2;eCDgU_|fD zvGRJdegl<S*VLQS47ruobOOvxm(=MBJ#FG<ka_Mc7sa20T@0V<hJ@m!!Fj$IvauMe zbbp9cajC%Sl3mz%;DyGTBmzuIkJK3m<hj^Tmb6U!u?`pYbH-Ftmq`P%fnrt+5=wRa zGye1?eJp`_OShCAJQG8J`RJ26rJ$!Ib^5L@Z=kb)^8KqbeStw$)8zm)HU8bAqG%N; z>wauqyGd!B7vSKWyukN-{J9g)t$(YyL}y4>DN)@3iI}(0<L~)O&z^!LJZpP`%Jt{0 z0fyHk_j+p7-Zs(4+f}PM8%f8tD(Wg60kIMeJ!xVScKZ{2_!8<0PBdYH0{iYjjH*6y z{+s;`2PYHtVAWUpSCiGcm*FzL8yzY`QqpCzfNa=hT3U`!ZI=vpBN=3xij`<G4c8X; z953%Wlu{P{yELRv^MLcRBSpX)hRghO1$5&d6hiU9W8k2C^O0ek-~;@Sd?f|G(&0`7 z!wE?^^4@;vnfhgwTcqOf>ucma&p4P@$(#yITGoCt*-*9uvp@qV=Q^b%P`d`9T=tL3 zaesh?!2tWwGVCUMOHdy#er+Ayzs}D=OR0gqf{Wv%_YrwbV2{uv_5Ac1>7{ac!=Wg= z4{8)H0KXzJkDUStN->ln$Tk5U>rWf&p)Mb~!l46v9F<A2L-)J>G?&JEE;eg*QHzH1 zXJL~-z0iw11UQd&UJcz%*MD<7w)bT;?m9os$2~`3GN(myMYi{`UnMRa3~RqI4-TqN zb-Ng%B^P^WcR^TAKR>QVz<Wmp%brs<+*9W$yEG?A;>1LL%bHWVT}Yw$bWIyYDbK%^ zUp%fswpEaA4x?jx%?Lls=LUWYS4qs^rGsH?o&vtnS?~96?R#O{!+(y%?AHFh^42DB zs#Gb$N<d=R0?T(&GOT3HFtb*yM0p0>yI`n1h}0!0staEM#h20A(7ItWloUwBDVkuL z*NQ}FzA&s5M0SO$(b0E{n3h?JL?X|!$(XCaIoX~e0}zzz$jEUq1j;4{;(clY`#(*w z3OTP842;7mwgvjT*sx|zl_D(f=(|mD;H;#KVy_a6y(Pgd)PCc6nMi~~QXjcc*m2Sd z^m->5!!^?qCAt_xM^p{eRY)&CtWdxk)P}I9ln?j3cyLZP+~HNY!UyWm2^!exw8EuT zn?{0Vzg}8oGjvhbD>^y=T}ZfU{4kknJ3U|E5%}EJ#ZIH%8=efiewNt4YbN<&BLd!{ zs#x}JfL`gs6bcZy?7D_EGc6Zk*`!~wX(d>?=%z)+LH9&?2)o4$iwxZ&ochhd_9Dty zYH{v5hkve6$0#tf>C$xq_w$mXYb<a-^)4+7-U{7K21RCl<w1{%7{)tPc**z_4AQU< zp>?8WC|D6VDH~$_(uzbl#-4LLqrCn+T&yZ+$u;EL+l!0KC*)6uyhFwMua|_Rg94ct zU{vYGyIDhJfK$rE2H5~kX^^)u2!@72wKRdFKLqT~OJK;1^J8xQA47H@^{1&g2Fi?A z-87TlT36GJ_ybDSg7f$&{;Nrw`Sm&vMgg4ee}Rrr*X6JVZvL<qmJeS(tV9F&pj3&n z)o|_2JE;7=9w#WT6_IW-=Imr_&iT;VkeWw*3hM3dDr&J_FTsWZD;xFyJMhu~R?j$; zg;b_Q_ZiTv1?sw`n;(`9Xr_T>_W?A^;qT{o!)C(S8SN-frRJz{xexa`k0OP3ybE|+ zri!{Of{+ax21R5AUrD6orGpydN?gb*0SQqPEaOV)aCabsjA@<{6P3{#DhV1Sl#<`{ zZn88H)oMBP?W;N47beQrs4I$BDtJqxF_#)}IeAi2|Bio91=>SJmnN6)bwc=p3a>fp zBFi{`8mUByDif$YF95R2s`n!lR2r2kag@Iic`np!h7IJ2ofHpd*5u*ubO5LWb%cB% z+)WRP5!H1m$3VDSMo}J6C?VYmd;Fk8NdaD#m=G?o#GMcQe=n&&wn}PXsq2>gDUK%L zp}R?-^ofV3BpSFU?#X#lRlr@sgzi=<D9X?Blq6U<VXyS-adJS6dexK|c9V3$It>5s zC2-=@THYD;y5BG#`Um~<bsqK5<-XfzAYA+`bT{s<qHBFjeD?*+a84fL5Prv(1l0fO z1eB$zT#4?;&~d&E>M94w5eYQP11vi`AO{`ui-65wC^M|@fO@;q;F3KEs9yv|d_hQ2 zIE%X^ZV#A>kURu%qB?njwyyxr4kvJSDwL?y0P0GhY^(<PF^fR$D`6G18=ZHu38_C# zR<C`0K7Eq1ob0DTLBu;fQdq#a?tCGnI?{Slc-6~rARA=n@|-YjCKDThpUWnWVaDZq z0~N-rr{<M|@0!fBfB0bLC2=Z8p4?&Upldh+bPXGTuHnK7;VsZL?Bk4~Yyn-v*&yW$ z11aAY#Ctx*O)EDvPODtpWnzP((Gf$J*?`C1s+Y0u0WHRv;O01RGX=Q074e>taox&o zr#05t!L)qhO2x<q9~kjNRbDSM7YuF|1UD~(eq_^<SH~F-Z?vRUzmo4C`=qeq<GOPj zYdvPLTvX<wb-c+exAoG|)_1({BMntMHIs8+UeMGdCgn(a#6>oPCQWbk`P$(-SfXkw zJ%SQx&}8YYF~2)3k0q)lEE!Aulx`0BrMY_@8#Dh5ni>*t(~@ty$ldJfOV-N@L)2RH zo+3h6;>Vx#2pVqvrodQDx9fB|FE4&reWUk@X-Rx^4ZOrozezJzYra+lfhBhT0xt;z zFA2;bETeRxsR<#Ut~{E5?0Io#+U4FZ`El0dT`cilJ3WFf$e>AR|KWV?h%A<<SWS;$ zl7AKEv}m@wwD8TZ_S46hN3SANKk;#V$2b16nm@9G7-&(9;T^9~8?@B_dN%)*`Jpm} z9UUvR9T=uT!6&Urt?q96OX35{&ONmAL*k{#n0(OG-U(+_h}aBsvw5LFrO*=hz+EI( z)BWnz<ZmLPG9OSVWN2si%%#XMNeGOooQ@TI=2tqfVx00z*P|fDz;5%TZ#Jem-$Zai zV1mG7FhSrYm>}>GOc02HvmzMzq~(MjfC&QCG<fVoFhM{_;ue@7a2rez&;}C(wk}0t z%^)xqaXMBQ7&ZWEH!1tO9sI+>qW!~SApT*IJN{t_9!T@wuG2@hSB^j32#d)!AYDaZ z+;*PY&*{|KyUQjg%xi<*?H16xy<NC#)|A`lu&5}I8x~m_h+r?=1p|HhRu>;;pvB$q zX!!&d;S>9M+!d0CI+_g?=o}1=g}lGN>~<)y08ea#C;Z>;`mLq+trnPMWR7+vOQ7bx zkUu0+m&L7eK}UHI=qTUUSgsqs3p&b!K}R`K|Jc%7dwFm8X7Z3)59lbLcgjF7*4amb zN4(Id;F0h8$C~dojTESE=^wku=`4Hw6iOcYI3^JWBJz)2U{%F6;B)NVEA!}UnF$uz z7?!q-q8X_;P`D!{TCr8}d4ENVS?IyMZCWm9pnn1y=z~B5{b8~Dv~(h2`I`-BpjQSB z^f1ssFZ~iU&>QnOd~}#BxM@k<qu)f$sxvR<!G$FfCg~9@pY@wqU3BI>Yq+t*&Ov$v zN6Sl=-mcxHieIdFS!@hS2e7p;U~8#jce7vMq3+e2mN2P8_hwQWU{Phjq6dIQQD&aT z3ukzGL`1_)ONz<2?&+r41p9eSXlmRTxL+IGFP+Y6$fEH|3zou@X(^;F<!AcZWw!?B z6~poR%qXkWxIbDJ&trPE@#*6oFv4ZhOpKJchBD1v6LpsY<5eu*^bn5002iugc1k8X zc?5#jU(Ql{|5ww?ae9hIFk8i;tvs4N!WoQXnWB^DbK~(BV%6FwNvEuNxXX<!E~vce z>HGv{u^2qmLfJa&O9jTuX0M^7!L%259su4QfX@fu!vJ_nxIE(UhQHhv823WT9H(b& z<N@I8<N^3E0DKEvp3jHRUugE>KB*}ifENVd(fXHNU9@YZe{p?USlHu<iPU<?$iV_c zO?BGjqJ~zSo}QJFk%2*KWpz(FO`FwW^1n~|7soj0pKrfj%-EPMzY@0`=Xy;{`aTu) zjmIB4Am$<-S&TxgR8*LQhPa002FW*uW1UJtjbavGq%3ZQKi12S|6#r9j1@6rxONex zZtB>(Qr5?^QFpft)wG|)^XR^Gv-@$uf=-d@B?VjSV}5$&{P6}vbs&SuT`2Z_zLqkZ ziDyIgym?p7YD2ZHWs^#ruwc2@L|g^uDR(UICFRR@3jELX4K7P!4w79x&I&|MJupUE zj0Jc3|NQaN+MejkFsZu}d-Bb~-g>BD#&{z6%_|8BqosD}(OP@g<3O*YTYn3;&57Rv z-}kz6T1mKzT1j}^w5oT%V^#0LYvt`OY31!9Y_;z$Z?!MFY`yrV=XPsN(z3-D(*eRK z(}C_%(}54`rUM_-O$R!sO$U0KuWHG?QIIU!UU+!$_*|V**w-fL+52AHbt?&+h?PVQ zzg0a>+N!>W$I2TgVdY&TWVMfzv)ZrWlJci0>T%*jcR4YlyPc%aADv+69w)>~mlOL+ zx0Aw3QnJGv3wxZH)g#k`>N$j5bm<G`{m~NYR!{}6aBV`xI?gFSSG8fpx<KY?o*t5V zyo8<Nu^~rpH|1!@hVMvMhVKYr#&@I}?>qA0#CPOlgzrdax9^DBkhC7PO4#E42u_b3 zs)#o=phQ0ll;~R(WTfTk32Tm*NGXh!!1x{;GQ9$WSbEM`t51A)hiXD3L?jgY_#!^W zFh=ymNU3(kz*M_q5UL+z*#A85{wmlT&#i%z2t@d}uEfryvriPbqMvqAvF)VyYu0)S zCZ%Vm8(JSo+D_r|W#vvYMP(Th!NV_x|KWsO`PW=S$@vQOGW-&x^0Jbk(!ZNKRx3m% z_9Kd!SXJem#rgTE&P9BHoTPFpgo7w{78D5|TGHk}S0^7@{v$|)Up&A2MTS}chX|vp z=t(5o6tC}~pcce&c2c=?MUk<H*LLs)@*}ePCYP~aiR^dRM^_6G7f&`;sn?5%Q8eDn zW7esVixTN8MS6JetCa`VcWwt2$2EAm$(Yz62boM0sK_T*Z9}d!8QLf#m`G8A<Y1^s zh*nb(@=)S-+(^K*T?HbjdtJ=tJwlmpO>BXswL#PYlm4?(XzWM*Ee~WW?Do>1%@gB4 zr{t6KiXj+3QyY192GiUga;a|*L#~(!&v78zS#d=j-4((($mUW^s(I><1FOTPQjJ^= zZ)SImRL*x8W)HPg(WjVncb!*{-OQ^oN*S3_j%Ox=TC&iJ&Ox%x+VT#6R$-h%57eb& zhm1w6rbD&=x7U~MegPb$?%eYGgVZ?xTLCVkg+pj0MPL~*Q5YXfDkG-3;x$PK;=YA| zMj-!FrDIts=9@>>W+`bu=P;WhH63_O0USFASnMZqDI4{WE9vGo+(itev~_Z+`Ihq{ zpSQ%zt*P=6o1+eCh>NP{PmLmCN9?;yk=?Ol_5@$8qUQCiNyuY2{O`Hfe*{;HEC2?4 zLNLt+HuBqyrnvzC1J6RP`03hkvon&?)Bw<$uSp0K?{yEORD~(9cVsH(ZExnCR)n3~ zhS)r%S<xJY`9d^;?b++oY9WoS*FPp|F(e}!qz@pIJo%)<laMPU6C342K&Fd;Og14} z(mZo@r5L}mquL&E<cZvreFqgX!exSmzD6rm^fM+MrwkA(Q=t*bZGN8YHTH>Y)4i+% zpM}7&TZ3&^Q@M1cld<e-g~Ul)*w~@zN#O|x@!ogJG!pFa`**5{!9(86yUhshwqg7J zRJ^7%CvpF?u}1%RVW&{@d0%bU8?sGYQwLt?CXQW$s$!^?j0N|;Bcg&IM=MC>(qBfF zi8KH4AaxqQpH`re+l;49D<&o$<6}+ph-p~7<}a1M)Gp8fgCYMej(A>X5!tpYWY&l{ zgI4rVl1~;t54mzx*G8Fwkrbs!E`@dv(YnetBvXnpD+U|(N1nJ0+jppf4UgJ)p^@Ey z@ZrL>n(bAMUAoP5eb#uQ$bYMBBHI*c1H|UXvD1N7z9nP%sRxK{35ZQkN-HIoLS+ED zbYj*;l~NVBG0Z>n5z4CTVz%`NyY4kHR0ZGItOVe5@%q0+cJ_1=u!!6wpIlZAIr!Dq zaWX4}yKtADlxRUNwcryH2dGcC{l}z%8#%Z>y7(Rugjf^%_6DJhSQlHUMc7rXiOrV@ zja8otd+4OXj{cMIA*zbb7_!Y>gOCFfU&2D|!L*glMIQh%n_SA)BqVMcX+w9|`_A48 z$-dUQwWFJ=kl@Yy(=?S+2QW_o!Y&6ePdZp+y~$>{#O?26C)HV*@l2+XL(mH1D*5Ch zfW2oQaz$FtMp+wR(g3iIcZk+6bwHXk{JwOaMs66MTKX+9u@fIF{f3xU04U8z{KfRx z?ZiA4=CJfv3wePxz9-uhYwz$E;>Rga0nWKWF7-$+B+k^*#x4bLPNBaVKCnO|p$@-) zY@B+5V^i!=5rP}NE{1MI(5*Pu{+9oY_cpEitAJdEaIj3UxD~R^n!=6~7h&9mq4P*L zWh;78R4&w|pGcNDZ1PM}q5&beZ*^!2G=&kK+O(3GC<%C{oS25eYnt97?r#=p?7x!D z|6Mp8c)gw7I)yg^Y?_vQ(*6;k46frORseUQfXbyejf_RKv7<Xy5C>TV?7d#Ck#GUO z&s;(bR>V^?R}iB(@v+Q+cTgFcUSpS#GMeLmF*x|?$Z1+8>lO~d1i0}d*``-%2Zbd9 z$7up}>6##8@v7|LvpnZ;Qp0PG223w<BTqCZ?K|j^5t<YBU1mT_&H=KtoO8B1$OYX% zMuYb9UshET7+&_Z(fND?k{xhI4I(H9aEBT)LJmA54meoA`jsJ{%klGuuU^fihZQ|E zWqZwq_~6fFRLuqWsFt#r=3;#K=?jgqVPoDy2`X0unaZs2l(kV*DFT_YK5EyD2r^z1 zvnfO<8v_PzK-f(J2Cke5Kzue@tx?7($Qm)>G%%bkMoc@{4O{IJ1ghrh`^;zcd0jF{ znF=Nc+zSbfo1z6K6=oU?rgiuDacHcr%>X>VBSTUtL^39v%-I-1J}E>8tuVV0m0s?G zlmZ-CqnE0X>CKEYOGR^Yw$<yUpgHn2>f(kAr|&y@6h%8WAqJpko9&(g{yc3mCN;1G zstV#Ovdta4kOMz+n~OONq(otI+WUYFL_b4a2rjMM18AjG^eq4+83;J?du?&_dJckn zdsA#B1VP8%Czidd-k)S)k@GWM@UK26>DsVKF`A}okx$xrg&Z6M3t0gyq%*LP3xH5f z9ekR!bH7a+5qp50(yBFb?EyPg5fd2zJCzdCjPMe&&;M$3jU783`*nBC-?OIoQ+$nW z*m3|+4q$e{YWJEtDC7iioHPJv7y#Pd!8bc5JGxwgkdI#*T}?z>gl&i|1tYi*!78x` zx*TB29TlUg@ZM>EG-`mdR5>Seo&+l_v<^8~v#<%WdhtW4niQN1P^k&{eicaNV<44! zgIcAS=0c5x&-nf30%C9gp1Qf37^T>5Xy1Pi$wxi>C?m6IeRQj{OUKRdQM4KPBnlmZ zId1Ck?+0=@2ILYLnn(H}aZN}YJ2wVW_$MHjLbP`~^itSkFza;>QZMALi9O0ka5Jun zq1zAxC%P%?YE<f)NkEb=P$51E&eRI+q-PxyC+B@bFn26%<PU){YXQbgJLF0;(uNxV zP2&J`(z^4hc(NjMX~0B49=0}GT>XActB_gC^W>p@@55F_+8f@?djJs%Q((nyQ-z&Z zD$o0xALHaWk}EsK{jB&c>fB!b*sDG8HMa=@JP-_2Rlhg+<W?qC#k4e&X`R<3KrbF4 zUj<y6GQ>roIhSe?+(3`6yg|@`nizk^<iSwhljym0eJkkC5X;9Knzk?LtOVE;rV5En z`2An{sS02X?)s^m#oo*w=Bc9A>tgfHJEu|l>!a4+%@Qt@?J<|&gB8oDm@Dv6oMkc0 zW%zK^U*U55D_r08{t6f0<|yg~0$H>%YL|fsS^>gUg-~7r!j+D&OW71dVS#W30O8WX zD4ZHGx!=QZQW`ONJiv%X8l}3cc&~36+ZJxH8b@av`=B2SD`@j;)YVQFnwf5nR+M8N zr%d3ff2pQ&Isi@HfY2Hou_rv<!4w<fEA+B^oF<NijF27h39OJlvg<}!j9Cdjocx7` z*WgVsamo;^&I_>Kd?Eq~+Ze47z&v(SLsD#w+4ou@Rkud$``wUyTlxV*%i$aSSrsz5 zw+xwnHg6~?7$CbmCO)znAPIUSg}He`H3k@%Y?roR3;cFhtD>2VB|x7f(%*8TaEJj( zVL55vdmpK42|NHlBwyC)5Az?*E+zq!i_QL7Lu?b|v&P6?;fedd^YCezWg5S%JRT1o zYhz$@0@=occNUXTM&PISx|ne)yPfyCxOwV@m@avDvxvYq#3(F2)+~=0e!Q^xt<*cc zC^k!CRnsVYh<<`x6982!i%ENnPm3<oNV|^Tf0e|$@}eg7E_)wq%~)Sm*A(9SmwGCq z7_;u74#*6QHqdzrQ=tC>9}66pa66|T&HcvNJwg-5{f5Yno-(TRe0<b;SxkBnK3x1S z4)rGPu>Pg6msHe~24Rgz4ZL@AiADkyaD1wX!Fsk4Lc~NG46~?yswlU2x`<^zsnq*U z1<=Wy6UVFo<g*Fv7C@!d#K$c?B%%0R%X9q(y9PC#-L2<aukvk+labM>3r0d3k-6UM zwK{?K%v1OhViMYjqYe>>fwK}~V#~=LZuT{?m3*Mm&YC9!5X$2@2Uh{107G6a!6#lQ zi&?A2r_mUu4(kA{`c-u0tYqC#FHZIvv_Z;Hj}#Jhk^Zrxg=VX3qZJptA2VLSOO*eE z%&-qe49ML3E}xp)RqR;0M=F-dedd&XfujWRwta((q6C4?+kjI<BhGjV%+|^VE4)7b zrF}VO9S0C9h*_@zUJwz(jMGfz)W9&;sHBR<07vq{clkP6-Rx<~lELEY4Kk}2+z1Tk z=ENe`WGMn&xdET#M-1GdGFrvmTd(&VOfS=j+*{aWv|snsPGz415^RB_$eOV4y$xK9 z0%BS<I6)+P@{VPR{}n{Pvc2?jeDIwzs$)|Ot+*kRI1&RHQ%QZMRMYiX^l-OF;tsEm z`E>GCVT54cDC#u=sW4vH^8k4g3(SK%GJ<-{zDpNaPsCSF`}CjbGj#}#=xWBILA#e5 zfqZ2Mo6rrV?s9}})`o3&83Gl%Fq-9M87)6>r{}e{;A)mU-kVgZk&49clYpnehNmV0 z)8P8Q1B5VMB7mmh$TGfca4~8l5LS-(mbjq=D?=;<Z`dA|BT&_AqdM#<qzaixt^Y_~ zj`wbQqmfF)?>D{G$c@2MH{}r%_rRu$iD^H)!LbRb3l^X*6fp{SOAz0RHk8^-kX=_M zK3bX}36dWR@2pmAu(tijmu<j+CP!B%rxX(Hkp6PuaHEW*kQ=t|4MM8Uj@kDkk$khX z*0;0^iOdZS@@>Z1LndWZ(v|o`gR&UuQhXX65Xar2bHyipRXPT_hfilVuU(tb=s!2g z$uQcf#y@Ybj7Tx%L`5dUeav_bzt`&KvFBbMcSyQ-k&#N`QS?3T6sQC`{T^M4MSXr% zT~$|dd!Iohae||%JfBw~71zlG_c_)lu;1X>^3o?<DCS}HG$+tnKP0*Q!;|H%8uexQ zr7lT$CoY_AP@S}F0STZ!b-r*)o$B6k84?-$+Py0h9?#4>A3pLtJK@XXn_-vguB-X5 zAyh>;vil*)?tOR=-veozH{r^B52Y8lAw8NY?gV;HpIj=Xt+r_%D$Fm~7|)g%lE5y` zv$a<fei5xM{U{}z8?7OYjt{3>f!0uFG1=0n_tR}$W?j)B*@uM7GpdtT=^&J|zY2l} z%#{hWw|QCj!oum?&O|u^dhc)^;<{Y(<A>+t!;#zSq{W=@pluD35ET#Wt}cN#A>5Ix zjn31&-y<aB%9b_eyvz|R)hKdH_ThVE`u$btI7-{cs$v*!z_TS{MnD$`8GaYD$YZa& zv>|SgcYy<7G~yn25tRfw;~rg+xy1KR@K={ML`I;^ni^XGnHL}}tgmrBoRCapUiiiM z2hvL=;oR{LNz`1BOg)~iZ81fD7xc&wuZ!`&aG&X$s023n;WT1C*cA?&7MPC`hQ}Wp z5+Ipa(tzd-da1fKK8UQ#YaEdR5>Szf8<K%gRwTRkUW2Grq~NBFe`A9OA{K7#)||%D zZHRzkihP)O%-5GVA`Seo=_V@o2d@hn4vDl(b?=gd^jIdl6BsxTgON&GXvS8daTIz) z1Mbtzk4m6|AKtk&AM6E(9cvS4=hUTFD#Gd7Xl-exg}dC)BjQSIXvQ;h0s=6_Vtsg! z_Cx7!Z^M<fA4o4~aAriw6N1?4O!6S7&yv&c&w({FH6;7^{J4LYsic##fo)1lgBl>_ zGNdK8!qtq)XZ@CL!UeJeX8z$|MY1c*Lc>v<WO2*_!{I1Kt$^CD#Tf=ICXBCs%kT9f z-jj(cj+UBrZAfNSB5s`uk~s)|U4dk7gI_d|OjwdT;lm8ZkICm)FX~JGo5*mPej$HT z0z!>v%fpbM(8I&(Zb{(G1&rqf=?UbV55I*a$>%_8GR^kGbtBX7>i~{^9j>LCgc~w} z!+x0)I30Lc0jq0C0bIRP+EN$_Ck+UlWdFT)=pkwOefWST7b-Uf4r}6>Pb9+Qo48Qo zG3wIu8UF@!cyaoO$5=7_B?K3wMJNT=1BaXnCA)WsK_Z0!380Xkir4N00nWojroSXW z3jZa6B#>G`R00NmXa-1d1+W4Ce3TYEep=`f^UkZp6+0`aME(!!Db1?vW#Ns;>E;6G z7#a0ESV7&G{So7V*?03i7FoGu+(W{&Ukmt?s&syjX~@Ywo#Q?0kcjv6gXj~@JkeX1 zGJej!d90J-%cUFHW87<RZaZI1zV$OY9LWfTv?e?#1qf+rxN^!vY1`&-JL3n^sO9Rt znie^@?d?~a0)Vlat5R^pYk&`vaYL36%KjwxUKfa3|4a9NO~{Qvp|0&6-KZ~`RpNjK zOEO3T0!X!}5kbL#hZV>XCkKH0GTe?&T^beoZ_Cg{fUD+hh7FNdn+lK?AUHi2Ag8Ra z-8(oTk*q21U9^xMRzLz#z^<nNSDx~SaTMRw5YN_bbGUq4B5o)Z4wL4bPkaTJViAM% zYyq8u0C)}fd+(Q<fA3`j;)OsZxB~GKm=6{PtZhi3&E#dRdw5yQHs_w*3Y7%vL$&xT zXS~;!Uz_b?r|0|yjYW;!Co8#o>(=LcU!Uvqe*f`$<GJzF=(yh0?7pgo-9?K(Cp!M; zK^pIFV}8HyGX5y-QeMS~*PO|Mf97>TbXlKwetmvBQiJ-mpo=XcX4XEuS6=nS?5c7S zWo%j1h*J|$yYzs)g=eeIf^Z>#hqcy(Kzqu=T4zmwP;PCGkY-Ki#)oHn*Y5sV{Cb`@ zS%0?mC4LY;cw6@Bp4w(!<0RUPL3Yl*v-(``N4tr$fZFS;r)qlAv9GX1-xjqwv4Zjt z$n*Yqxf`;(eCApj82cqH{n$>m$@Hwg-b^7><ju*;CEws)D%&C^ONc#{ZBgqb1u7MG zoUT(5(GU`#`3g7W4G5d)-rEC@PrEsvW(L<zbG1&`=soSa=BGW>d-{iFQgI^b-B)mR z)Z21QsVDvWI#sI0bKEscX1{mmiEMsuK1*L}GEz4BdRxk`Mg~l#&w_v5LPb(O?~M<J zB6gIPV0WWumkWF4Pt3V12m`A3mo9LaGBx%i+0`qLe0fm0J#d&W*L)%eFYAsWL3D`6 zrBUhdpd|kNpUGaY0M)PmI5*+J5(cmNxgGVgI2JY{=0zSbBEr72SH`~QG#=cm<$h8! zQEzCX`c?6xG;8hPl9B3H6hxM_M)e+fs`O3INCU2?cZ59?s#Ui48hS4dJ{a)6BY;Y< z13D1s;UQopn!~x<65ab#;o8!u|90Pf&ChD6SJs)skj?Mtc`}=t)lu*1<TV>4VP4j( zDXWeJ?0&T}Y1KjPD|z|j(yG|^F)uut9%X;3j(tyr`!w0cfvwkAF2Mv<wrlm5*vnP6 z>&%xfIKM^XEt7FQl8{rNqC2>NngFa1hx7oYK@dCjH&pv-?!0s?&;2AjNEfs8rA{?x zPJVaN;$nq{v`Zq$sJ47aPI~w0nxCT2l569CNIx|cg;`XHlY{>^BB1a7@5R<jFiMqe zvHhUv0BXACA$P!yzSfRK)BXVCBu-RrHXKIcolpD%m-_4mWC^@n;lG#n9@TN*T4VO( zXnVp2cK)<7iOD<Rz21))0;*ofvYRAt&g^G5Hx&O~M0U5^>_3K!Gl}iIw5f>#toIt% z$>V&<&^<bx>uDOVXX-T9Q5v^rZZj86+6~FEWp2Q5DYzke2&E>lXc7=L%~bb(YM@D6 z{-QkdN!)v!!;&zLrY!!w>|`%hiyHW#K`;gqx%nfSu-qMB945&9<MgPO`&M84`^+Eq znSWXCR8bW6u0s5Na?(=^0_^Em;(shx(94$dVs<&bS3W0Zb`{%u@!89abx#ul`w{SX ztO*xr{*Pn8!@6fmfaq*(>aqFVnj^-)FL78pXi&8RTYm?(j!Ag`Y_fL~4&&%06t-i^ zRg#l#U-#M`%%Jpn_l%dN?)e|1qg4c6%Q?4kr3jyLqUvUBZM*52j5=x!XLI{qcDVa= z5x#nV+g*DJF&90%XpwZ9#o*U*TVEVm1>BQeuoxr41ry+YnGtAHd04&l2oR<%XCpQr zhb`xc$rB&D0Oifk!o0vi>@+cslTR4a7VLKUQ*n}&;o&jr<U3W+NC)@%HHugGk}#wC z+k64WeSdp5A84THS%>=Gi`|B~%~S5F7vP6i`BAxwaM)F$`9w~5{8fSZG!$I>>L2G# zbEBS*Bx{SQGPGr^L3XEad3O#dXJWAPd})+xA&xjPyYj>T-$@e!>{g}6$l3L+q+T|5 zfZ32<dGsIs1%)~lc9GLmC2{1lT2;(c7>)^k=m$i~0S@y6t_=|AJrx2Zp)#OpRgsjn zQT)C|bD$}$Tqe@5y?>Il$M4i;%gSha;3v6gn?F&9Oeh7G2~~;-!gCmWXKJ3V1!^O& zR~{BUyNc@tp4EW&u?m4bhIgw@g@7jVq3Y~k;ihYW+d2(YhRb0?S$s?Z_{q%FW4P)* zTUN8GIO6ca<JWB2P&G3XOGU&}d6gM{RHWAA!TPhPdaEVaCJ;{JC3ffk31>MFP8VRq zi-7^*nh&l7h8Zy*#Rd%X&G~TG|G+2z2VT%{755js=%Cogv;(Y>wQfrr?%LObsMYZB zffK@Ca`-ZyW4qWGqi1J_xsFWvJ#)!{5LgG@Qo8c=SjnliN1(KRNFBI#K=XP+)P!ES z_v=G$2pv=XN09gJxGJOXa&KfJ?*OXPn4tx`Q7J~&rs4>+IiSZsz-MMdn@$y<Ug*D+ zBpb-*nYzezB+ciUTflXDFKS#fbsc_~#)rx+g~QT-Ui}1*|Mh?%`idt@WTee_!o!2q zW-Ph5khsALGnYJ+BfFD#HS)|jNN=Et<RJNebEnq|BD+;nrE|2Hq;r28XSRgMRN1c4 zTT);Fmct5I4mU`^)=S)wHZWm{xO6oh)*3B>s0D8p?&DwZHG_Y_+g5I{PMY3ua&*}m zI}|szV4EtgI*a2om{1nnF=^_&nA9?RzC8Ei?47f30Mdc`sDkkQ;7P-62_Mg+)GtrU zHx}a9BEFVBD=@UE`#e1U)pTx}G@PZ7@>odpXONK$^=Ki{2I&t@(nBFfEpw~(kGx7- zcZQnIi0xCSPmJ^oh(mKOi<7s?bzWv5!8rIai@5J`-@pGJrbC<6U;Bf&9U${@_-Pr_ z;i=G*%`t}KD@n4JKhpOyzQo^_ow;Ul&HVXbLk`u*?9UmNAafQ?Hp;mn#YknpHP2sX zIsaS<1igJ-6B&7e{qyRF5;p}szQ4QUICE@q>X=Gwz9x@YP8<5jO4XET$C>K68r76# zCu-s;G{5nrJmGa_i(2rfSm7rUQuU1zo{R6kv@eVoj8R!1p&gv5GQXaWeLXkDB}3I+ z5c{y)wp&wfRp=AG4>?n2Vu#<0A_jAlN#<|a8Xsbw`grd@ejM1+bqMk}1s(V;Ask-} z2=;ve>9B-xYvf_&3dNy1XYtor`hkJ1fOG4EKMr4J-nPaM9(Lmuk`1RuPS7psLx)pY z?WKjKb)Q(L#tIFd7h6O=1~FnOCl@bd%%=-0&wi9RSIvfsgH&Em`)2c}F@ZTp7mv-& znY81U=VPZj&b}7NfZ{t+6Kqc!8yXrjf2AL^<XkfR^zfj%J&9eurE#*#O5LE3HA%Lg zp_wR`6wuGqY}OhhXEXo9A8z}3=&P%C<_zIRd%42TCvMemhaz$td>Ws6*RDO?|1;NO zq~<`S9AKs8SoJ9>=J^eqNM6aNf|}{9YB^!y%JpjKHvWY82qlG*)Y@{Xc3xi_8=s!& zLP-j5Y&{-%kzrs`)2R+=sW|!4zILXA|C#BUtoM2H>o0uzd%>~&pGRfs4MP@Jw4O*7 zDm$sL%fwb-<AgEQlEt}ae$_JxL0_GM>R$b6FTXjFG^m)(t2)7M9s(=a1F^J#FJaB6 zp{;+=8bNy&$uSan{^_zC0}p;Ub&`(oUQg7=P;-Q)BmA7ymmN2?W75u#GcaK0y{1g& z7d&iCIXS(ut+gh<wC;~X+`(N3Lcn?BQ9D1vj*k%1zG+2muTePmE6?dycY5i`!AYYk zg~d;Y_0@uirTorer(k(pmuZMhEL{oFH^g7_-;yDf5DHDWW3L)nT$<2u+D$U8tA{4d z!N`c^7(8!;NjqC%q)hC;CBz3I{(=0uxq4(*t_lw)iju`$6&z0U0C(roknDUFY=*Yn z_85F&bmSXi5A)bLAma|T_XgSw@;D91uZe2qiH?zHh;Vb@hN1#H+NRG|1%I-kq2u-` z_vF!3<Ez`}{bRuaWLKJR4M$Ou#rg3MhpU~7Gr4#426iY$_Z&mXB5#mkj`i}`b;vjN zH1jSDkzd<Y%A>stkyt6bM|ZMP?cN)C9NY;%+nu`M{p(&H;*5Ml^!oGKD81u)W^oxB zy6)dq0mT*}K^qy?Ph5Z4rAS^pFAEs0Ognr!dS!fbpz?|MTD23*sl3SN)dA%c`?%fc z+jBh(e5<10;MLq|d%*c;sH5vC)=YdNy{^8|C*yqTUE{mr;;oD?(z5ka&$rHgEY((L zvITg#&OeDfJh|0+-dPSUj(Nvd2XUNl0j=nj!p$c`SH3SF{z-TqwkuyOeYbw9{l{6g z6HV!p?_<SPh4T%!WlzR2Y21Qi5=;??Cp4|+4Z65e-pW4%je`+I@t&VzlmpI)Rrh0z zY8%R{G{8mq*K<Suk>VO1lO$sEn50CT(cH}F^j9ao?}vQi^k1u-byA@w0Mt<Hd8=`4 zU4xE6&?3wCI5xIw$#)r&gb5R-+ja{B-Rf(WEHj(u8)r{mFC3sNC&!CNDl#><EIDR~ z*Q9Jm-fd(Id_8+&IXVAruBn2U-|k_S&<WoDxk_H8&BZ{u{HB}Cw_ZW@^g*%0_~j}` zt($dXQupI&z8@su8PDbKXIxWfW#fWIax43vJ)EkQ<yN0HjO{#n;nAFbHDU9`PIFOO zaJgpz5=JB}CJF_@`nbMT2`O#&axF9p*}8q=nvdjc{ezn7F0k;fPcvJ7(QAi@k(+<n zH6Y=sw*+HS+4i(rVxPNGLz(tahOgzUqNXaprnUJX4(?!O)HS~e9>z?0{ZsfL+OJy3 z?y$33CYfkbEwE6tWOP4}vAyg;#~Tv{$T7I$Vr(mW&>w2T@G$M}+o`+Xzgif@ynXzx zCLenTEIp>mL0Z1{BTr)A-Vh^NLw;_077f>1W<{Ib-AuOULbugw_V<7{Om<ca*ctyB zvo`BS%?J{kG=uD!6K;Y(_)u31(fu3|B!<U6w@;7q09WFN$@XxcwzAl1_bp$0=Ey76 zLW{M3lI`UtTWe#7W=v8brh9#2oaNSLe6|ybR_E_GOVv%%GbBx-lbbWE$}CJpyR6ib zbHz;1EBo_mQ+L@KR~R!Jc;~iUf(C?!`x075H!Mgg7Ea@@-}Sk1%xunK?xufAuGFDZ z$u#>_!~O1Rr7$`rs#18Fu+?7)bB0Q_*=bJ?lZJQPG~`=em><`@8*A$OuF2%y5~H2_ zr!D~7;!u+P$qCvx)!zN}O~wq1?B~r4bA3Wve(N8PqliL%+z?BU42yYo;#tOvUn&No zRFcWKdwNyrdlL3VGFr|3Bd@2wIQKau6_GJI3p|Q0;!1H6K&KbMQv~~#_JMxS{3F|H z^@YYchN`LJn$z6N71d40+hMb@yA#I+Esy;7GubLW2^R^Hk50L?<Zx978PP^!04qM8 z(%_ol0@i;5lg1EGH%2I#fAd7sOKsyWYt9q&M2i&_cjV{CrIsCr)+XnBjH=W>4u<en zO5aD9DVHz@*;<vt)EsV<x^{wd_awKB?z2X;l|>N~O&E5r{x0KE2(vQcvH4d1BAoiF z+mBf17v623Cm)>8A9nbax7GcrJW;^7@+u4$D&GY`<cY!_BC2fv_+jdWx&x;Hb=RiW zr($-+oL_zl6RUR0Z>ioI+Ie?vbL`!<E2&MLvGeI#TDNbBYBR#@?B~V60OkVw`90q> zelqr6uBA31uI*1;D^)`9ZR%J4UG{jA`KxbFVkFb|pJ`NlvdbW?Dj3{n7rDR0`RYEq zsNRw&ol1^~)r&1jugd2*GRG(7fge7rI*S<j_7?#mP(14FhU!e|W|D9GTnD`5a1=Hm zAY7wEfSvMgdEO(i)A4MH>k%%z3O{Uip)Kh#4mok5bL{X5iP(ZWcDaRgZ_zpuzRI}@ z@7S8=$jEV|P&G>i-Pm!z&;nvRJ=Y+o6)El=FXoRUn&jv*R(A~Z6j-p=$fPbyJPL|$ zy#1cIyA|c;vKILLOCvrWmIC{v*{_<0UEI`q@_yRNBu7T)QSS29bF_u>$?m}?&UEH? z&C}mSnBO@QR$n`|6a{EI99?C0p7ffdUO&*mbL1YaHG<E%ue$i0C*k|*4pJuH9ZNl( z9Gn7}eIU&i_q_Y%*0uZ|d?_;Pd;Yl8yL1MM)rd!vZb75f(c355Yr>rj_EOTF;-cdv zaFDhT(J3Fb&nyaTbQVBI7Qv-7zVo+B)^ycuNtSrPdW=?g(>LT4OtOcV%BX(nV>oY6 zI3pI`f(&{oV!nQ*-}6jx;!a?tOsaU9_#zUc;9$h`vl4{%L4N4Y#K$ZU+9w}4=0%hZ z&cEESM3fIMzSsz?DnoqB*ifn}M=V5a*n%toL2G~<{V`9bj#WRK=sMvytC!uJVCb-2 z@FCqu{bRcP!Z_-fe&m4Ry+Oos`Q{(=mxnVb#4AaLpGj{=DN@o(Zbm}%W&6sy#_}_~ ze(7NlI)<w|rkD!}hD<xU7zj-*%?1A~qOY*rtLPujMj4ucIvB)LBL~&QjX*b$)=1e< zdZvr)(waz@)xbbFoVW>gS(EmB4-*WtJ1<W(Q=4S>h)f()gM8$_!k2PuW>9>^)RQo( zs|9Rm{l4qpafu@tFRm7rrmfE8Y57V?<Z6#T;bfB*+IxK`$SbJj>|?>jI>C+{v1FQP z>b}8WNEvz8=nrLHSKr8_=9h^xnP3z;jhIA~Fr3_=Gy&x^@nzY+&DQp{ugkLFbyWwB z1(U3UAZxp~+ux6cR=;fVj1&rf3DCj7jsRuuVc2PmR`(u&tr{`ys$gjE!Wi-IR!(bk zUi28_kEXx%Q*dMCbJds=gYFp#vYl*>E{CDgi#?i${9gMiG&O~H#+bZzKVE0xm9uJl z6RtD2^{n_%nft6Z{l;E&Lfdqtg6o8i?E8Ln;>_*EL!uiZ;A_(9hs1u3YuPg_F6+6i zNuEC%uO$W%My9`xe*Kr{w}eDOC;S%A)=$5~bVc&a%J^{kcn#8O8i?zwOD{!*bHg;G zR}#bNU{GlRk9+?~U#$*-Jpdd@)d&|_cv)*T2(+@iE<Uwz?IxjbO<lYYSxXSiCx--N zfnfd}2xZ`n`NSu@tRBV$(YXiG^RdCN;MN+Xc|k}^7C3s|gq&u9kSGKa8F+I(>J|tT zdYi78X)@owU96KbiXpuOWj9S7Cqke+CKps0VL_FVVn|%NwGG|M`lL(FDx+!S9Z*H% z6LOGdWD|sDBn2mcDw<eOMbp~RtqH1VK4YAv#y<mpeiD@C6?XUsf(*VC6De2=R36xc z#DQ`QyGbTeI0i4dH$4N67DXNS3Q%%Z9%0Xz_NB3)wXJpj3tTX!zVn5p{+i~T<MH*| zW>1TL)CTgSQq|y6vQHtWa!KwT3I7WKIKZU>cpx|4|BIU*I_E(}row&F6D?j|-4fL! zpsTr1by`Wd>HG&I7i}I^adiTufZV0=n;l5Q7!weSAed}LP<X||`ZEb+k~E}kUxeEg zfnah*u+~Bj0u{-uEMEQLwMT#J7SYf?!~O1Q#{G1kFAiwxAUVSZH2(moRH}nkXv~pq zj#PJ?1nSycJYgawUH~Yw35jDiw4tNeoTTpM1XUO%E`pF4)U>eXw2$|-#TvOxzPZOv z&*}=>8VU;!1_t+q6+8Wn?z)VA-SrP@on}lg+7C1?WXWLM53DGJYHZP#bbbvaM|%>L z6Ds}I>V#=7Hm;(2<K-lXdvdYU$&rv2ub&RQhs*iXflK+s5V?Knv`B@>U6F6@>=|)J z?5eD1<=Zsa6qH70^MfAG{)(<z%HoQyUvo=&oy+a@2|nqW<s)`h@yGo`HNoU}(8lF= z7)4iZOT{o}+Cdgw(1?D9mVp(#<$!3a^PLqB`JO<xCDV?hJB*&<JzURz5w~x(^4IN$ z&ekS%e&0%3ChqX`us*A&!8F@(C$vcIHaipqpGrafYzm?xrC#_wDhTgk6l0n%jbqK3 z?p<z<lXEkA4g=}k*+#t%HWut`gI-swwZjRnpr*Mi^*JuRGn&=w&T(YMMqh5_>a&BR za%!jNl~rdBTG{A^8@hEQx)QTZ$hgqKB`0HlGD#}=kPJ-Dy#cWwQxgemg;Z1Up}LOw z`v;MY0(o7fGgg`pqZ6Jxb4I31d8XTQCrnH2rrQ++Q?}RW_A0a7m#fw5w`1XzJJyn7 zj~p|W<Llz6T+}Qrmh$vNb0@4y?fRh#f^%KycSluegDT@ih(Df5ssH-+Zrazft#(1Q zy!g+g2l|slhbrQt>yl`%szR76y-1fTr?M-(Xunfw&(tJo_l{js@Dm}p=Oh>HoH@^m zYz$8p5$2MbTYF#gR`$E7PV$&0%lfDu-k4vOjSo5)V!|yO9&|~@bXmT8K!|hsm;F}V z{r-g?0Ws3E^-)9`#+|gCRI`P>n)_sEbDl>j_qos(Jm~oQ@RdLIe3x_Ern%5{qC;G; zJ>iAg4lZ^o;f1~E`(%t}JdbMbbETN_piA$=Q|!YoucZpZUz@toMlvvZm_4RVFk;*> zb4?1CWQ6>GY`u3>Q&AT^_yGb^6_7|1H8ha~Ly@9@qJ$z%>4e^U3tg&6H56$f2m;cZ z^w66jf{=iSbdU~8RX|Wc@OS;bnKf%>%^$uzmiOJ{-Q?`E_de$)#xBXRijX)?*W}3S zQ`a6Se1OoYGvZ@=5SV7hrr5sonBVaYs@*XVI!?Rf*iT@fO>$)I)HUd_14c=p*O+M4 zjx-fCBHH95$?mgIdp>uTdfKMgsZbN*Ry*8U>dVwMYZ_Y&(VhxCIm=WeS6e)|`xwYB zm@fPinAIjZwie9lkQ}+&HFz!=ftXn-Bt~v2#`P#+nl<WT`&1yinXbv<!VtPl=j2!? zNL;3SawKQh_SwxM@%3rx3=UCrjCR<}DZ4VK`(b?@!USd9yp<Z&7i#>Q=OwaR(KwPP z1xd6rCh{aB2~TQO$UCNT*Fp-4U_6N=!m5OEK(Hu7?k!sugdybmzAcNG1w@R&Ho4~U z7E99GB?|kxGbIQ!#&)sJ2*RswyI8LWp&;3^)R{t9L~L2=bs%&VMBd#gL0(Jyv#~l) zBR+-<eBBQtA%@(8y7QCQFfSA8Vkh}AxWPJAqdo3270N~oEzXWOG9OE@%7mF>Nd%iH zSg^qW)G{6Rz<>m`PJmgD4?wM6Px4*AOXL-ENjb3Aw0Ejggotw6E!L{Z`4hFgW_!0- z0|`6x23=Q6F#cT%4M);-ViGFbpBoMbbPcpl-}q$#$IE<va&8DqF2>g$_%_-zOWB!+ zwLNp={QWe<B*;dn#3fg!p{W6XmR;?9)t)1*Gh}5`qxP^@L7>s$u#iS}>w{!rkEE@` zTDD+gbmCLLDFl<-1Nc_(_Ta?k+)XjD3s(eJ-;h)Szlq6S2q|8%>K<jR3_DGbM%|I! zr)4OX7u%M;O~MUDv(EpyPQ^2H_NMsqK=oI%vh9wvv~RzH7-WMj`7+L=_Bbs0a-T`j zbJ!NVd?)pS!@2<XM(PpA;fbppv*FtSjo6q<5TQL%XjyB%qyniuS^I+UWhwe2vNB8V z%#Ae$Zh>H@_si(P7k!n=T1I`Dz8}=MTe9nt`<%Fsg6#^ztKBZ4dzD${jo=5(?g{W* zZU~)`Yw}A0NSu*#GR_X7W|VVfQgq%PgEL22vBac<@UUY^8Ux`0;usIY!y!5L01`K4 znH-rgbxp351Q8=uYC^mwU0E`;;Y+pep$aB&)|x@+^%*#8Z4XrJE<B}1ggf#h;L-Ks z({3y=@(`~n7nY<D$nKO!ayS))PS!Cwc5&*Nvw;jBECsRmEAtxVkVAzSCi6l)ZKgi? z2BtZ_F}9Bb1Rh?sTLu#u-=s=BhaqY-s1gcYFJ8Y~r-(b~Q<-{BCfL*=O^ZS7l_P_T zjrlEGkq_*R_?K)jL^2p#>3Wgna=oIGfW5<o7d&f5M7t`a+?p}bp%Vav5x?ylBvheR zl?=u3&;GH<yk;$G_dHhp&yTJ;;vyDl>TW_@PDBRpp!gSZkPmiD_?Mm`t$9)Wi%+Ct zBS}-&QdpDfX$6?IVM}`jNVyyo@oOfMGRK5}B?<`*ty3kdWB7lbh76WB9h*n6Iv{s` zY=3vb&d9eD^^IjrLHW_wIAHc=)JJRMbFgIO2OVQ2SSpf?Hs-Iq7(`sXyZaxBuBx=C zo}0$amDs30F5};o>B#Oo#*vj7NFt3f(KQW85Uf>^8;YaF^*^nLkweug`@gn-pc0Lh zTgm^xCmJWWR`-FeYK0p$)iYT2#SM#`V0I*Zcaicp`(7a|_EkD?17Vmax_@p%?B2uA znl{Jmg(ec5x4%fbld|VsxwxlIW{h4=aRPDI<S<^oy1l^6ExSd|hJIn*ISz5NGn;w$ zMM~qYjrQT>kl&#}f7X?R?SsL44i*P#W<<NN181M>^+C5y<e2|-2Ian9EWXNYe^WS& zW&8a`W7naXhJa|?>>~Q$g?&JrNu6gioFU_G-H&DwhTNdK^Ub#~FTViH;KSfL>QpC% z4r58|yZ=cYJ|qzq!(pb(1OGEhNYI5?*n`9Y=n@WQok)T%N`U34dO?c=3J%8832J>A zbw6aTGvub$otF{7y!>1j`}+|@%mR#zpX5`RP~!D8Te&W+#Jk(^!N&cC++xfgU(=fX ze%~z(=<oNN+X-QZm<e-Wdex-aF8@fdHXXXryS%A$%*Pt2t0lMY@J-?Gtte_91rgB& zbbE7npW)wCi^H=L)U$EP68SUj_X0e;9r=-@9>cUB0Y7p_u9iBwS8Zr;V}Xr)2W%P; z#em-0{J+`E16(ez^pBD1v<0*6o;qTvi|#jj&lA+&$G9JJ%Np-u9#O@M?&_C)xSK8d zQ?!J>qpzQ}BdEz&Y3CMbX&S5x`t{Bn-)%MV&OEGdOT0XfD2S191&Nae6HwU{`>}Pa z%+$~RSzjXT$5akA#Z28bTNj9uYkvezyZ)M$cd=b{rk0TlOU!MEnELATj<YJ`CqBu? zt%(yx>B4${_mvG$g;DGfC!0Vl?kUzz^|>+l*~VDT35b{tNW6dS`*YKO6QRssC9F7f zmKqXxv;gBNOdvB_^X1-hyYwR};ekTa6QYBZcztmKYohTkDE!iA>i0b1mgT8UCRuT3 z-i}BJW}d#W5T5YhaOQ?}ZUVXWgEDBC2moJ}N}nkk7MZ{kZPIrX?2;T_51~8ig|axn ztOe~c{K3986Y~--b2rx!sh?_iyg6Rkh(1J<ZE6OmA2AMy#Zbtujoj;#Q}`fWFBp~( znt21oeP=yuop|{3FeEUqaoA)V{>L_1%G~zDOhWbUpZnEaUrxeAQWZ4c3gp<G`2{@9 zd*wdTr4HNbzbEWz^paY1zrNeX9P*ny7!fXOd{O)^1JvG5kxYiE{{C(0MluT}H_Xne zN{FlAW*nbFnl(t2NoB?h5&{gAf)0uwRE#f*2{V8fu1JW~Aj#+0qTJmxcQg6OOcJ6D zroG?|!ohxUzNV%qsJph(1B>Ozq!x3zV0WMJ>LDKfD=^zB@Hi)m%+lFY4)Zk5q~;Z= z>vjl)oP&`fUKSZ&tmS90hBzn^@-;|QymlyFT#KN$(n1Y_!4zWqtnZJ;>+2UJe(4aO zljp^LNrVZ_ZaO_Qi820x`2P67&u!Du#VstMC8qpWh>6Ovh+VZ<$w}op+|B;)RA$!| zdtd4LJ^#3mb=`{rkY*lmR|Uok-u&LI8JU?~@(M$Lwk7!X{kwmX=dXVj4^z<?{BuP@ zVBeqc^^3gjS=%X|m6T{3*Mr71SJuS#4e;H5R^(FBgHJA2FL`KedANcv5xteoJPJ{p z&^)QniwZFw?ww;q_->U^ufKBghv3p(A-DtkfB$H32P20t&q;%s!#!uG*GL|7A8jc! z;%W=PUSs0dG9+bagX#x1jFMcVDwz+%FSj-HfPJa%_Ka=n)K%LH;$^3uNYfo-;&K(h zbR+(SM&tu`WBw&t4DL%4lC|?A&0?(pccj{$bRBIbEKEM=<;Rk#P0kuwh6hr1io{-6 z?9@wYy3ID-N7RLzZ(P1qVBA+c$CO14U01xO9@xWu`jwe4yRD$#Df6aEY~L(tO>&;e z5q&N=c4!^QE?L{C+Is%gOKP|HYU*`X$JsaLVO_uGZ&KC!hw_C)m|44m@`<e8*_JyE zmIGb-XLlY37jy3lzta0?(k?zyURiz8AuW>X5Onz^Me_N9F29UOHou*ZeLD!F*e^c) z%x{==pSDGsHG;OJipY>gRhb1{x)@i`7$Hd4=L-6#Xs-KZC_dN{<a`TStvH=tN1Ba4 znzTuX3^7obu}uG82h%16Mc*vw33^X;G$~I5`n`Dk3`%?W>pb|k(8>f}ps~M-(9vdN zJwFK3sQ`^lrUQ)!!o(ek`>|hOz9sa&IO^}0=-NPoLZ1rjVJ81#cpNKp=C#GxUC`?& zv=~|YV2k1u^ypa!#y^fR7Ec15Q8(y<fb7jp26c7Nm$V4#>Vk{7H=wQ#CGze)yBK6- zUGrGw8$y*JN+qx*cAubdD!}vOS>(fGHJSYCNSkqW8LQV9gOu)FKP)Ap-XwmoN{BQw zP@l9(2RHUe#xe@r7*M^Lkv3SMdgCqzX;@r8Y$jU2Nu0Dwjx<w9uIYOKy3JmCb_dhN zkW)R0rgVLvMT_8i{V+0vc0VeWwLbC=YfL8S^)&i#tEGe)f#Nf0HrTES=c@ufMs(!h z#vf1j+OOhwx+c}Tn!Z}*+%f%d>mqqJ)I5*(>$#2n*Y~M9*&RO^KT{6o8^%dcYW{v2 zCTf}V<S6`e^_`D~mi9kCQ~JsZJWfibJdnLU9DWN_cB1a{DO`3WJ5XxM<Xo8i+7sMu z&wCGB-L0{#LcM`j%Hxfp-tbn<qoAecLf_A0IicpN*Unq(9i-(!h+nPAY!4eSv|QV{ zOz9ga`Z&pf@*wc$aQIa)uTmaM5;fPpY98H%eTta)545iKTeL^?<j4?<Jkek0HoOe; z6#UO|d79*1Z#u`d`~1F*JN+pC+u&}No_&fer(@+#N(ps~%cZ2-&z_pHmwse_Mq>(b z{2=g5+4Pbl8Tw2xIOT$jy^(^Yg!C=4p=I$JHKlJRXj}}WJjfIo4wt25%7hQcW>O{` z8RgMQ>`@3Y4$`^`uB?NX5G<#eC#nmIR>(YsRBEmt$@d=$(n(t9-?tGwFKHF**E9X! zMla)~MHY&?a(8sj*1Q-p0zh9<r;5PNi1rHa)!13s0C0mBN{2Nv`gAtsb5tk4s?gEO zfj$`tIu$w4C&NG|^v9?0IPa~tS(#|aqSeBuja9MIH&q?ARf}dc#*(K;GWGXmvP+mP zOd9s^(+*D|C}B5`s?Be<o{iIo1O1)-2E*pEQ;#cLS8at7ZJoeT!Yh4#HsIKk2|e&8 zr(Aw~o%x^vDdO<Au2Iu$bkQ4QFmz_@R;p!KYGGHgO@H9r7*X}Ot8u_U%da;-JvBs^ zyIhy~U}pO97j&tEOOkKf!_)En^m>ajH{Hv=GESccrnJYRH~gZWDwwz#mO9k4`$Rqc zbkjt3N&o|<D3a1^;@OCse%ygBop4DyXnlA(2d<v4`ge7+9k|+KJbJ@7s&&Vx*wL^w zqYhl&I`!{z2)Mj)*~raw^>LTX?CT(|6G{B|1itXz<>52twj{dt{VRzxtFIp&h4>w% zW$k5L$mp8sz(_Yr`eC`|*Ik{({?`6AIy$-F@`XF()vFDYEZNf1L*_(Yk+7?sZ>Q(g zZhanJZuzWl`!LQ5xSUmYGFZy@Im+(Tb3ZogzA+XRZ(v3|H`ei<_LYlk$zQM9&v!_# zrS2=#NNhJeJldRC<zcQEa<$d_>ZRwa(5H9V^NH{E%X*i+%)Jxf*}7(T=dXzVO}zhh z^YifNH1YSJZyLzl=%+iptVvF*b8q&v<E9C-5x*{^hZQ!CH~2Q*mXw<4n3?<Sur~Mf zu~GM|?FH~(A@6QJHhEvL#9Ex#7c+40v^?#bqeQw~Ad*Fwl(ZB$Vo@8~(NU#cP`2Xa zMW@?WAAH%bq0^l?M3ml}h<SL3^eI;>p7%OI$*xmtrD|9pGx_($Gi(RSQ*1-;VDFku zXc{d=ZSdq&46Op^Jg0mJ_8n|LQT4rk0r<Q3eLZ%cfQ_)S-d!340-1Fc{F!lk&sO>O zb<d7{Wn(hRz1Oqa0^44z+#5Rz&T9=n13Pr`T4SHRl2EgMxiQU|tuC%5(9bgjE>%q> zUp&GYMDsSLY8d1ACAMl_Kg@Pb;WYnupM1<S((b6d#uv%&1vIW$tca_+#i3J<_Slgc za3QoXKU^ovRtu7W@Xa1rWi3gu)xr>XcJ&Xa|LC=Uvafm)ce@Wgyr}HEJ4U6R&0g9g z4Pc7zaZ)uXK&RiQ(qfQYNxRRdMK8G)d7rJ5OuL1aw-|-*M%^D=Q_d63r5jytzCXyS zm`A}~p}xz@Ri~K8vI%fXE04}4X@&Bz8S4?@K=ZJZ@}m|0zL^2ts7=}Z5bSwL%i8-7 zA#{>UY|nbhmmLZ1!QBdm`xGVj5GmEyPOVs;wUQyFeHzb>g8@{6A~@PKkEQP%m$y<L zT?~LIyuHFgcV+ZG`#EM>fRy+1cu&r4)SKi{+@<EKdzi;!a*k`i>^>W>;je6cF0{f` z&*KAzu|7WeQLFa*X1(A=Y<-&ThYqdQtCIZ#aX;Lc8?iE}>hIqQrW)kh9CouBp4;%$ z&l8;hP-&K@AbpPON5}n#?(~vNw9k5HSse-6!QG+``$*044!*}RMPm-M@}qW{_vNt+ zqYhE`sSM~PZPV}b8GttUg!`J~gG`?cl05d`WLCC`T0HA--K5?CtHjGqy-}~0N09~a zy6pa-yznsYEv4op7UY4p;BLW9caSscc9@^FZe1$v6MgoIpMN-9o|0)wWH>g2GGXf0 za3s}HIMvW9m6Y)>%g%_OB-e8r;;1}c8_<exkVmn6j!WD;kA>|Vm&B7iy3{?2tjeql zGJ~y0^dq-ASxQNlKvTqEE^O(60<h!AJ&~jqd*8-19QLlh1E%NahRHQ&$G9U_Kcj0_ z8Bwf<zm*6I7=Bj+C01r*=wfVU8#NDTtYWj@8tU5-e^@%bvatT(iXfeKYR!LJWkJKZ zEwJxF6Sx{^mA$cuqXU`#7U+Slz1XJKw;XwA#KIBu;d9Uh#e*it8(`>-#h1x|6kK1# zErOwffNSW+ez9F+0<+$(&Zr^X`Cn%>7ia^+)?_{t;HlLih>7rL*O<o2TVlbiBc#YX z8d&q2Rv7}Uhej|f?=E$j1vbz#3btTS(q(DBj2skIjg_};yx7)1;T?5HgOI~#RnL}E z(+69OXYr2A=9VzbD;~@ozuj_Blz6-**jdr}$mF?6OIyVudzR?z6ydd5%Mz2XW;SVJ z<nhG7b}*dDw-{^U2iIzWU*1CL%nn@eg9}UHXUP*OjjQNRYy*7$tBY{cs&X;5@o!1} zPQuV}uJ>(Sb!urzFHOQ*(~o*{QJSk0kpm|_p8KlXsm+Pe#p5F`9)1n@&cQJ=`~|AE zL6f(GukD*aa~rALyCuy@X=+Rwhj~d?6p<A+^xh1g)ZDteSuIw5tQ^Vvsn4fBIJpU} zSX!z*E&SDgHD7x^`IFvKYC)ZsQ6Wdh`xm=LQ0{u9oKREu7F(BYJgMo$t_-+4W7n}l zOumQYs;9E9=tF;z0UK38`80iR8>;6L>u=)c-EGXOsIsf9q#T>%O?7lR?WsT=@xHI< zskf&0h*e|Du|hhpYxERt+xDkqYYB4WN_46&ue}L!?$NzB`Fn`Xw!4=@CJ@}o-!s4* zI%1+%Z}!@xxsnk<ncU*+%Rf@BP0fMa4s`EM)4W5@aT-`GD>e-sP3ucF9q=5nIt<8= zC-Z=5?Rk@Z8*Zl`OV-L?FbPb1+sHVW{uI}rBdm2VX_i>EVN&57C~~1Lvj|gi3%gUN zJ^hI|XR3Y&)so?&rlO+DaI){P<tWDfx8d$b6V1M^i!D9g$!&6^xe{S%{Jk%3_+hat z0i*7XHg|sXZOI!%7e@#ku8(kz_$)a1$=J;eEN{twey@p4Lc_(b{I;tSD5ko)hSJ&T zHa?QT-u<E28MVsXehVEF1odxm@y|>3TX^ldeh9k9GW;*w7B>$awjTFN{(@cdbdYG0 z=+Nn1KYhG}h4F-bD8%tvN!<yuwK=@=LTu*Bd)$>IYjUxQnEiYKNBzN*_^Hzyy{_Sx zY!2@S5r@25g#8nzC@!RnNMtQsf8oVh$&sY^VwbZN7f$hlZoL{8d*Kq>XKgXMkkz5x z-{w0!^~lgNju@Lg#Lt%NnDEWI^DTl5^}jN>K{>e&aq?Fl|I6ILA*9t*GIgtn9Msv` zVq69~RtIXybc|tbJ8zXGFua3}coP|(<S5dj#vKII422U6@yKl@UonWAwZnhmFR4(Y z-Ig$n?4bZo^he3{!Pdheoe&2VhE0hQ(fw$ju?EG3E8B3_O93T|C*teP<QYxM*|b}8 zIXQkFf(Zt{?*_jLOfS*6J8z|3wXW5&ZsP5GceU7qEnxcRHl=n}=iLb(A-Ch9;9c}* z|J7GJxZ>{vx4(#K&bPGvdD#D@VHvMu;?kDM!KKl9tG1x~j_bVHnd}kQi9u^XXxr&Q zt8~`gsi4Y<+`}_AMZ>wTWT`fE$>FF8*yay88P!@FrQOo?->lLNd$s<iiTe)8<=Mkb zUh#&Gg8KA9dAoUM*LB$6>Nq}myNZT%P5GIWOFlm1c**v|otbKB>?Ei~SG*Aawal)W z39D^887!^N8qfNx@t`)j2g%)HT9e#&wDF&v2d}p^J#hFw+%l`QM8gNO-ndztKf60~ zj{<{iRBT!W?-_1S@j4%7P~HwwfA%V5b*Jk4+VT5MH;>WVw<V<;5iQ464HI_<MTInb zG~vFlHJJp89S5w+i%pB225edjH?mAN!-g2uiuM)bGGC!_`XLz^Zy(LR?R-o2vllD9 zlW|hM9{{#n3jNz|*(hQA5^RpF5VeopU>)MoZzbC``r(X~L%j98nH@lxJZnR)Q}^{p z*)eKR&i_C?hBVbPBMXcPn2xuSZ4$@r?7e)E6(g|fDrfy;@>s7=x146wpT{9dIYm%+ zr<-ey#jHE3<$G(V-z&6L;x~f7xQT?V6%&7Mz)++s5d91(PV=SpCr@PLfGg^49MBG2 zQSG|(0m7J<ooH{qW6%UbWz7HcauCt3HXyuZkmduyDi3B#(@(Iefdxl@fLfNq9z^#; zt(#%i${(Osg_C@|>O?BC+0QpH9_6^(M=-X)Zgg@?<;6haqvSq07L_nU(wIY%ncVAI z^>_tA%NQ|MKTfcp$g8jQm(%U$s<W>GLpx~+J<>0{WD7Wws{O}%$JaW|6W<aIq5d)* z^@mG(fpIo<o-#KXyd6N>Rv9cfG!5C!W*jMpMH2apiE_Z4rK(ji)dJ@1-IGojIpQHi z)ZcE=O9i6PWXIyE4zZtpi6m+o6N7JIBDt-T0<te%K=>c}0%w@bWl#3{Uid8}?_Sgg zAOr)+4p42G&icb*$#%4ZMS4vSJ6)S85ZSHdj>#yJ|KT)Qe%^-XP|xBMi7P{FEQ7YL z*XHM^o$6E<=f4u(qzn#FcCrFr`zK*mNW94{TiK9IqUR2TH6^Q&rRa^E3tmP^y)d#Y zz_oxv-<xA59Q(>%8T*?)LCkMgQ1+t1L{<X#9fcuQ)~XUVP}ZVRE%|rriB^L{uMj#q z*2xJx3c$&G0A{R7{us=iDNva3U~T$_bz*|G{mcz3EPE2^X2r|?APKh>&q-y`7~q!- zD=W~tUaFZ_zJB6*sdhqHEU2<KsInpUBRvL(j6^=b8uMG1A+162&T4mPM>8O|)&JF; zV@0r3h^nvJwC2oz_i}Dk6%WLFPU>r3HGX29YRw~cv)AsqwcNN!uY<$EZDJ+e<Qv?} z?H6&A#W>vC7iyC_AyJ|>rhWqnQqT8vTf`-#q(yq|Qmy5{bq>$1DaS<wSN;lZ$>#{P zj45<1Xjw-pYZK#vp;ynLCIY8d?cxs%{NBf5o?@N4q?+~J!s&a*uel&_IBfX$7&Ral z(kik`)nZkXBb1yTAi?mRVL|U;Al$1!tGoG=kmc-+!sl$YNZP*D)uLltQL$T}gfLT; zxgR4nQH8FfP@Crv*-iWnqp!bKX6D1Tf0{ghAFBPx#%Lw$d*{)PMW;s%!jh4JvRC|` zrK#e!N~NjivQJ-*XUy+K4<;>PSDC3=r&9rU&&TK<3STY~T@+uU2+!wYuo)~$H}Ph+ zm(pT(5B+7Hy_5arU-xcL3bj~OuVCd<+5*$U?!HowXHs^w;%FhUb^ST64{H4PM^%*F zr0Dnjv+ucgZ*$yi$$~y|=Y$0kul-G^$aR_gBo?)E@pb6$zq9oU-5#GVMW1z+VndGu zmy*_EY7{Ntj3Itw_qfv?Yi@uSP1Rd>%@Vpst3hrLSHp25guN*RZTz}d$n>~~%sVpJ zxz;$+V+qP$guEr}Go_%lb2m|g4j0)^kt!~1DK1E(F%%gt%u)TE?UTB+7pJpnH%ISA z>ieIg&^Iv<;V<|P--?l1hH!ew$;3>~o8EKri-%et8yreaC~TTJ5m;^tHm?we5dO1e z+KkvLVb|u?dWAztujdje+*%c%jjC?&co{F^)aivx^<-8f2%KNphh$4U-x+t^vxD9d zXi*<dX^R(WQE$21p;Gin$qmJkc$EK0$?Q)_)gz_X_?qCKx5_n?uJTK_XUy(t?Zq~o z6&b`iKFf$bD`ow}-35LO$NU70ul5@kd^~@)kTWfNC8$5Q%*wAXf8%n)JN_spw)e8I z`f~|5VMj8fB3m)TD{;-?__ByK4VDH=bl;r=Ar$qA-RVy7%%4vYG|TUI2g6z|v->Jk zliu!IWN|CGe@mG~w1%dN%q;|j_?gf>%z|KTP)a;w(6v|yT?}4Twh-B?2uCwJKB?)l zaad5d;uPRpO8KJ@D44PQ%XyLB1P=9cVX0TgM7~B9ZVf|i+90ws4L2rl^0A8PC==}t z;d>gQwH{c97o68~36T<eztcXaIAo{DeJxy}7P`}EeHQn3p*L4Eg3H`*i!nrzTki3s zr$u(p-h<)TuMxd+Y?6y*dBWP~1_NM@Lwt%1yidQ}2$S8(x!mk*^z_M9Z0OtQqPt7K z*J^xbmd9#*Du(_#&f#c2ZhpPhvS=)ys@ML`49@7^;Q1>*$4F$+JqEIq=(y<q0<zNy z9ugosJK*7QNT(4!Nq6d=G)(@b1G;A<1P=Sv5ZhdVB)h>`-JO!rx|n!w)Qagu{=%zx zRU#WFi!{tE{ohFTz+znAp0Gv$f8fBwehFUH9B+%gh@gYIQrOz9?|Ws7BURJ1O*dDA z{Ql@{U!EK;Saxu`XG6NL$VYRY>$AmhWN1Y3Pj<<Iq&(RUYWTNR-vS<N>-Q?!DlY8K z*#3vBuR~qVW?a6sFK5jT>!Z~#_w(HeYUk=z7<bn^AiYgxZc^|lMs2$O=sdjE$k5X^ zt+bcwSR-%AI78W6ciR%$cus@77OpmV2_ei)C1~@od!_OMF2WatO;c+e_r^F)yp?9R zkpZm+u{=D^m=i%KLMdn$*li1Az-1Rv=+-FF3N0nLFDZ9mKse-SL-tXaNJCU%J3yQa zi0obbjmbp|j}vjyQMg$4z9u-C0w;7Fr1kJPnN<rPJd0<Y6n&O6-$EoIdKn+AyGU~) zAibqqQrjTR<E62PE1(zRc_On~L*R_LG$dQ<`HrIN-s&;Qyq_tEziA6Aw`AHUt)Z}w zK3%PZ>=d8mqrzn0MlGg+9}>SZZ@qpI45jP;qM0%K1=;__?dN>+BebFOXVHBpzJ=2H ze}k7WH$AQ#Dz%JHExd$8KfhvO>delRLmj{9)NPb=PJ_4{9v65CL3e>la8<F}cJ=}; zJBUKp(~!0geIJ0u2Eg9TC*4Nw)EdOW@VFKh1l<G}iR!jBWx{2PfRV<uLe^dGOX8zN z;bNwv0Fq0P1ar8~p<{Bb2`1YNb!qEob(8!<v-2E1f%5=Ws42kjjv=st6l`MtDk1!$ zUzxoxNs$kGbDR$mYUmy%0KTv&KKq+I5)T(6*Xc_%bY*PH39`DEL~&ccN;H(%_@(1B zX2k(g)SeaS2;_^QIF$bZL_q^$YnV{?rK?n&#VvKVMjuUr^z%ubc$f<0YtqU+0mo3x zO%}JG08ym2N;UHa62g72+><`a<Qn%2zI?(kz2FOeQ!e-!Q}vzD=`{&IHaRbQ=?*r@ zwE~%k1X@MiIfhreTEp2*5s>!v-?S_WpKeZWZu*ym@GV5ibha!V&Mxa0=8grnT$)}e zTZtCB(#7<J@TI`4>m=PXsS$#Gf>Mefh1y3#=z8#~oW;oA$8dDC;}b;latvMPC9H}# zRJ8v>iUV3UG!KHMKq>v4fEMRM=tA(S>jg+<4><a%)01IcWg@!^7+L=d1ZiAHo18A0 zzn@L;QI5FcUi@y#*Z9<SOV`s>3$6K9I_Gbwt6}B+GtJZVPve+uTu9rRu9<?4L_I~D z;`6T%#=~i95%wCFBpo91sFyq3(?r~DoEZ*^L&WQ<6kvFdbMdTw(lJHJyw%Y~EWYNV zQBp=G_rm&_TsATbmzW?fRz`(Uc5fgoE-noH+fe%i(8PjQH7-K-K7ykS093X&I-1gv zoTr$iVer&{IH3cFsW-$LRU*kyI4i_Cx$ZtD{s^@~{+w@F1rX)tKSUW!{x{MUAWAww z6r<~b1L%GUtXfXJ-d=>je-XuDtdaKBD5CyQ8X@3TV`0^!;KfX0R0Q9Rz~!ws9LX0^ z%ma~JewimrOAVJ<_=hEjPo*mXl#KQr9VUd!&+U#Wcf0q)+xU|v*LR&cW@`5<!uAis zboZ`?y4ReNGNRq?b{&83I`g>K;W}Ksihtula#7F4POx;CnD_m34tzaazv}xa2|L00 z#l-xpk4vE0@BxX0cRkf<dse7Ua(Va_JAqBQ+ZlXi_syy=`2;&b_Z?+k((V@cdbfDh zcW4tkL0#<^?A5|z(%H`QOE~Okb#bTXG=CMp8c5oVb%Jl(6gReO;YRlE*r7?A@oMmD zZ^6cPQQQwZ9~-H#vSpfO6Laofe0yWOTi_KjFeUjLc#Z<_9PzG!uf_7gbNm3$(bL&J z8>wlrQTT2cI}v>yUJZY4Vy?n?yyqj#-4&c!yGY8A-RufBuBlo!?UD@hjemBxYiYB( zVxsHMpUo!5$)oiO*)E@rpDrcyokd}NH%9tH?spySWS~`cj8){1<FHxG?uP|YS!X`M z>ec#^--30xrB_`)_a;>L;p3Wl9ohD;Te2$9XgvDbePZiFhs&;_&>h}xW=veIWlrS2 z*}9q9qFA8PZS<5zL#aAEZv6^^E(9R2XSc1KT(Ra*LAY>0um+9LQZg8g%lVeta($yr z!I=E;G+5B|&UNGfCtO_1DcM^O6VW5ozUgN1J$UU`VMxb*i^ufDT?;^Be7lY2&c&0& zLW$*9S}t5M(YdljYk1e!iEVOl{ZgZk%SqbWUC$QxNi*~>PxT#Mw|>5%6GMx)+~&gs z&rg@fS{DW2j2ZYEQ`t2$y%zTXeRR+5e)xnyQuAV$SCH3uEoS<9xo=NaD8~8A5A3bb z?>{@Zn><{snhT;<s~{{OdA5AVlurgN#G#+(y^EI;Hci+`m;{WDcoMJQJ2*nwi@s|K z-2vI@OSoF|WrXlAD#2yN?iD#kT!hLTLYIrAbA=~ElNYR$>mI))Oq)t@$+(*+cL5i9 znId)Xrlq(!t;SFmSf&a<i#2Hb>{9&!EzWA65W*N&<!VdkjzR5;Ae<EqA2=(K@2vkm zovH7S2^aI(b$+Ye=3qwEI}Epk(VqTt`Y0HqHTwC!?4m+`%_5F~ZDc#xt(kVcBigbU zxq?kiQOQ2^a5=G%ceIlEin-~OJwfnPWFR5`<_{El8KZmj!K(24`_}yJ)gR&bCXjGA zSj-KO)@c?Xt)#n?)#NIBYf;VCIMg}`A{G?#L?9ug&xI`&UE-qGclBauarCDMRJ4CE z{E+P}wBc{_$1L?)K0wlnCZF(DS9$up&Tv^_>Q!~;nAv?h1DUgY1&LlqH6JBISS0YV znMFu~D_m#IF<HzIlO2e<)c*Z<S>~-MyKL75)~T38kFKWkJt}b6>w_|At0T*2eN3b~ zs?cWwS`Y(~mB-)sCXkyPyIc2+WZr>C{QN{1F~r1%qKE){t->L~RQL}Zg;DDMs7s8= zEOD9FxQR^B&Q_DfQFd(*mb(qHQ)NhkC|t+SCAroDlTEdkoXCLf*VZH`1r`^+GYKpv z+6lu=u_Mr>6o^;`UbQ(NIiL?0uL7Ui=H-$c6Qy^_iMd&)I)xf3ENa|5=?;&~)bgW5 z9yP?uh|b@&sS9@ZE><;1;FqsH{Y}gY+*a!QI0}|4H3FsO`=@+g2qn6kdnj7-$p35N z@Ak}{q1ffD^_|MT3LpQfAyUU>L+-JU7U*BYSIUreOH6)#e_FyYo_0}?s>)$PIy<Vl z?g1t;1Q6B<=yEtjmKJ|QW^~u3*Mk0+Q!0zs`c92ps=B{QLk-};OE`#_8;YN20=gOr z;S|DukS#*KbK*>nC4p4*<u$<Ub@yTj))=Kk9*5c|Lg>8ls+lFo-e5Qy0y4p4Wq$j5 z*M*TUP&gw7UjzFDwJrl4a1E+PRmcH)xVV9Ha{VJr#F#jg&cv1~V`tpa%BUjB?lpvE z6CXR3ha@P&b)=k<cOPJ~Gr&6x7HjGzceBqg6hjX;9Oi+K4G;p$m9-)}BXDc-(=NkK zZh*v>j?1Gz6d#lNdhkAm+m8N+BU<S@hk;Jz)1P*huFRi}N%h0O@F#r}4b-^M&-V0D z&WQS6{2Xufh(|7;1<lv1U3y(_CYtR2<2Hi6m9KaY&Ul&>+)}!4EIBz_7x-hUIdk)g z8_bYWa0zHFQwCh*CPgZm&r;kSXsx&5Ug-x<IC)6-LHy=tfcWL<fLg*ts06L`yNQ*| zxX9ZSsdZA8;^WjBL&xF5lcmqu#8g52ZfYLe4qg=>7Q%4;leP75KAjj<S+I_QaI~n) zlOKexxkK<3{1i5m8(HAQ4V>^Iu&*iDYDq~(_$U5u^BK#Q!l9lI*LMmixesr+PE~jk z6{2hoMY25?zGXbriz7wN$U3k~lhbF#wfAC=96B!QF*GqWAYea{c+WOf2E*G<i{*kK zu%l**Qn;~d?#7~lM0%iDiN5y0=!%+22WYqGmwv0<w04?pH77zOv%yo~^VIRaQ5;PH z5TJJ~VKzX3x^@%e!J_N}0eby^0<<t(7+3jxc|iFK(Jo3DP(UsO)(KERc}r{ia~cG4 zxLPJVg0r4V@M}Q#rR?E%UPblpOLc^Pz@<loyjL3`RkPzzI~;_w2mgWd4f37pKR6?- zga6*u3^I`6Mnrp4*vMW*uv{J*S6Qk{>@2NWOd()N^eU!LWY)?FbQA4fZ>RBX0MnvZ zuvsZ8vwI%ew|~!%6Fes_k1d3$F!Tt*VJ3etH);RAP2p}-bDsjY&iprF#(x|9Z1BkS z@^@iL^Z8tV+K$2Ddv=-TrGbR{lPeba#~MtR4}XuMpA5&UNA#LPBo_yAP=9Bd=Eh&| z1$x8xUIB6l3H-9Gf7N3&u%&r=p?D?QB*^()d9!*fsyVVWN}UGP{OmD<bg5HvjT$Cx z5M}H73F=S*p`Ni-f;HJuWsEesSWUi(s<6X*7F9yT+VHBA1;_z&xcH${a=is6f=V39 z;tv9+kJ;HuhX3OwZ^OOFpp=r?47ufJE3!%aw~WmG!mw<SJrP`q=bdG5IugYcZPtAM zT>Rwl3emM36RYqEjkj0qyFeL27z?kdRk+sRp#=l%k2dl>Z#7M%0APRjS~8tZ{|NZ+ zkLcoqP`fk|T92%7Wl?rm2unSH;Q}PV6u_`kvKRnDYSbl|Tp4spCpSKp`y!-YqJ_e$ z7UE7{ge2;~t+C)XO)+WgDBH?Ws6#qr$A*uOwN_u5$aLOiCG*w%c$&kDO#VQEZNF}@ zYL1xxUba)pWsQ5rC$U(h%>_j!d20MmR>}OPJh6~-n%{!zq^{|anoir*t7syH=V)6{ zo!j59Sit9*U(Sj@-iuWPH}LWu9#b8f>8iS|mE}xb_U<v9u?KbbYNy`O6KctLLEFV< z-A_3y_B{pL{!W?{-FKjG9(LRMFq@iaWrPcVdy1mz6E}_NW)lDMvw!x+XJE3(Qwrug zbQ6El<09uMQb&X=#gAz;w7S4xV6uokpzTYLrUGNbB_8L(?9)OO*pyw8#g#GfwWyVN zKzG~cQxD^QUh5LtW?O&P>6EK_fsUhRIJ}mT0T;Un=*sF?Ul6KKSQlQMC<CuqToQf; z&$yJ>T<J+>k7BDDcZPiKY=5eWmR3udML*n&&BC{judFhjU?I^nosPLyE{kg`D5IUD z%lbn#-__j%uC=VbSz$@~BVEO+p45?3YCoy{2QtQZQg&-PjEl&&O0V<$D~iKBVA(~0 zi+qqXI>51ZF5b?sTM76}xJ-&v1fQi&F|9^#LwJ8C7lK;1UEACVcFWh()Bsnhy;esk z46oH-#KqE4ut_Lbvi4GI3`~aibFd*~3#f`M)0h)QGJV-H*p-3M?g9kP8jydd<hsY0 zcxpiYC-N<G!aBB%tt`4Z4v&lFMYh0F?1a~D(c@xY&)u*v7`S^$%GGePPSZ*{;jxT8 z27F7I_Cz1vInXzCB|0I+L`s#ncCJf)Y@thwp$BE5_xvvxoUsC5Q@MAmoac|>BX^_g zEp{y{EF^IIG=HhH<eo5{ssDj&|GgA*FU|1z-wIuP+=G_(J7KBXO#A2XWOhmG#5}Qj zYE2xzZ2a#bcq<MHCp+8k=<>{)YGy9(mM4AQY&W%ZMrfjYMxO?V%vp9oqJ2?D<IXI# z${5@jiobH?zr0JN;e&A%@|`#*3+d8ScTqzTiUaFtWn76QLgCgB=f$-pRI_j*gtG(x zVZ8{cs14F-KOiDD@(rF6_kaLWOA*pYle3Fh;mqRyICUfNUG%X-ZT2-Ew$Wkz$Lg0A z`R*`q?RynyvnjY%+9fy#9-Q_b3m8%n`i{egJKK-7c;<O7&OL4Cx;O`5r+ak44TP_B zds$KryFZe|Da^>%P{TL@wFasEAzqaOP!mhIIE_=X#A8eZg@c}wsWHg06mPm9(V-}# zV`r96Dj3`xivQ;bw5SBaDc|s69o!s>lf^KRL^HUm4>vWt{&8i%zu#<Ev_<5LfPYlm zN|kHIEc-V8_4tNii2<Fnk#MP9R2~b7I>A`bkuV~Gr+9-hH(N48-@X=Ko#eJns=%}_ zSFDajrI<iedBx_J$NnQ;y}I;wHDjaN@N47jx**)}B^UV<0nuhRwO{Xu5VmBLHW@hk z*b6c*v<kSRctE7N5W*lWFQdCxOo2O!f!}tCsU?qG_k2#K4iT3g$iBcqY5~p+H$u#T zQgG3yyD*y`7oSRz>J7D|PuyHkB9FfFTGq@`$mI4+Tu*&Z9{%V+@PX?T18CF7WDBD% zX&eTPC?qRv0I>lLAxeV~?xC=exrD$z2R>;xNW|@T+&^b5>j}SlZa0BlthmD6dc$?S z(v#SSE%UjXc$DW!IKlSY#F01;@AjK%^0%xetguv{UeuG=G6Ye0od3$IYnbrElz}7x z5;5nYZa<SYf0G<Af|3Elw&tACd7lBs-1}hyt~lu|MZcHVP7_gO+HtmOUY1Wvcl4~W z6IrSk<2lbkIt!1Ryo?YFq7t;y?k?P7#>Jaaq}EGV()%@R_4;s-+^%G=<sJiVRapbH zfC*qI>fJ<Fz)&;+LlLtSmpb=fhGJCmoXtxeFq9}fP#7X|BSILb&(K92L|6s?K_(wL zWCf>ZJ_6=3J1<TA;xmuwdctYA*enAF=}UNA^XEP3{}eefpEa>d&FV~|br)l~>HAC# zWfoDS!>~BgyT9ct(IP1(qUyX}{r>_v><L2;^}j$4gfo6^sL4L~7sww~-DTh{t7$7N zLZ|-%S!`}$9)RGi2MA}jkbei%8S}Em^xvnxJ|tE(BvZjTeEcOz>&QH@9%@aFad9>0 zk@76QO)n=4^D8$KJ*tWscLPr5U%8ftJPtiwYs(G$Th?-q7ZLr8!iMwlg|q5yq0Q>3 zH@T3ir^C=CKv%UJR0ArJ1AK6C-thu`(Y6-K2WZ240YWzepSz$qs+_IXTZ~`C@}h`9 zT)fGG2%7<0Js+v%0H;?Nh&9^jKWkC?tH{t31c!ZZhz$U?DmR?f+$DMM5hgwZwbDME zzt93q5VNUnyI(!1X0;@U`w$S<dyXt1!~d72k3nscAhK=1ZxrBT^;VIJ<=z@4)I4M$ z`N5%$PAv66+Q2~}WE{Hu0wSA%ztPOkm*NTF(R5&(P~-}SeZ|Mhlpx8*aMmHmWHbsB zuZvpA9?f5fj8`3iPhIm}H)Z(0o$vJTuf25lvbj?(o6i3n3;S~%=Dv6jSOF)$=0o-| zM`uD(4O`<<zV+EMI@%|z`8{daD;Y0Pf(%?@YQwm=2tf_Usd}dobevx>Dd!QJp?>c% zRzCfObVa<^(r^28vGHa7bTNCIx7NRxb<@S}Sehc)5mn{%9EQf!@fB5+z4}JnE=ljN zZf8j8F&L^lSs54qk2p9c*BD{akSJSNWnbqkr)O&4u{^BBN|V%SMC(yw#LxqU!x-?f zMtMkbFq{>T5phFIyevpE<M|5_@v(Mz*9B+nKB{>gEbegulE?|S)^bVqe1u6$McHN# zL%%jdsNDdUs<5RptmpYm@YG`<vB06sE-c=vn8@d-LLT7lcR^%NfVVHg$6Bu=<$0UL z3ukP@*KCbItxF(ccN<hEfu@myiyu2Be>TKKC;&|(@^8AI<Ah;#2I*g)2!kOFp59mC zv$HDy?>|cvXm240&{N%Gu&Wy)OzHp6pycnbYc=MIvWrqK!^$oTtU6C-9S^9qa2%o@ zlSCBx%;~xI9}Gu+JvgX!p8uUW@&52$-i(n*{n_sWlK5uXMCG(WD70m<b_Hu}PAqp@ z%}nVdzm&6TT@n7w{}H#bTOR#Nr%iXPDMD}}=eF)P`8KXF%F3FQD-#A`Qa%@N70_)I z4cy=1@HkFZ1l@BgLA%G@wv3FpYzkoLSkU%~D@uFa?rxjb`YL1-z>UC4Q?Tvcv9va) z)*z6=)dE=%oF4(LH}1Y9_tx#OF)McWj!YF#K)&|c#<RX-Te0Pza8-^9<Nya;9Izw_ zAOw>`wWp<T@E*xK&F2Mt%Q|FwK?|&R;28%2gq;8g>n7hN<u5VTc(6UK>=Vb-bg6Mx zZ!*NlB-FCtN}F~$VaH~AFO^k5)L)cF&7I>$i(U8%%c)7IcK*`p5E5v)kdP<ara<iv zn=q)~0wDVulas>zRMmZ5G*C$zsGWAHYN>jO+{U+Uzv1PtF+=}AR^>bAP5(7h>inpR z`|V$AS(*H3<E;$4jr?ddh>78GQ@jYe8DL+zblbWDuWJw#4%C2!ZY1qxY-Q8UAq5Ck zNVifY0}jVck?JXLsZ&L*(K{C2-@=NZ&hYhwGOr7YikQ-K^dLj(aL<9(9){X=K{!tu zK1@|0-|_xe?L+~!^Xs45xr~Ue2Wp26!BW!U)dm~Y2Ib4Ln$<`GOCNipldjC75h+(6 z+syMP>5;n(w54c}`)Y;Trp;X5c&A&49>Xu@&QhAcJtz)lCo9=+7J9P3ufCkEu|Sg) z`uPrzJxrO-ygS}?h0Hu{`X_k&kNzEU-U7knwkeB0SlBR8tf%(Xy{h<ysPb5Pn`Kn< zsWT=yx0Ai0g*W5SZPN*qq9XS6k_<zFyWSisu1_|GUPe@DUEnY*@hFYj``mco0%xpl zr~ws}r6`ElN4#o4F>*i`E}r9BimaH$IsPz0_wapJ67U#qI+HXY4687xd%&Sjomf8W zVj_9}XWwK&WX*tmqZ3y3$SUv#-x6zx11QIRy|)3uUO*|$j+UU+%o#G4@t(rqVG-|1 z2Oj3_l%TvH+$HOQZ7$K`V%3s|BnHB*hn$i<4KQgE00YLMU(+DeLUu~lG$fi@Zg_)d zK@`NjVVIBrK{bDRz#xrsTvTR&&pN(A4%xuzdHZAe8B>^2XfEIpa>E3)1tvBKMVuXj zE+#^RoA4i+i;zQpaQepn*h0oMrV$zwJi_%8q0Sf+tGU-9L8F@U85GJw@dtXJTirUA z9pUdXDww%9ow`hYCa;xd{W!lNcsHWAl3mhDGi1GBy3u_5ptM|Es^QNKpZ8h%y*Isr z>j#Y1cgqKip3F!}U6~mtQS|~v1M8y_%!gZACxQU{bZoQhG;<saiS9ueF*~t%J;vau zQ2fC2vCD;U25r{fk{0ALt(xyi;TFaJ5e%gD@YG=du8*g*5`+J}2G6F44F1v$s<QdW zUMo-mb$a6YSeb}^@(=iKz!~}QHSxosX#^tH)1b;xjvTlMC?tqsRZPS)fTNfH0UzTq zVc3E}{T>_&NaSY~OynG@5Rk}%65ziz-1sI6a+x`pPF)(#Xoj!x`2@|chlpJ@ISYwm zPX3{YN&5xhe(Za4y%HwkJpj|M|K@~^5NaMXkSO8MH5V2MZA@efs*wB%YSRdjt#7!2 z6Xr`n8~l5TjBv)!_!^DRQ0wyG@9`2TU$e383wj+lgbjO&&pYE_rFJQxlao3j^O_^! zH#RIeUyYP+o9*AP|D>+O+#c_4lC=9ue`dTuK)TZD2>hbE7s<Jw&p?g0US}_oJ*5Pe zA!n1>SHb1HUOPTHg`Rn;M!MHCSGYQ4`ushL1A_m9xu1)Co(aqj76f)3m>u9vDW=gN zqye*o2f>+2DOdsrq;q}22(lqqMI*z7h~@)f&4XZxp%h%R?B?e=k4rnHNUgnPi9V#! zc*lDsJC?dNPK5R!^ke`j_5aOsmRKOAxG&wM>aFRK1}8J%<p1Jzn6OU(FL$PA2MuP% zvl8iCCrfMWo)cI$*%OURJPFg&7wu-6-nOajJi69zM$O+M68`6+-rdVrUDj*NI23;8 zPqzeAM=idFV4LuAR$%13s(S$7W9cg_w*Vj8o35HB4~0%QhVC?#`ibTo<qxoH%Rkv6 z-ww!+TJ$1ynH?BQ;=ak3rHg3*TKYRYjswtA8$e4nx(nk0Eqy|fDj{x3uN;tM<^)Uf z0n(DA>Yuc%0iu`*7kiz8O+v<!l?F)5ZXhi$B4kggit}=rwTKUa$HsoV0T}GCN-k{e z8PM80F3D(ZOne(^W%g74LL<=HmBTaN)q)JZt!9B!2}?$K%H9!qOK48hpXZtXnCZt$ zQgZr}drFJ3ee`{DIx>q^q<i$JCG?N5Yb}eNE7%}l7r7nLp4~KOKJ#xt%pM|vb2LKm z)MX$sf%Nh2Z|h35ONvR=BVMofVav`x=GU3dwr9pOfXZG71Eqw8us5p~roQ>JjdM>- zF1YLT48axfTNfp*+w#O_&S_4z76GN{wn+j1d(ruQ7Q=uEad(h<b&$9ExY<d4`}s(% zTZfn>BR8mLhpNNVKwg?~`maNp0AZ=X$AX$0;SpR16hpk~c;BLJ^54<*Gxc?_rMJHe zg)^Qs)NGCY*Lwfw8x<i3B;n%4&dCx;Ohmsp6e~5=EpV)c;t+JU$^>v20JrXSN=5)U z6hqm@k3$^*9P$C!Ozfu-ZA%&^Ab>1Ez@Y|!mYQNB?NEg<KuhBwvKY{K!w*7P571H= zpr!X2NWmaFomeCcF_E$$I>(_lSct3y{s!(kpruMus$3cq1cYV9CF~g}b$*5xSA$Y# zgX;QQr1C9L>U4fGY*X<<b--$Bw?WZAuy}M>lR@1O4sHGLYe<IwyUvheKLfL?lbJUD zEm&vNS;AhiJC^Nr)A8t!T64xU7v`(y5&~{HlJ6?A3A(*a&j+7<rCXHx>0XWIb`2gT z+86r(8{OrtE|2#zabbVh*LW})E*1}}_TRuqlN}+(2R@ogr?tNu+~iFer1{1OnyPru z{U`8QA3X+XZt$lvKzFmSo1}y|8i$QvH7n7d@mJpZ%`MbGq8|dJb!PFpkHMV+r2P!F zs|H95^7vciJ5gW;2v6l5X^OxZJ@7T{pP);4h*&j{7G=l*29N<=lJ_+*5hVaTh3uZM zO;j`><i-hms+iaq6j5#%y4VR3{?+gSbY%=(fz!K_Vu{%=nM?=KfLS8P37X0H*ybuE z`8J%j$~hU0#KZ>z@;jDqnHaB1@cKtA>+uNk2tiyI6U&JrYJeigD~PZz{sRt3I9oWq zAjne<{WMkfnWa%y5fJPys92{0DWI{JU668_=YQ1GNYP9gGHPd|XeJ0AtQ5^?6m&!$ zmAh>&&IuSI``<pz9BXxxC%YK9nOsPpMJS<3TK#-}s=W1Pdy#G_C9dgmHkf~y=?(XL z(&E-pb{MWS1^_bw#UaJx^fpo<js|408ki+a9jH;YZXyh*Q6x~K2upDYP@{i9z02Q} zrmxQYAL*P~p5_2GzE$6DrFP&|Wl*I4fLiM00I#Y(ygv|lRoXtD(DC(!X7oa>g7N-W z%Y%OF@eQ!mHUT1Bf&X9x^7A7&y}@t!qo3Y2q1p<kJe3}RdhXE1FPe87?Eq?b<(@V8 z><q%+_SlY|xos{}a=Qz=wv>4inWAi}fUmwCuz8Uk?_pw*KI@4@lS<iMsKm=HM&(Vn zaJ-4KYQ6>NqZNt+RE;>7Ec9Bu#Q?Rr)(@u=Na9%N@=Bj@AI09u*Pa<aJ%x+`Y6^zr zR|^fiZlMWjK>SpA62>d?X`$d(p+WDD>y|KJOj-liaqB!TavQ7&+)}&|lpC<1g0K~` z_sC|w==pLTK`=x-gI$Uy-tHlwn-_36Uy9TkDNCL4a~i!H;r+(!2x<{PH=k}SfbFCY za>;g4tAK;c|J=_UHp8U3qilV~pd}ax_3P7|28Sp+z{KkbI-qSMN`S(~hzEg1f@;Gs zcO2<K$TLFp5xt412#=TAA`Sz(zkbl{JI@@quS9#Nm<$0Z><yDDfA_Zm60Q4>Zl<C* zv>dHucmC1MCN*~%!Ist16&98Mx^kQU#JpR`<UcVV6ATWtNfUzftBnWv>8GBlTvhbW zEl@|IouZ8>-7C-9Mh;G};8#ogib7_NBY?Y}7d!{t^^aqXc?F4Xn}8Y1upzLY4;I2e zKB|bSIKq1hbU~_?CJ5|*P|Z&*7z}q@7RyhcHVlB^)fSMJ+tt$Bqj7P$SM^1d(WRlL z5>zVFAz~tURiigRkio@)V_gr*%mP{ZO0Xn5CDVKh*vFN<KmUJf^AxqR1q|M5Am#Zu zSu(!-`ag_)c|6qZ*Z*@@Dr+}pluWrxXpDUwDQ;9^7@Dyg`<g97*$R;*V=0ocj3U`( zUq+TNhGd(vuQ5Vp3nAHl*SNpm=lMS0<$3-7$?Mg8KG&S<ocDR3bFS-L*SwRd`P^W} z+9586yO|qSzzk%`;3+!a*9;8>vgolIalC&7nq4^~&805(Kx$3F|FAeuiKvR<&gEWf zz9@#d?i?otHom679^_L_P2WER;$=^X^dy`Lcd`cCx-EZ**&KC_ll(I(Vb9bK@Mumk z4^x2MRm@VyqgU+y9Wq(r7N*9tPMlI^4L+?`6i4R9p4CmF-LA+vk5}#azD%6#Fo-Zo z4RB5Zi*w=WoV_3!0*iBfU6q)Mk*eqPYw7vY76UmGAeub|d$J<7@ofbnw)9z3Dy`J* z$~h~x>BFtk;#TKdk7{=&J<vR59we&lX693*;t!(K-;(jV!Sl0eJj}N%Z}??iYn}IB zV320i0cnP<51Uc>Ize19XYG&`JD=^$2?A4SyXh<KkLPV)@+fjwTs$QqU}N$JA34RI z#1md>YTODYWBR|c*vfaqnb(@!!2;@5S#W`gH`sCpI};H+oTFg-F#B4wp%|tLbR(HW zk#tNUu0Yqx!i?b_@`SI0b=zB<rG`;Sa$wySY%o3J;YC!wGXWb+p1g6PHH_gBZ&@cz z2!-*1q<H!fk2~x`bBur~8Gn^k0d&!|a*B;1KW3kgm)7te>ldB=oQ&&|HvHR1TNAwH zGv@D;1^t>j{cmE5!egA*@~mH_Cvt{dXFDnDkd)4>KI(i;6V$UU^EL(vbt5=LxoP$5 zV}4zLPlMz6j)Ia@!tbg|yX`Nn=Ovn}2{G}GuHStP)L-A;{uZ?RE68c}Q^WFS_i>fC zyBWQ=yHbBrbPJyr^}gNtzWK>`bfbTNV}0M)>(l;^u4<JxR<CbufBO`)yX;&xCS+Ub zJlD6Z@@C}A%xt=B-EmaMT1m7oPok7AMS4n8!{kTS&aQA`?peb)ld+9FA<=%7p#5S4 z;|BYiZEZ!j8g?~$@BZ3rJIY!qDN1XW28EBGX-lVDP2uhRm5!dE`}^~s0{5ncXDb5M zmmBsJ&Sdxc04cc3&AQjB-|lbBE9~!V?C;J9J5&<*_g*Xq?ntQYFS$=lCmP>9PRWct zUjrISMoT{rI<p0UTn+R|_%ms1_dL-2!YK(KKP;4K*7*4jds2w_8H1pxQnr2_oS&~n zn~cpPWus0jF)px-Ee-Y;elz|2WAJ7Ar^MpD$Hn_kg#)+Nw_gNpE%Cp&9`4oF0K<&k zRM}bFo)s;w2@c#C%HjOUy16akF8OP(`o(@za;(Hnh4sy#?XD}apYD8X`D$w!_~6Vw z=p^3ynzJ0V@Pneujt<M3vNJYChuXKSlth2+m2Rng7dl~`{IOuMVE_C2{)NvyT_=WW zx~yH0+rk5$v%0P=UsXz_a`sw;NITrAo-b|fC!e}4F@LBL;H#YeCcjoXvvToR|8n5o zoP_(S-I!^y7ZD$K*XG{VIDQLgBWfzO-2Sw)ntCtQXJlvb*u>G!o(i|UZz=)1Z;xZI zG{anYyDsghD6M=OA}VH3cD@l}iDy0?cF(sA+$sI#Axo~<=->$A+5gqS4|DtK5g~&c zh|w<pz&ZZT^O{0g*YyaqCF|bZo!(DDKQ&BF$svCT4|sgnEu8sN!=T@$V07GywxaSO zJUA#@?C_;3+tKw;Z{7ADZx3yrIHmsfLFtbx7W3~uz1`ot{V8y5JP0pv^I^ogd!RM@ ziM<xnrRw{~Nw4L#mqGh*LCFkyw{`EwF8>mbJlXVZ>EcU2+uQTk!(HCo+&Vt~F-COo zZsscIo0^*0OW#;Ge{+K;lD5~k-`0A6+FeyzuJ|?jDR9OOwLYAI!+)IAq48QAOPeVS ztmO~fOY=I@8^+OJXw*}kpahzi^f|1SR>dpWtkL)PEmQX?)Pd^#7Ny-aB7cqk?KO(7 zeQL*RVt*cXYr_5e&qT!frbLwX=AMyRzPxY>WkimDuQMi*v!m(T+H^NQbH@L@!rd9V z?wISgC)>i0aRrrU_2T=}7{zMSc;krMLcfkyQ$Bj+2vREFAJyG&IsJS$@~+GSl~T^s z{gzhOE$-BkbzRr@RVt;tqx&th3qQHdN@QR+I>tS)MoLS+xLt;Jg$K&!07NFfU%NKO z+L5$vo&5a|QS8}p`_{~oPCr;8ldh?9-kDY6?Y#jjOB(OSv9t~!)suM1FE$*N0qa2l zyFr0p^F60iKV90TW7>69_WSID_E+XCFYSWsc=E>P9tP2Gj1rm4Z>0!+%z5fj0YJL5 z9(4SRgWed;e?j80R0SC3ZO|_N{*R=il-T_l)$pmHfG74Xmi!Q@)#4Kb-u1y_g3){P z4>7Gf>#DrA`@hmj_@Ds2rk~S6ca22b_E(F@an{Y24Q6Y#dyF?fGTz*hC|07kSSsVC zg!fmILa{-9+V8)<y(~1{X$fw6_$;w)AXzc}IIrRItZ??79s42S3FY6Ok6?nmf34J7 z7Wh}ggp#~>z@0PYyHmp1{I_{cE+rH!*Gyo7gS;1)70aiKkE3=w6y64YA}RD>h9u3b zJ78e~v!H=gcPjLx7?ZIQ+HV+>nLs8fn$Hg<?ZopHX)VpF#B1OZFVDt*W<DvXTT`;t zF}gNdtg<uB|7qV;nHi_J(*Jh%*ITbJqiIX?(yii8@_YSV!r}eNsn^&F@~b6`AI>Y^ znW;S?W)yG=PmPcw1|)g3N5D@8B;lJP6z2krJ-X+!QrZ1Fp&VzUYUWErmCmAS7E7be z&UVy%Ee+w5a~MlgoR^{`o6c#kb+esXQdJM)H~qCG`Lg(g?XRDb8PzAS{#%lnIVZgQ zf0m?gfA^OV%c*~^L0V1Xi@pNxn6QPnT}k{fTS~G$i$MNTq^pn>HP^i5zHHP8zevxR z|L(jwhZ>q(mwa*Bg4x**?$pAnYWtiu<-0a5At%J)GH0JbehAOy)l93ebd7T_%TL%U z|LWpa{wX<Ae?n$rOLFe@3D$|e;tAQ?gVL((s0f4U`>bw~8rDQSq^c8xw8?Ikl7XO; ziag%ShRI}$kYuh+g|xq1P81BeF3Kb85SPx(%A{lRhg0i)Rj*m_v*?4FU8NrJ=|z`+ zyurmrXh7s)hC`k=tQ9md$k_+Y%-c}(aE?c-MVQydMO=y5k8x>L+M|__)@W{7>%w$* z<#SH0PO4tl!9X7~2gaMsLr#19n^$!ykyWiJ{$XPCkXYjakIvI!+=d8JnbuVFGnTnR zJ+f8Jms<OXbk0$xm|>9B7^-5cj;EBG&`3HVJgz^SJ}*sn`3HLSdD!~acidd#cy2W0 zfwt~22@~kP2=g+vR#?O!XI?e)3WL7vA`jKnFSRpo(m4l@#MFp5HA7Xg;;bonO&TdG zga`NCX<=znN|jxsUc9YKX~;ITF?@-|><t|D!C)#$oJIJKA#x`3O=I*4mbp43@?;tq z>QOpp1XGOS1*c|JRV)XP%aTS42;o^+ccL#%nyIqit`isTQu=9ZO%VtaI{}ULP50;w z2;*LYlRS;4qLo<Y#tg}miD010bk5=<F@Ese)MeI`RU9qaF=X~Kv|=(+1M72aj@dbB z{;s0iL=&8aPYB_f{K}){YM8CiMUte&R05vGG1>s>7~Ra2dF_*!7dS&9=F};w%I(0C zBCSq~{tC`ea93F6YsmN<(_nT!_4Tfz%S6)^7Cvu;>u{Dw3p&i!`y#2td@8|>#nBZE z6xGa>3I-B55_3x2sZ&ywI~)vjl@{F;GRwwQVU@1o<#SAd*?H*8UB%lIO;8rTM1-qJ zwnqyl%r+4WWIUB{jm1$^AL$s}%(Mmu;yV&!4667`s@wxCDP`Ab(fuK_g<zm84OX9H zDjX|$Q<NwE#HiUb_lruVlA9BASTrt!cArx4dmj+AU#>^%;V`cy1kOYE$M~uR?U7GN zYaLW}DkdFX%+%#8=+uo;Wwl_-6YG-|{^1kgM4qm^J<-6d9^iaRBG;aj&S}dO!z<v_ z?4*jl&YU8Jp^-R4c-Z*A-5v5AeXQ0Z#@{;j!@8NBKO47c-73dFi(9vDdiLu|Nf)%j zdR=*VmSu#NQF*LFlkdmPA)1*rN+L|aUj)_*+S->`a_(S}nFY;?<`D5OqQBgEL49MT zYI~G9MN*HJ@LPz(kCU=FFVdL;u8iz7x~(m_tZ`RYD!0hqdML-jX9$!Aw67IrYY3Ev zn@X?;N(0)DY-WlAN;5kWGbHNNDWu9R50s`#i(Usx17>`!k&1ANIwB_uT(BtJ+;GT4 z)4JnYn1DC{yTw$f7fTMw0687qte688M+*LO2j1IqA2bR9nT%)&iXjf2JhG07=}a<L zMsTW1@Z71;!5L4c#RtvdyDVlKa9F9)RMHg|;RQpaJ+YZr3EDlJ;nC#%!KVYSDll>+ zMiF@6O;v2{@f5r<jiesJ1N-6R#k82EP$h3fv+#|~#HrR6mrOlxPON3o7z0+UYG$s6 zph2zO${yxb1gxk7tcasMas)?WfE6Rt;g5k8FF19pgCjA%6*VE=26_}|amNhH$fIBW zf!uuI2v=SJF1;|@Z~%7zE>#vsHUO>%%}l%V^S`RV8zxsT(BspkPBQ6`|8RQmrz+FU zoZ@9j3z0eNR+PTJ=KlewIzNB62K@W7B%{1J@$rPMUQv309&)z6nRyvttiYp{FU-pV zf%~p?wdJG6)eDTqq<YYp1b5Opp(^u+HKkXF7E;6*?)AZNW(UKWy)3aVZBD$#q5;tE z5ZuhX21O?W0>p)R&0fSw0s;hRf7B0F|G8wXv(n*+BVESgPTkU~tcm_$rt&B~iuS=w zZ-uevK&nXkNP1|qR2vlSghkFIHS=nKGgvP@nyi8L|LTpG(V>Org=A}U%G#EtD?U9U zmh|NV0O&bY_G9(pM1<4dyKJT&y=G~1y@|9gZ-%Erx`%Q-x{rpj4n#GWaU4GlC@Lu+ zL}&pjYyUW%x0b1ESkS4d``5@#uS>qRp~u(<HTp&sZhul#Wp`w%Byq~pE7GNMj_4ra zPVbpjWnzIYgq)hB-ck}>l%vVtC`kxqZSn#o0j1nY{z4(KDvKg5-~P%4X3^K9#Qlo( zc`85jy2P5;oJeHRr~`qbvYGid#M>aMnRgk|?N{v4bPUYTT2+99`LvfFxVIrBd*P(4 z?Td89drV?O(~S9PsG18ZmQ65lT5~cI{QG~C#7FyAx&m)lP6L~TE`_{#gQZ1351IYJ zRWTXnQ{Q!NttdTQ$%5FS>Q&YpuE}C1Bm$FCol06^5st<p?F*ZEZ6V#fuRWSB0<qjw z1>AvHSXwAAP&*JSC0!BAB$h;Hh;>7iU7(Jk_Fbs_5A4uKqp3&AENwpwkr~8h_!Vfk zah^xFNEoXjP<uGoRoA8^WrPT^amw14r1L&J(#3nxsp-ES1GgDC{%tl82n4NgW2t?E zweH{u6Yv&+m8(sK&amW&f|E0O&59_9xG|s@6Je(oe^p!g<0&OK^^8PV4+5bW2!!jv z4c+3>!@~{+GPCd(HKMtTxYlHNwA=-YTyT<y!Bhf*#Sv$SoQrQ}I$7`9i&HiG<2;uX zfP-I%5NMgQk4@(-XX;7@aL{JRCGK1ARFs~!$sn3ausNAsGLzfPsR4;qEc9qT7l!2k z2P*%ZBJHxAT;L9<CUMKs3)7_>0aC=A-osU8&@3rlYP67PhSw}Y`%2p8J!?V+>=PQp zi~b6#=2J;$S%iP+BeMY0)I+<!W_mOsfYM!51tyN4UNfYH`h;ZHos^w^n6AhV&Xirw zM+5GYsJCVOTc>1Pls*n(y{>hKd6>Wwpg*&zP!pD%PJN_pc(Y<JR6I%Om%E?1Q;Q79 znOIW3U!^6qft-m;b}l2G>BMC~f2kt5)&vIqxm7fW-()en4v?S*?$}@vW&=pbZRS-6 zNGSAZ`U41Mrz#-C3~mddg))a^M*}2~(iLr)#7@bSRq6GTwAp@SSU(tS@Y@~$Em9!v zJWS{01NjQb3mpE+R}5$*H(;hsCD*UZlt+Qe(O>5&p~}kIUl%FS{mPvt)0B|P^@7J> zYD!1s77A6;bw;cro5QIrW;}qD^`?@TScE%`koM1;dA*?B$v{=EKq_%n0dLmR<yy4R zXCc{zzsuUzq$_qD5i|bAz-RVv%5ttSy=9>GDm810XP8(A$guJ~I=RBQc|eABV=6j~ zWlj`iSPfrl`4C6$da0<|4lt*b7}65tLf+`6rz;kKvA#0Kx~$6XbwDu@AnDT|^89n^ z(Jo8d0v2gq+zh`5DCV_Cw|E$<H=)67_V{VM8ZBu$M5ux}#Z#LWof$F<<E)r0(MZ+2 z9g!Zs2oPGK@TawUP?*>*B=$>zhc<s0w?AURfrG^npdlxsnQ0tEz)=tZMVvZ$RLvWB zWbGdTpJD3az2MaJCj*;rn7=uA9YEcLh0j66H5uUiPMB>roFoZw4rg)HHbgoCoO6SL z%#Xx;0im>QPg&<D*pc04{@V$#H+75V>cDG4(!*B{hO%bi3m0+aRnVJ!RLas84fwO7 z8J-F0J_Q{4co=K=i67fb;$~M{%q!EEUNYSs6wR%*Qyqu0eiJv=^QmXxoc$m=@O?W7 zHkl<E)y;_(EE-@^*%8gm9T2ohzDFxpm=_NMS8@ZS$5&~OnnGIHKy*k?haW!D^+m!7 zt)j~MixC~F^z<l)4x+=IF!o+Zm9_!6w;cTT78ITI%H#djFd2~j$yiK<Y){CH11L)X zPu8U+)c{Wh3{wR>`AAnX+^H!#xKh(bhJAu>Qk7y}?ASHxb4`z8uPR175<|Y|)XAjE z-OZZfiKa!Dhs=_Il`1}2cbwtBKDJ@qDaJ1ZQlt0m{0<;B>Xzf@!M&z9yjPl0r?mU4 zbcAlA^(bblVz<E_4aheUs@xVVDd4bc^c+ZBxhp2~K3StSe?1)Q^c=r`s<%Ia+5CRe z$KeQG{#~D*tw~DA=G`If+q(Cid~wT;$zyN&=ATPf-{t9BEq$RYpbXsK;xG4y%1+0m zD;6_}`S$nBm(0ZRhdqi=j`o|Rgg)QBlJt=h!8;z1)Y}!oWIkV#{N7?fq9M86qHo(o zs0_cpjhQVi4KZy!ep<?umUJ^j2=tQKho<vV0FXco(^FwdoC}1Uf4(I-n|FfyIVhV- zPsp6zl5`9S(qx*RFR59PX^9ALS`SPdRjxlGN6P;+?Abf_DxFgpBtqg&YN_e)wj*6g z5vOiWRaTC{>He_=-p?B6Y|>BI9tFkU+Y?w&3T76c@cIRcKc6#+K|<zlf6kumX#(mK zdwwS5bQP;JC}~;@Rc+4#g8Gw|upQzs#w|Nnl+NU{!(qnpQ_|+`3EPb=N$cVh*o~i( zw$&%RythiM9N&Ixi#oHjG8eS-ef;g-&i3uJl+hy(TQ#SYZKv}s5yeqQZ4b4^ME^GJ zPzZLcm91=qKzn`**s+Fy9qYqFj#`Zn?fxcwuY%b5aIjjd9ThqS+Se^v75E1ab_+8N zPUx&{=(3)o{J{605V+U+jAVQG{deV8qWo$iblV%$I~?ZW1<Pt8SuQv1@37{Pmd+W_ z1b!Fph<*^6#49OPeyFv7(6&<34;%+527N<1jgY!$*){WhSPL_+xjZ79Zq0Uu+$*+G zwfALLUY++2)$i<nqBR7?z-!e-Y;|wUEU@Q=1Oy{Z{Eum0eF4su@kui89umq50zFH| zlSsj!G3Q#!toXp*11Z>(DY#ELIy51*Ej(dH|Hd^EM_$tKxo48XuQ0RW`mJ?O-Y4^8 zm{<?t%V40tS^YO|FR%$6dqn&FhX?IQ9!W(vaQqdQGFvp%{6I=H9t_rI7?EJDbHijH zT)*Yb6M_jphM7-I#NPIXy(>!|sq5U#^W%!|<$XTz96Nui^n#U5BIpJvd!T7@R+1N- zI(D>ggcQbS*VK{qHY#db$v0*<JTvfjZ`25%e>KL<iCKy~4&S^QnsD#D+WRY<V6aC| zJaw<$sJSWsyYS}KBhmNHA8NhA`P=mKM-TA2R~yN~=dHkNl1q_KvTH(X<UpU@9~7*( zLo6$t^7BcV_VK(&k>{W?@K;<y5&G{Fp1e;wjZy4X%eV6X16_0(wBwy&((Zf&7WH{0 z;hEsVfjf<#qnVRJN<YdHH61};ST-<)l|TFy8&Uner{JseMdA9Ll~1(DXEAUEHIZqD z8#7}pc_E{~6b_($Y~ev<GPfkN7ib@|Xte7D$BbEl_HL%kViwXsujsQW_(}U0VF^=y zH%u%-^;^215Qd=`W?)l$-5d76rlf##1|=#0C-tDElwVTn1nAziZhUnabnmwP_H^xn z70Yw2Ufj}^-zCEzN?)F7UT%LPO=5v}e0@Sda$}?%)kUUoH?l}4@}j4w4~xuQy#Xb` zil^-qA&;zDz&)FaptVf{H2xbm+EsvKIV%s~$a6Ygnp+7Ax4g`^D!^39_XS_E8G)&a zfvHC5cgBFJ@?zlCV5;6=s?2#IwP32<;6(K>81AGb^BfpX4V;q(opLBJRlhppPFHcg z_0$Vt8Os;$7R^r61g+T84!5r2U&?dxgN~1Y#tU_<NeR`zJzWv9@&u>*nhGMNk%`Ke zoH^?&AAu%uHC-``zf;@?^C*(LDddj9CRN_3&@#V4A;>=w{<I|`^VaET4mc(ENm(>o z-Xl(6+{>@H!o&36)1JIP#f4FPsGe`7_eV%#JMe5c@N9s-&<C2l{F1!W0DZ2Fkm$H< z#Xs2CPEr1g_qpc{<Q1uOT-WcI#HZ(=`17x9Vk7j`%x=^i;luE1sEf?qxB-PFz97v` z(o}wLdV~s=mpXY^XiBY-EPc^Rx*I5Q7${Ld$BX()uaPW*3D3cm20}355$Ejve#aCY zI+2%j@7yyFg;$uR(1ZeBwf9}J>iLrnf1nc&gFQwS&_D=?XALwE@<{UP9&YVI9Lk<7 zmF<Gg^MPhSaSFD`*zyTQqsArxP|KX!`+l=?P%oiZTxfvhyeIFGycl;2P7G7q%ncf@ zw1Ed$xgQ)~2UaEmvuI7SNQ)nfE;xTUd-A1-WQzLLRGx6#Ra{rw)V0P_&yPjVm^5C! z%$}4a40e=}R%1Jtt)$O_z1D{h;N;?mwu<@JS~$gQI6(90ji`i&rgorPZ|)fnlf*M8 zj$@mkLBv1`e(d2huwx1ue`dgJME`R2c;M=w@h1u>+qw}VN^2?|104WWJW|!5=igQg z<L(rP2ex%Fh2RWc)|kjyvQjQXpL!NJJA=a!$D-{m8?P<^p{{@}T_r1wH|QYje$YP5 zDakZ?*F)5+@=;RewN6(tE(fsv0d8yHHT|p&j#s2`b;aa?TQ{omlM+TTE{9r6z#**y zaB_`)#LY$==y>aaCV(riBOqICaQ5o?9}jJZUuN=v{jww-(+l8c6|hsrI}dinazMks zU`nc9j{dRKdwRkK+V913AA;T1-z5`w!6YTDY{1TYb2%{CX<)KLLUVY~^ZBu<lmt4c zL5~>Y1Yz&_nOq)Tjf!`Q;7IB@!4y6;qjgSC3SOOB1UB7G8;y&=ru#EsDHyQSKVQVq z_HR4vbU-J>#SddR)50+8ID%$Pr7URi0k@$@gBG7`Kw*90&J-?5I0#<0w;Mk%016ui zmp_D=UKOQ*A6?^NUaPo~TyU)wCg$nja#Io&IeY!1xEPxfn4a6K{TD^s-=1CQ`1m9G zjhM%Slf6fv@AjW$Z%lYA#hg?8(#jTmD}=aiq^9AJ+etHZd0jbR>T)KTH!h=c05@yi z-@IA<;JW4IVt(CEvktQz-BQIvUlT&kpy9(y2?RHE-tf1Ca4|HqtGAZjQ!E!>+Q+h+ zyW{EG(;rmp`$agu=#^VWga=$YvhnTr;Y@X#Y7VhlhrO@MdprE1kf5#Ypq+@fJ2^`d zM@J3U&6)-bf_CFu-lnASdy1Z_k*CCSDjM!2*mgZulxORak`!%wM}u*zd%E)0RRuj0 zG%I6zrXqQ9`)iq%RjlA)&%&P#LX?!-it_inq`nKby_<t^zwmV3B4-CZgQk`n7^-|f zxBWGLG9XrP*|X3$)wU}Y#%D2i%J-S=XZzU5lZsWBdkjs=;iFM}@(vC%st)q(b`D;D zI>`U_!(?;tnPWh4)^_dt-iDLo+fnc98tSt)s}pJz6Fb{WZM<XU$~|3^l5MT7$HskC z6w00%lCE6-@^w$7O!Qv*nJZXN(Ik>>`zshiXx3QfTwC~x;?dTbQ{abZ=tP)VV7K8d zqvheli(h!3+BSWGp{~yu<E2Mc7QQIP*-}<uhub`(VO>)A3vG#%;j?Dt7tH)9^v*+3 z(ov$FqNRV7CvNS(f$wca`HV;OWCR6-1yMpCy6pWjZw`8>QljqE<eecn8fxeYH7&p9 zL~+On)=?AS3low*?q>2rg8cc<Jw2tw0cJ5{M1@_9UhRKzIveqWAB9uTHTOAQ8O4#| zO+D8quCU5vw9v!v9MOLz!}|=pujpLZ$a<z;tvAGZFh=cgb=Y-xW}Pv}h^K(1M^^)k zZ)5h<&{JDGpV&xq#j1scAf0PIN#-X_J>0r1_m7$Hz20jyp)xr_h$KTCUJKt<ayJCj z9vo~pVZ4P%sT=r?#=Bc1s3O9kW82t&t-!o#0hS2z=TthOnBdZI(fwy3^1CMfb0)pz z7*%X}aMq=me15<^;*%11bf?|`w|X5<b)m`?Llo-tVZIs2RUDq4Oi#?QS(GDE<7gh5 zPLnTb@0c<o*VN3q?MJ$zb<2zfbYdQt+y9tt{W^ShI2Go2Q9L=1Y?DY&87A<}UgYRB zfF<W3r7ZCsAq!3m4(%E?i{7&0kREG|>8n&hZirE|5l#k;rx;U%Eg>3#hNM%OWcv!^ zdsOvOZ>7^3k#i-P_*XWybVJv{F~VZLS*f`Xf&+F_LekS1Pk%yBd}#Bc*zb=D>-Zsb zaJ!lSHdH8^#VtAUmQMjCctP&Yrs6_R;)mLH>r{HkYXYk3Vim%Z>djm*RAd7k4J)*% zO*C*NmJ=2UhK2QZFMLbR#JE6C78>HpwD43dsvH8MAZi377b90A=q*>MVm{On#0KHm z=!c)R^pH;w;!Zx99!pOdC4kN#jxl{$O$HKgj_;5w-AK8j#^=q-zQA>Q7%p3??$oiN zU2CRW#3DwH7t8eNZNEij$^mB7$KloRU3e;c8{}B5NUSza3@N3G@A%md%qSj}Q<aG) z`En?oZW9sTx`wCr5L{X>x}SQDl+?o8y`r}qr;4dWRvO{BT&goyG=LFhIgD`9+IXrS zRZbnEz-9<L^$IDihqrk^Ph2iotsKNbG}I_e8Nq960&}54-7Id3PjC4kXw?hP?r#1T zVBY^WdP3(<m-7DgrT;R`B->Te2?higLlJl53}guoZ<kDO!BE9eWmn2-yXK;dYtO_) zQN4yfkZ<7I)u>Qb$Y7_@n51s0&R2qf7ev?r;hrowV6kFSI3C-YOjlfRB4v>6-p~oR z2rk(o?ny6)u3x3bX%e`N`aDg=qXHUcpVGn~s~zSKy)OUAEyY-(!rnZ4{Iv<OY;JL> z<4qFK8+L%1%G3rW!mv2JKE8{S${qnd#sQCo>64J@Wc#<q_u83Otdve~!o`OQ$aatE zgmHq4H{5+F4_Ts)x2vVM+ylUG89{VMF^p)4Z{nj07DJ8dFt{}gp2A8Eo+AkKK!l%* zxci+Su<$}VQA{#|Wz+<6!i3PwZi>kOS7WvrOpnX#f4IkQ8{nJHPz9@@Mq?P97Zy)p zrv}dx1O_3(MI!E7g4^|mK73>940(dO1IJIj$;3y~<3A7(*WpFHd1UKQdZR8uKt%-2 zW;7<PU06>KW@uEMp;6>je7hAD`Vcb6ravb6Cw}tY#yRl*N-Dj@h$?1T)|0j5(7H{( z;q+78Y4SPkoq0y&r?9FW0Q-6R9K)Dmf$stAZ{hD&Mf&@Q7GZE@7(A7QD%Sy35XHib z^O0p&@iwLO#B0E5w-rRGBekFM2n=5frpIp)5VP>2<N~sFEWOc{8fQY_mVs7x{_axz z^N#^{jp;iKprNriX#+eJLX~?8RnW%3l5&yKs(70^dLkaM#WG-v?`WsV*R*$h8Igl( zW)}7%+b^=ZElhm)zt$|6e;vQ-ua9ryqzXnrjbK1|dU(oj)Zkr$z&1p9L&SYppz21u z2}5%}2=SpTvRw|HaE9Pgg>WCrMwS@h?V{){4pgyJh9w^XOOkKmn^06i35d~UBix!c zo`R(Y3ljt$Lxs=6-Tf{COPV@S;2FVB)dYk?g<@IV6oIN-mJeqzZ36Cc|5l$xp3J7l z^AQk5h@zTo@>D*(5lW5gAaD~Q)i{WY#FqNyq1aYd0&6#-s#1anhsWZ(#Hj4m&|^y% zV|VhAz%q%SPR;>B6*jchm^NpqXaeiXr-!EoP~}cQ6fOfoeKiz&of;`jFndm@92|q* zQ>QGe9e&GP>GP)(J(iX@TCnX-`#LW921yB-8!lMnpq@};Rb~;}x=>-0LrXFLi%>XE z4<lqtb)7o)?l*bMgV56U>9-7~V_}IfE>pa*Qx|6jyGGd2Smqem$rR+diW$3xNdj?8 zeKB205G>Qs;$_qj-7K#ag)64&XpKx6aZzfE7Z4TTZr#ZgBSrbTZmI7=ZSO{5+{>P> zexLSJ-Y>;gBh*-nHeQHc+6`7j9XZ))fRon6Q}0vdSOGig!;D`c%Z%|hk@UnY)M_OW z+`w3E>z)i)>q{a++$4i+lSNN4Ab^c4j>4NTlLBNZ8sFhx>Yb|5GP3x6^pEG1*tanR zlsvp>sF3UsMGx=!_UuT*#n}4>q@UU3ncAT_m+VNfkCNt2R^haF+8L21YGw|OBLQF7 ze>Vlc^>wxWaXlx2^}2{SZx-36f}SEp;0rW_{k(>^E2Os|sbbUA5!BI0fDxoBz6pe! zrw}7;L!8VtJVl=>A1u!0y7t&6^-t*NkvA7D?@_H*EEk0IR$${t@`r@<zyl&x%=)D| z=>)e!2Cm$>e?2KOU?BsNad!2vqv6akY$sEG-gN5FP4@PwyV3y=-Zr}C%3H5Ap?t9l zu3s9HK|m-Yind;orwZte3RL+hkt%C2WTwrcd@SLZ&swex-wo*K)%>CB7^?hJk*W;v zVHUwn)WFp%&xTK%I^re`J+X8hOO;O*shR>G<`CR28@R545A~p<q2N6*kxWA6=o68? zZ2b)RY?ewzgIxA}H8)IGMeXz=K`&JwfPBd}^=ZKBCiqh@BxFF9&l9QAG$_@{C%9n@ zT%YFKr0PRQU!~}Xj-R<b*fLV9X>eIX;om+36vKEOeBmpRfeD>_y5gIE9EcwNns9g& zqEUrFFX(}Rf&JW%yOH6t9w?{JRX`SMVwI*`FM!o=3<&{CO}mBu_3eKf`CkMBD!>30 z{2Qt1jk46ZuYjK*)twNRY9KVjOHoWGar!t<O+3|}DrW>yz!|{E*+@@wJUyJAn2K6s zNM7|whoK>;^V57FQ`pEW@Ovq3qptyX`qYhgGo)G!riVljP-o#qzJ=u3NP2h<;cyQ` z<2eFdr#H5WDXjk-%g7gsA)|8-ZMN_0xxP3-SX@&r4FGoUIY!;sN*_|@hg2^>0B;`1 zWlmuAMu-n*l5L9VDFFn&4Uov_!4z|mc#ybRgOuS@sQiw&tcQ`)=jXH*el@ct$C2?B z@2gHt9}e);4HriilkLW&o1oLsL2bP;$(wkqc=|g#Y9yRsmMz{fXyK_{*c#h<>mb$^ zk?q3igk*w?1Kd3cqzoE(yCQnaWvbX3NMd56DgkT$c{$=t3@el<ioul{<GZ-1>?r85 zM0l*I5y?1%Y@cO(uZtOfL6!tLjTDsiG<Bj!(-O-WIDh^A0O#NS!nyrz!{ylO9s;W2 zV$sih@@y(Se3@|ga35<ln?C8(E3$nFh|8xLY#wn@{8T>KE{RT<BDe(Vk9~hiZ#+(o ziz9GnLaL*AT#9|gs;bV!*tUQe__LfIZwc~4fKKNPm$8X6w~YWo{|6&-+W`*1WPJGh zvUSkYzQVGr7Ebhsw8X~@0muImaPdIEvlssj)c<E7gTiqkgOy2li~;VuDZZVL3cU>- zti%4dQ~V>~&yM|*$Na-Ee>oGB0mIyX2QJPG`8<b?FTkW|#-k|li$&yI@@zakyaPC4 z7n%+@LkRJgsKZ-%0D(zACA^>J`^Ey%{#ly;agu{CEUX8P<#p%pe*7;|G2S<>1<rb- z<e#J?{uod1-L1j*e`Iq*cUidI*6w;<sgT7|dCnlWD_70!D%6=fUyo0ZiYVXG&yC4Z zbGy`a(v@;+kLE59b<WJwOVth=u@dQ<#bn4QvQ*~j=dx$1xd}m?1#W@JQ@N#H8?2w( zm8s?igF5%-(o*nhe66hP+P|Mpz9_q57g!{wJLkw+WJ0_h7QU`{RQ|J*j8ovk(D9T^ zo%G#pxBl^!jl%tBhJclN<~Gw9G3W$=RT-qiugLUrdWr;ruR(<4J{GoBgp{%ho=gm8 z1u>}Z_a5uEu|Gf%rm-91q^}+%#1xPa8^M0w#D5m1MsgC&(1gu;I)M15Q2@xrp+wS6 z9NrM$rATF;gB}yV7>m;<kzbK#%77CfGT4j5j!qnpse`8Y3RlboWCMAOLV0ip^tGr! zWqOMcuPGqYpVL!RAPQqfFyD0Ksve#mM^9|CSri;>d06}Qo8!H^z)fZdD8q|I#(89i zXY}xX!eJMP#()UA5Ia_;ti%n-$NZ$r@?O5g^UUpn270^>0U?hl8h$xc6b2owEU~HO z0fkUAVbRpMuzogti0`yJ3;P(?=^8OvyoOUpak96-mm<JnGGCSe^3VNvL=TB0pg_KP z>NVLRnjZcFdMpssiUmk15QKe8H{!3*o5n5Ip(nNVangV<?Wl5Ch=R5OEGZi)ZH~7A ze3@*s2)##rxadU6B3o6_;}t=6C{mQ1L$+?9Hy)zKg%P-;Al0J4cZY>5!0iQ%zh%Td zRO5~at%7xf@Z%Oq3&|Rm_V=j^ND}@(0#DlNJ)b)E&Td_xS&0?~lK)TGn_d4a{(yA! zA|uy|1!e}ttK#~Y+#FN^rw1eFB0&J4m(dduYpV>x?-Mcr{>M`JclaN~#(Y|c%Yksa zMdZmMdi*^CVowj}Wr?R8rUplV1QH^A7I9Dtc$zapOAdkcIb7VYh-_m}mASFxYxbIK z9Yb$4rN-SQaL+@lUEjsFg2={4w`b&}40cV05M2#%rPuIXhE(=Z$gwI!?1CO?D35GU z1i;cMRgeYdBa6v;YB<r2GQ{561In*bx@Qm6a1Rt2OTJ3^<jEv@{4@c9zF4$nf~QCj z4%<RCTH)wuP&TN7vbC5IhPZ(ZF*s?HgOnT#QgSRTsR${pj<?CCCz=2oZnrRO_?m1L zOOLPlE5k1)TL;q{)u?gTfDNJ5qMX2n5*1SqXpO2Faq7T^VO6kph7I#*A@&Dqx`Mk% zo{Xc%w-FG}FBbXblc$pDjb7BalLT%FXmy=wQgOXq4Wo)FW>hghujAXzsL*A|V4cy} zcUAmkCjA}oy&i&D!=p;0!rvKHYXPIYeG3=g0y_P3e^dEV5pOQp`iYGaTc6h`K7EMe z2-W+-qsqZTM&)Y0GSqk_BTgk$Xo=O$?+GJf-^|#!MFf>$+o&Iv=_G^*0zY08-(^o_ zH-a215{boON#r8(Ot^7vz$-@0=ZnQRiTzcx-NdaG(8E7Ljs+UQl8K9N0sYP*+)Zfv zub<}w@(uNMRyuw1peO<}rV<c|7mG~t$<|=Tp42!ffg1s>F6444R)lYahhx<a=Y(DF zKCVN8j(CbQvYY;4#5@4V(*xNIsZ65)2u8S4b$l0^%3cdO<_&;wlXR+(Z2t&EN7IAo zC?b9;n`}p>6ZoNnJFgBZ#2eJOn;<$utD}K+jD^p-YE1VKSOah3qz&;@Bvo!2m|E|k z`qISP0JOtF^)(+R@A~`cp^LI*noc(5v_vsRMAU(rN{X3X2MiQ3Tx<%Q?F!~U$CR$X z7h~fq^5*|vVdWl`t#rd31MT_n_t@yXQ8Nl)lg>!%jvYnBvVn=_lkJT+YWl#aSQs;V zdFW9G)|gJtlq5u-P(M?E!k0TilgO0JuDoHTem-p2NP<XTI7ntQSt>a}GzD?!PbfG* zp~t5iHgYFLVko?KCu7`Ir0+U5Lq3_MvI&G35OqYM&e*(zYWSX-+1|U8uH3i4BHfoI z)=EFwQJ;I$xq7MB!$u@U`W$X%$P)k;fME4f&5gr6No=T<b{{bWNy%i-P#j@P$ppK{ zBS%Kwvd=S2xm#SZ1Z>$GRQ9FsKUM|#$WkMGhcc*a{seTHG+IoaeDGJj0HX1~mJ%Qu zrvvgm@^3%<pZ6HUg^e5m^re>}AIwt8tPgIl{mY)t+)h=|AT3&ho)p!?m4Q-oeI~@k z{h~WL59xuzf3BjpoS}-n74I2z=g##V_Lkif0>xJACn#~u0EgGbckvVWmJl45^<aLv z$Q6)-+8)S0vgmaGJmbL}0_r(Pev8PnVf1h!;cziT!vZ9~H^){DLB^zqzcBQVVmWox zY1b-EOxPC}0_O=hkZc=ra={4a`6r&LN|lR%D8LM1$d^b@eLOvho;VExBR_+bE@zM@ zU(w^SfZ-5Dikal8LVBYjHExZ-ee$6AF8+Cu0ee9z6A?<pfhcW&?~<dkV~vh;0G3Mz zfnOcp(Fxreaq)g6_OS%(WJRRCbI*vpqGq<?Fk=3DHzOCkhExLJkN1eAhhz~@iSQ!h zBC-RR*E7Q50*Hno0$q4>tc<Y;c#zc3gGE3iT$w7KdYvkl3Q=$c6=ecoh^Uqx0=Ffk z`aUS$skjx(3a}(|Kwt=Q-t_0MY|`l|ssz5Hh=Uc`e58~%zQbdsVX%{XMAFj9Dwy_8 zEhF-pni&rxvERs~g}gYRc)lF6RUJK^nSc-ikrnuT8NCq#O013jVwpRCIqCNPpujf( zMNWF&|4(UV6b*4%21zY!{2vnLf2sBub*vU}#>}vzt;b__L}gc^Xo;l^aMk}+$8H~_ z&l~Xn&-D2}^T~gwpH2TF5ML33V@wZLlZV8kKw3PEqXE@uy2gd~s2#Qpz25M*h|Q3y z>#wAK!S(1@5G1eum2dy2%ovo{d7!-hHyJVBDvJKjkQ(VmFslM(&?^x}Qa@}l4q#gg z7_0y3(7#t2BY*y1B_nXkeg@_PA<j>o4*)+6`Ns<7?+S+{11RX=JMIAg(mzP00OEJF zngmZmj6l5d(!^8jslf<>fDu%<2<~3fAZ-c0$|ephA%R3zV_FBqD~QpUA<jz!PbnrG zehZJ?DMI1_+gv#qal-2FMeN_Q9P#CC0Xv`JpKb5iSeG1&tCS#?$Hjc%eafdpM}!~h zZCm~B{DM_&(N;A#K;(Gk38svV<R@T9Lluzap7(LFA$WxykwzF-bJ;lVHiVEZ(RH$W zOKbTpL+scZlhNf)e&=K3=Q5Zgz?u`MDPG^HODcoCE$k@zcnk@Wf)uQnxdRrHG!*48 zgT<r^ZSQ(v+&y41Y2xwt%w#f*wR_|3uL<g8!d?(_osSsOz^Pp}1KJxl(E0m_B=Frt z+B?^bNJTZX*`oJ6I8SlQk~8*etHLFjt_`$!CN%^P%WIPh71pJ+My`ywEH&<q&??cM zlQ=2)pxiU|h)|wFh-sOY6V*9Gu6VV}MPt|{BUnLA;C7hM1hbppi{+1U)2pv(lFDt3 zp)dh%FKzAjrS_gG-b6qCUzQl3XPc$_{hQ*eN7rvRO~_4HWeBQ=8nQu6Wwf0r9vQ*> zVb=q>Qcj(#u(xY~YAMgKR%N>C?;Y_@09S-aUw5MRsJR4kI@{XqOHlm>?-+%S{0{GP zxRD{RR`iYsx1wn2q44LdF0Jdan%jMdb8n^|-`TK{g^PXHH8SKCK@hu{+ZD4F;?nSW z+mA8`JN(Hr`hJg;)E}RonI7G+_1W6c*hhUUnpoOo=x>xYLtctS%uld_UP(()P~)3m zsf@gts}rr}W&?5Nwr#LVJ+&6$&7G;2dMa$B<zk=Eb;b+-_zdHtHKx&)B$bN)^BM4Z zlEjcuE6mNN^TR*>S!i2|y5Z<q5y?<mL%3|#{?6bw&qolp|MiRhlA(349J4u->9rM7 z8z~hO;?5BDKS|hm5{Fj?Dw6^#`}?#qX(@7Qd;=`(h1{o2B*2_XS->33-^{ATvY+%~ z!@URc-4_7zX6i)m4h1xQQQ!CS{v`2hX#d+iONrBcZ$C~{M}dQj|DdV={q?hEO<;tk zaiA1cg@2sIh@_#D6^ZuFHzSf+&1^utgU6MjWTp25>-&qjn^#`0tD)qNzaF|+;Q)3q zy42iEIh}jK(wkxENJTtDd-qkUBc(J_PkB`w{8=+^7ixVL^ve=#=-&JJcz<#KmqgG$ zaerffuQzD9VW8x0JsZ>L!2Z{u-L+3MQ^z)xvL+v3wKy(i(Vt+QIh3-d9$^n@q;V<E zCbZylDo8ZG9J|^*PNhq_m$D`kuv%!PEP6E7x%*Pq6bXB1E{&^ZGNI*KPDLkp&?W*r z81Hx~%PJA8<)D;h6N`18y_9AB9D8Ujjmrc)sFqV9`jIckA%}y#_RjHzfpcXV5iJ&H zOgHid&F<s`Q_*navOV4X(HHv~Hv_F+6IllQ^6ct~BJ#d@4w=N$@_z7=VN{%$g%-?o z?o}dX{)e}S$+ycd(zs)hJ&wX*nHD07vsr{`i+shYtnjB6ETmP;=L#a1V_l!;z%Uxx zL0Z(`ZB(4wTG;WJKnb3#)5m{6$r0=NJcd!h2nzyk9YaqcnieUI<~h`OU6n8N(6Y+H zo-ZK98!sj#%9Y*u)bQq<<=sv8!c@<^`rFp8e_)zKB%T#+V5p}h`U}@FluHs!1NY!% zO{mBi3+Lg_t~ub?`ibFTn{pycm2aM10a2vNFVCTtc-q?+Ueb$-Gq=!M*uZq0byPAK z^A3F1&2z6_zoZ8R_IUL1jVQTe^?l#RQNh2h>JM(u4*n@2c+@Y?zQpV5z!<*Dic*Yb z-kD8!C!KR4Q7OwlOmw`O68_F;JV4zw7w5ceW@B5Y|Gk{36}W<-8cXy?uVE;@5==tA zaOn@INKFgp<OLv}^?`T<B1^V^o?Qx2B>P_8tWQnBW`n)=AS(Et1z~3@t0h39^0LQ9 z1!1b&rMjG|4)o``@(v~VxL$vy1tq5ogzQ8G&sh-azGLWFL{kgJ(Y#p=M_ren?eJhu zbY%1$Et>?a>Gh6}0rwUw@Ar=@3{AYt+GwC|^rM(Q)#-cQe)v>m?>nX|Ktk^Fcg$o4 z@%-bu1mA_ML}5N)VZZspp>7`Cw7K$fs*J?5%bOS~t3-d@4-5q%!DMj{zS@e4thR9W zn{&+}_;h=hl~eB>NZ5oD<OdSAqvT!y38|>yVhh6kH4MF$XnNGO4~SR~Onl!%Ycdmi z{sk%i=R!iFMA@BcO^$@(H?>Bq3>}8Ids&ptYfB8@%_c5f>P2~{eHE<j{t<8P=-*x> zQ*Sqz%=p+3a;Mt(tQW(43UzjaeimgYK8a!3WkEixdOPkyqHE|n=ChB4;F5oyeO0-N z0nCT-sF(buJgXw2)~sKiO#{)H$2ZS9gLo*a4q~#9)xsrFDSEdG+r<pDi2Rz+2+z5S zRuaUw!o?izzq)VYge>p+^vrqI^i9OP;H%Rw`G68kt<x_ZM#-7g^_BFag54|#uA6_8 zGi_17ta<C4k{R1dy!o78ySQ7OU2h%H^}%|)PKiYs=KxBc;@=)_EHMn32ReLq0EuYg z!utV~xBa|eZP|Lf`7oHUYrUOOl|>oCOk()iYsSaJXt@H?$C43vupBzF@UE6k8rF26 z<KuYMB`Vz8UW_<W8@&Fy?#SXe^;b7aa2Xh*2_?r5jM0t??g7SF!O#ncrVZ^bHA{(u zw+>9_!!VuHpMf7YmL8n>l0{Ip$md<i3O{GT!nTgFOCoYn>ibqRcW~d964I7jg_X>9 zJ_G+3yAGV|6;b3maIOmC=~iF3N4uZ!qAPwF6^XELuKBj0D<?w|D6`JS9x5ks`OWP3 za22P0T)m#Sv@z;}hLgpCtel%gM3yDLyw4{j48}GwU55c0e_$r#02=EPeCb(<Io;kO zHB&oJ(!`$o<xSQQwJdz|0N$Mq-<j9@*e+{HFd6#6%SKR<au&`eh#sEtGjA2r2HhQ_ z?sl);-9!N@dkCn^KhNPQpt5`L5-KY0wS|`J8m7bBQK^5-bE6`B6wN$_*ZORfb0N@6 zt793!k#qS8fFsd2hXF^rx`haGAuC?MBL63_^;>|MLgJz6ItcG_R?88IO6@yU*mm)` z2%y4t6w}jseQ7ERimlg|=|Zvh)<cq}vfgP+2s!`~sVYws@m5ml+ns1eF?oRpdr;7| zdj0Z76gz7@#Q1a8I~xhXMBhC7yz(^X4P=&eG4{|liEDT{q2;%n3Rpr`?HT`MEK6cX z)`olZ^EXsYaD}gaSr-aw1_q&`HcTe78igdT$@{}gn^4|j)z@z4#Mc}ED-EzZ;F=hs zh~d3<ai4m-@-X5d1c3cgR*SU6@Xbj8LB)e7pA%VT@8#JA6GeD{(&C7xd4SSdP;uNA zTBIMC4meO+r?(bGH0OxJB|&KlJn}30R&v#~vCqTfq`RgOAu_8qZ?IH@90<-!tNm_{ z{+NeWmrjnXtB;md1opgATKv#VLgKjpbONbg#bz$z3K~8%pAa8_&Kp`zNI8tYh5VAx zcrNEE2XMR=xR}OzYaTKus0Gc^M#6ZE!3BL3<}vslxLgo2YpMZz=mfykmxLC9oQlg6 zq6+9Pzxw{u;$MCz=c<2>=ZNgMRDru`R<p45CGe#o#@pwP%D5=n*L*9O)k1{)B`Y3f zkxyR8N&#Rcf6Ho=lekuN500mx#1w$@u66?F6$X1lO>Pp;#sHfaTjXy6i@v=Fm(&i( zzYCWhLq!6&T76ocV{qBWA}sCfbr28dBH}DWcxSQ*AAk={XNAA0hx`PPE49!9p`gPT zXs-^CdN>iyT<59vxf08DoAlAsBGKI`=?}}h3Ub;BsKpre7c+dHQu!Ku^FD*v)!>&m z3u4z%-(pEg8Y)iJLW>OquiqV&o@skBC`}y%FMPpMEqOp`<-`T8P87RYJ!EJ$>z%iR zpf>>1oMC;Mh>#K=rJJXg#NcyxiDwI7LKYJJ3m`lNN-%MNpAV;@BGrKh0U)GQcbATQ z?}i6&q9XxQ(=)NA%IzP=Kh*0rfU0%r8i>M5Z;bBcS;Y{w0H|!zh|UA|@~j^c4-M8s zYCz=Dk*FN=1U>=GyWol+MKSr*>92yBob}I}4JNu4ZD1x(NL*X;gX0HLVsAm*w~1U+ z_pbWcg<^`R*Y|8eK{e|2y}&%$>LEzrJ(?1NXurIf3g8rpAhSuv9_j#@%~V2*R!&7> zd{%Adb~Kiy`+e5N(wBzWtdO}??pHn<o2(KBAY64JB;@MA7se3J-v)#-mzB8bS@mV< zi}IW+o08dmkLNwzJRo4Lwxggg>h;&CD0WL=F`zsUI*$6Hs><_$&vq-R*f~axb_c!h z=JBbsb2N}J*qO~r`BdNM@lWv@L=UF9N3LW5V!@oN;sC@{xR_Bj!P4nYCRKesHP*e% z_kvL`ut)p8mQQxlLP9(oop)+JA;pqpGYC)-jn}fPz;b1hK1yS5SH`#bPY-QbhXzV3 zUD^4Z5YL~6ks5=`QAi&p`{2Rn(2;E3S~gFxrboZUU{|i*hAF{22X!6hLjBP2<oN`G zB04X5F(KRp&D?oM%Pt(t)tmNFTIV(?CFhr~i*L5N6)7<Po$nbg?mJG&xoQg1+Wi?; zV?w3P6OEGNa3&cNM!FXcEhpJ5XJJpzA_XM};c<Rw%}yV};+NFVt#IDrpqB1K4@nrw zA-JGA2_w}9_vZ1?qIl#S3B05ASvcpybtDxX=Rd8lO;fRP48DnmpIS_amqO?L9ENXf zO(levf|f}j8EBbI1uc`;fMk)EWVJt@lQfBV2RXxqy<6h-lx3V)TlGz^MCWzMW`EHx z8jhSyh<}LALw-(3VM5<B2C6=vbCpL)uoUnGYP}UcT*MHogM=voe1RZgN<YH?O~jm! z!IrA;KT2bgM`lgFdh?LH2`$2cQ5O?ZWB?1zCp0SNT<r!1Y=VoORCphQEyv!j3^%`Y z#C!grhqIVNY+L_JL&aUq`mrS?Gh#o>J<H*dnS_vW&=fkIK&S^zp>ql0yJ%*Zht}tG zELQ|@bmQBkc*HM_1yPR{IFm*i#<LR+ZA-&=HN)9^(ztx565gS5E?6jL%~Vbxp+@VE zhfF48uq+dovOcF_MJAN8W*=ftD_;`)-VTrJL2KT}Yjqga<DHAX(mc$;wf1MuE8M-Y zK+CYt0Xi#f_^S8a9O%rT@E#ielL8mqOv9`+!sSk+ef<6o9^8hGyo}eP=V48se~rOP z{~3_G-Vh{*+QsK=t``gg{D3*s0Q`VCY@wM&J+$mHuv}53k7dT=<lcb!Z;2Dny?KmZ zzUb^egi6Ddfr)gaVZd2ac0?MN@l3)yXJCDhAV*Ii<*L`k`#m%w8jCMo4eUL)Qp+Lc zWALTHZoS;UX56PR@Frp*fa;u&Wyw~|vU`ISaX@A{WMfZnToNqlfyeElHGu*<RO|6t zt>5@d%Rz8}z`V8EIdk-3ceT2ta%5pXT9cvJ^TKKINDx|j0o<Z{8E}&UPywOE3!P^? zosd!qpfZ=x=$~^{9w}J*0WOyMqcxAWSe<g@%pvZ(j{xYTOd=BDB6lpGj})pm@l-ZX z808e@o@ky>$SDE_NWqE}0Rx0%PrF|d^yq-cjiNO{*3eP>?Na`BbDv>7enIqmDsWWR zou!2MT67+HE+IvQ^wHxJJop&U^&KsG3Dz|B3xJ&ta8y=UzpjI0uq_&{2s((l(Rqqr z6T-1*W|Fto=V&aKW7<bgG;oJS;HX0x>Q*@%apspUc+b<yG{TlpH|P3078|(lssi&q z%l++}`BH#@b2?V*sA85)3D!9rWDdF5LlhDh?@~g`WAv>pO+Y14{?m7Y;C+m5jYGqE zXA%e+-9b6CrP$NYk%Hg*;Bk&<&C5Po9jx_p`nZn_1rpJ4-<gE?L3ExkP+&FsmM>7? zy_~DcNWm4Lz!yM)HHGRF$1{g4?>xHSe{Mx5B5^r&@7H6~g#T`8=HKHAwAx){6PO3> z3|*2uh3%zwcVpuWc!g@Oc9hy*3wLW@g&pqj)D~T&&?PdgHDiORir0B&l8lpVJ3`o| zPjvQ_T3N&jdU+KlJ+<vxfbluZoB}<@cGqJgzk;sX4$0ldJs2y;XJb#vHiEk10Ia)o z>PBpErsDP3nIz<M+m1-KQGR5yZBrf$g`P9U3$>+`E6S5Pq)Ik7UhFOP>}N#m=j83a zFkf|@uO_UG{y)0j0xHTb3Kv%CmKst}(h-IRNs$3WLXht6?naQ5?iiX80g>+Rk{(1# zx}{4&K;S=q|NZY>_x|g;7S4K^*E9R<v(It%v!D0bw^vFl$pJUdt$YUda^{;L`w*RK zd8<A}B)>?AfE@+(EDK+?x|In4S4W$ERMp5@b-h9|(}ZaFwWipPVj&5RKy4Z4zuGcm z?WTLV2U#15&asNsARdx@Jak%=^vAK9)k*~Ft0^)<2ChrDWiv2|h9tiLOyVfizj{~; zoU~*4YOe!+_x#{?4zuN+s@`$QTB1lKn*(6870hzTkYhQ3g0^N>Bg<@TC~*VEJZ15$ z#p^!ueDaxS<%Q##wNB1RMpJUuey9T#=WJ{wP9z@7GY$YngOXAPG?J$9**~cLQnpH; z@2LY7$82nzF%`ukoe1FYJ?vu+CfCGQ(c=XizI%NMM{_S`Gkw}|>h(c&Er>Hx9+02@ zd}_4ldelXLM~(#n>=KPEt&ff7#YXe|X;2P;!<&V!MWz6rDbzu$OtA8mvsu|%uW%o1 zkC&t6om22y&}F1NAS5D68ZBbi!sa3wYtZM%1XP^|@%zXp0TD*kuINEuAT*LOiJX-M z>X81lQDhZRWj;4<#IHs6MB2tabrAd_=%nDh(YV%&(+6YaEpKkyO<oI{jFcaHS|6cP zdGK%>aB(&DoF$w`rTYl5$gs{qbxt)4a7fMLjOL#J+Rp!lgt!&GcbL8WsnINdEkko| zKzXq(jT6vG-|C&|Irs4P1r|jzD*eATWTx4r;SZ5`bWb^&DjL^_`uHyZQ9zyckG}K9 z^S%f}UWew3(^w;4Pq1W-1&v#UYhg5zXfxz8<xoXE^#vE{$~KWSatb{aJs0JwY$dXp zEI_ptoIl7sT%`Ia$fM?LqScS|^$f$SZ&;P-;}?fIP$C7nRGiI{*Ltn`V5aEv^I(~S z49U`>)%8I2f7GjwAeamb^I0tZ+pIr1ZGBbqOUq<4e(DRy(#|V2{}pQEB6VM2*B{&% zICqKkF*|~Jfs(0?b5CJr5E>1+jKEXH(s4oedEe}{jJimg>8FIH8noI4f>kQc!?<1b zZE0*ATA-X+ycWqEX$xU<07Svcx6WpPYrTJ?=N)>+R2R53ok2PJt6g7&cBHNaZasmB zr1PK~SXHta1@#3kX=kJAwXeo~5sc5T{q?6>hQy!Mt{_l}>u@$j>@p!}?P@Zr(;At; z3n#S<t<M^svN$<|<8c5)TMbE`7BZ~|`Qh~1Y9$Z#^*%D<22_JKZCd6HkQlxpsbGs% z$y<#oA_+u7FzfMR>_{_apVgYnmmd(>L3B>eUzPJahF}`t#k7)SczNd^Iqlpwq}doe zb?_<|JXUaCD>m|#1Qqaq%&>&D&Yo!BmQ}RAi0hyhX9MRIgZ?%{mId;Kn6sPtx{H>~ z<q*i<XSEx#sK|M^tpm<~WH?Eyjb>CYKN6<tTE{y~j>Jd{P1PgO;VuoJKe}PDYD9(6 zhhqAZP*XkO<5sa6{;YQ}!lPr=MTuk<$1D3IZ8fTeBv=o@?8l2yc$k5BT5GOS?qNao ztkxtPmC*%f3=UzzJhwf%P(TZT_#G|N`*fIJvDB`WpfWh&G%xT7{79%T0sltAYWQ03 z02^>xjO6cfdwn|o{$Q^)NkV1Bz-a`q2}&ubwORS9G^~a*z+GCfTB8(HVhWs11G`L{ zqt-Yb)v1C^V1|=Mh1RnVO~t+s1@Js}Svn^lkCK&%!yUcCZu9l{sB#t}+j&yVCv`0- zJ(9-aX{>@~79!R8=WUWIIR&ly0@#@=jcZ?V`XZ931`boQRP>y5oJXnp2rS95#-OoT z;MhXl5nlLv`Y2m<F(aANLN)Y=bzIf0dWeyMJ#fYkAuNP|$sS*lJ$jA@n`Nyvt3XAH z!EHV8>}Q$zKt0gx1FF{p37dDRtC`b4VjNwIXZm#fddK>m#Stmsjc4x#<2#nK+9*Kv z;vr$<u63qc>8QvexNQ!0j3^|->w87pvY<Gb&hbmD!FNaj2fVT-z@9CCn^_0S0i+p! z)UAfIfqNQZwJWKp#7sDw19n*xN9}3`s#6`Azy>E3KR=pkTQ0jxE_rmHF$5A#ro#g& z6jn%nQ$YA*=R1x<ebqunIKy@Ow{2uP(UIh&q0<T^)amSe+y#brmkn2Nyf7RX6)0QV zBL!^n>?xV}xZYZsy+`$4+`eOVlj&^GYq@>}7MfV+RNJbL70FK@D&Pkg0Q2JN7q^vw zENs&8_S$b4tWYo<4-*$g1sKJZsEj9YnlM}fd{SyEj_<J!NDsGvA0O@?vzQ~SV%7B& z$($dm5lyUfR)Y#N1fhl-6HS^y30V2?^7<l0;E!{ka%RU6jaG=xnVeORJTj01&Nv>* zk^>4Y86^kBN+FO|QXRm`>%&5Nkm1_@Vd6X1w%Uk7^=cwL!Um_#zaQOX_;fJ;40x{E zP{cu@Qw_K3lSA^Cgb0*EsI?gRsx_=k^vmm&$|-azC9DQtA;~jCrtKg<Dy6NAN>E<~ zkOC5T_Hzj(2T3BKkbM%JYTeKJkFe{;O_2mip_ql(F$&~1Y0Yrm!fl)Djc9-SmUk|N zs32{)yaiqvg0a@392MpbM>`9B1;Kv9J~DMaC=JJh;K8&RYE6n!8Rl>rLYz7_4P*i% zoKyx-VTQpS>u@|pY#2Ct){;>flW>|bY=SIuY9UrWX9KI@P(AzHR<HGnWj(gLQO#Z- z&k4iXLisM~qGUZ6xjyrv?|RO1J?cg1<wDFZi_i!fMeC;GsDr5n08`BWf7#G~iP{1* zkUpE_au6CptY}RI?zzba8Pg9avuT#fNsX$FKNv@=2)$p3nP&+afdkN#&ry$<lfXT5 zP-az-RZT(pCqcru2o0oCi~xz;+Qjm0THBpH)CX(PloKPz3wrupwthzZOl8y+B)b61 zEl6Xi09fupLF#kG>g>C+G&{xfM1o<1>WDdc0Ri7Z3ro(qdk4&!AKT3GYt!s<JXD^m z`4*dW3b0P$|57oDS7@8p#QYyvnPT;gQ)Ze?J;?Asq&*O6Bb(bS=yl+%+jmy+!~+oa zIY8Jt(w-4|6>sIG*&UuH5*Qj(<BZ4)gn18IaI?<&do9TGv$iG+LRF8JLRAeSvpf<H zlr3A;T&Lv;YFfz<2L)egIhnTrOq-Kr7ngBV5QJgyOdFt((gt4uDjUXZfXfb0$n-Rl z;kNJ9-LmyEWdIAF_k~vJ6fU*hvu!m?yHebBu>P$w>ch!zcdTNRpT>~c`n`Hiwtil8 z_V82C2ZLwc8wHUA24B3_3c`vEB1Hip-2@<W!NK#GG@jqLudasV6J`{vJuhR@Y?geW zr(^Q4qinOI87xk6zh5eYtepIQ=ZT{<2G!m@@&b;6#hK5|c5g83Itf|p@ZA#aV^qgT ze@qL4nNV>ukNFgDW+mC_Z#P7BwR%P!V-(FwH>mD^oQHZ$9FVpA^oZ1V6Y1k<&NiV~ zRIG|}`1dx);{UjX*%Jgs2?z>Txt=2cOS;Q#<fxJWuxqoOqdtFZ7AfDQP?S6ZBG9hr z`v`~}y(0825IM`x2#^bF|BIX`kobapTplF8-=X}&04fF%NDiQ4P!LEF=5)V{-zNga zlP+pdL;0OZKw?lW0WVzgt?H6J$9?WoY!sg+hY5lqJk2J|2g)@fpRmgF8?%Ex+idR& zz?+P%YCPZM$@5#)cz?(Ta)VL@yQ-trWuI()d6mI4%I$*06N4{^m4b{20~^FfL8lp~ zbm3>y&z||$YDHuU01|X7ntg~I>u94{#sXMQyy}DCY!a%q<leVMwfK{LFX%sj+L%mH zGC(^bi4}{fKvtv-P!aH4CCG}zMf%Pi_4m)5OI7WTJR%Pk6sz9NL+fq9ukDIvV-LvY zE3y+2yUD5I-#MLqi^{J-0t3K;4<La7V8H-LVAhg5Rf}df(^dC(6;hT)Z#c75j=w>f zD}dL3K=}a{cRURZ^i+&!^^{u+2DsO*iOdaa5t$!II4O$M{6NC_yok>aV41-`e0@92 zW&!rdQdDa<-4}ZW14!m>aw2#bboMYg<B_5@ctW(3qe#jFAQJ;nn6G<PzJIPNE~x!& zyjOh&YJXets?-4H1W-UiK1gy^3p`2xIv0^;Imr#m7O4SWjk*=_jeu%cp(;a7Rw4pa z!-Se=mBnYE7-s;QS%#A1WvSGlpafA_BwG8)Ur9hoO1Qv0ox|fhr>9&OZc#E=^1f$9 z-%9~rOI?IMEzDd!295X)PM9`Th{*1?V1ZG&s@xVx8rxNEARuW(sy+Z?Y40cZlBoMM z{NZ$$8{{ccWA~IB#Vz6kgBhiOx?FZ5NT*ZlnrExp#-JE`AouTrlGA|Pe-KKLnN2dg zmi+Z?5mT5@fq5f`huz)J<e*$&Z8wyhF<XUq2uhHjZ8Ec=SS9ZQ;C7HdYw7RL(rqUP zodC#TB{?zzKn@$pVS|b|3IGZF0w623op;{G&7ig^SYT49YGWZ(u-dBnfFg^e2{@m* zs8(;f;QCv$D(?R+Nf&jO8zu!G;=i99B%@fhr&^>Y3NYz+MSOo(lRF<3N%_0Wt$7yJ zE==~t9<%_cWE9G*o2^nc3gw^A{#Mxo4a`=I*msdLX?WJgX}b^hoIw%#xe#+T*mD4{ z76N-N<^wqO!Jd=JQgH@*ZUAKcV9${%Mr4808WiCa_e^Bgsld_#hYA&`Whr;49=y9; zFIA-;6JmB+f+pZ8%Idkv4KwTSTeSi+*%YBoyUCHZip5Qb$ze3$8~|`yswzo?`p&!G z8?mYnI)s@|GgbFTwv$s^nrFE^$DtVDjM!*b-QNc&`Uenu8_As(MN;(u>X?GU=H04H z9a>azJp>EPid3I@2o+c~0Dwi1xe_3H?-XVCLE3*`e;*+N7E2N!68p)Ki~x}U&or9? z_{vjmBSe*i6?}HxR<i1YqHGfHeX`6Fw<^ZHVpZH6p@Ow0RT~3<#}}wRr~r8UL2@rm zQElr~Uu>=?*nmgLi9`VL`<a}fq-YK5eVr6VQtiUbC!<i<vU}CK<@+mqhZa-gJXIS2 zUl>=aGDv16deyEg-CgJ2v9e7W-tLIsT?AYO+@8?i-O}H6?GgoCa^9t6dfxsD_<I?k zF>y<ucKDMX)=b~F`PXXVdSZAiE_E?($-}>P|K?}PzRcZT!0p}s{Wc0e*6WFmzC)P` zVeucnT^+*WSL2+2GS2eBJ3W^Z0sdVxM}K$3Z!ZHXug32LP~dX{32LrB8{L$4+z!9I zgNs+P*8~-$r?3x}nmAkVNAcKdA+(JoED|o$nYYZRc^U$&nfI=WP3*;|N_UFFrf$fz zrj!y=Zj!YolwiYmV&vx>v`0ljrg#3*(ia^2qoqbl7R)WYHA=rnY&<M1W}MS8>MbF2 zsp+E}>2-EHhB|{2{?+62{$GR^ln6dtL7ggd8L+MfF(dtL<}NsY%>>-;VnNFG@`L(r z%v!v})!FqgW-3H)H}4K}?vC!d2>a+~tJr6Pvf=U`IAybK`;PNxxgD}|k18|o%xUgM zaRo-N_QbD#SDd2-gD1@qri1Tk+1kfPDz|iF2@G9p<H~3mb6pkuhN?E#M{d<qqrYkx zoTysxi(5={iPm&hjxb2_%Ht1~nmaACur)VqNiNGp9=3UlpE(}wcdQX9WT)76ks+Cr zLNydfba<7mdeD)9Cve8~5SD&i`%C6!T;`+GvbWr<0cQU{SVv)R;4tgjH5SA4YGzL4 zs2q`I+a-r&E(y^nh1k&Z{V&!CUlquD`n~6Z<X>&;B2Cm{`59g9w6er4jbvjJ3WQiv zH?4BxZnS>1)IkS=MsN1mTRd&!P(f{Q`E%eB&f2Z_sIc4+%vabk(PSBv%(do#?UV=; z(y$w?vH)I~cg5fT%3aMn&|6Nc>v3Ufel(4s#q&Qjw47?wRSkhCkn8ZgvFdX}^7DoW zjF3?ynE7~gtxRIyX7Zn5r2S1a?VF{w?cbdJKPgJn=MJO8RYv}I!h45{!Ys8bji^LY zkO+fk`Iu{0Yf+t4$b=d=Y0=ik4;LTB`jteCOO4N3h<yFljaetIfleeX;|Es-+QF(# zD{+ByhwPnzlbAML%j=mrx1(u(B*si=>cT@EXH~0F7)XjkF+Ff%_8}RwjJ4*DV1BMb z8d>92|C46J?IJYLn?kEwer{UnX=@mZaeX~Q)$F+!f(lB4%Nt;qc|mKpQcz(ta5Tq| zS4+5WT1kJL0y58FAT1l=mX_<&fv_GS%-9V|p$yYCtytK=HMa+;Un~Ya7^cN@FBTPK z7@GPQB&?oDe$P;W1yXA8pzB6Esuv9jBLZ#$0k-BE%WvpXyVvzUiBd)mVX%g4`t<!p zpJdan^;`GC!$oSw?<^$9^D5Z)7Y(65uo~W8Z-8i6gdf!CetzeLSEqF3*Tt*^R1Gpr zM{8(la<;A3ZDR%6wyb)<OUt>b?a_2Ht^L@Zq2+v=F36?vLGJe2s?P|?FBu|$PfktA z!pEa$wI+jvi32tmvA{rNTn%sOWwL3{HZ7VVAaIRxpTGuEk8192j-EG1t&_W6jh1^} z8~R*m*bhyV54+UbrqLe!V3uj|7@R2A|L>A<(p6#PYn8c0y<Cg`_(=H@Z;kE6$o`NR zZ;J!C`~fb{NA@Gzj-=BT9n&o~wYXXwiT01NQn6uL&uYy;?z#!L<rp2UTdhWQk|PsJ z;G{Dl^?FCwFQ2w7^NbEQ!21?xZMQ&(i4SxJ(K6z>DzF0<oU^zG*0-2jiseVL&p}j( zB;P*Nae8Yt_ykG*A#|FS_=gifArYvr&d3N-xUS+B9!FD(Y2N{@?cv7kv2AQ1psW4O zF<o<rg<V`#UBf1eY4H><Drzot3zfiQtaz|XhX3#fM>tJ89s&N(maPLmp0`#e@u<|U zcbW)ua33#-SV15!(L_r#woTa>Z@OU;8$l#g?+1ux4GNN1hJN5C<jvmxP3n#;5RwNk z#i&F}I9m>0nfH_0)dEx}8!}-APU;v^-#Xd=AFT9aQ^N;gJ3vcwxIIqg1x7TEjgSF? z6^H*uJaS?8TJ9KIa!fD8fgw0*&B9QT>2O;M9D4+ek4whNtQ^%ViiDwofQufkGVzP$ zCk0{^2vZ}K*n~kKR<QxZD(Hbr35KeSobH5uJItw>YmLA&w%l+we~=VMp~9-*Xf)t) zcHB31BtNPF0dO#$mMsiOS+Ea;tqNiKk8q!=#zqhe)kps~MO_#6*&qKIbdCc9N6p52 zROBVx7J_ZB^^~vjwUt>Fs+R-_lLrRb0fT&F`9)mpW`TN!W^BTu5X<?-Y<0swgJ9sG z@cz%B3?BZOph37i3r-p0>32nr{~o@ICcV0waW)wB(Vd|pwB#|}o*fe%V^U-pjC(BX zR1EhPOqJFCcyKaHXRyg|ae052#5ZMDgjtzHT5iA1Gg5v3cUI4muj5?jMa09ZrFTs^ z+q8D2b<f|&V4RvoKjgD)%){vEPY;o_n13eaXKFk`i$}VpgE%b<rCQcT@Q!x}UB1!s zNDmWGYTxG}u-?*kPhX<=zQ~V3;H?Q{dV!j;?%Ruc=x4UTJStb9E6Hfp^;}>zz6<gS zznaS;FBAuj-OUq@$NQq1Yg-Zz?}b%&^)TN&+|!=_th8BS5`zH2^4WJ0wEv9Eb4Yt) z)y*WK%7UB0ErH5932H8Ccr)8JqDy&F5XxP{uJETD?m1bHYI~%M;GOIaqFOiDcTBF( zwHy%8?o6+WG2|%PMTFj$f#D08821|EnG$LvoKW4#Xpm-43Ov_yZLQ<rL7t6TW8xzG zs2zckHUgT|Z`NA<vOO+}lp0R0&fk>h%zxM#cji=amUohzZv^JuzrY}90&1N45~hdP z8BV&WWL+TMM#i@tmWMH8-+lOIwmV!O(l1)WwOSksRbn6UvNIlI#KWT#FrG7SwlMCT zvdB?S+c93}Q2F*AD=2J%f^RJ+kJ}T7*fLrT*-I>DR^|yk$AC3XH1<iEr|m?R!F$c| zOgVtz6Wz&p5R0q^uI;Q)jJS+#?WOZ_T}r32bW8Vj5w_1QGPt{k(4giP;J3TyODYX_ zq~8zj(A}@aB^Ga$-ZtFPIFb-H*_1qV?(0SjLs;~xxi&wBQc<vv2ss*eHL;Z5!c@;T zhB+qV|FiJj|M*w`a}d%Te#`n@9x))z7m+6v2UJ>ZN><JT>Tw<JERpkf+CR?&Ak8<q zq5tdhUzpVM{R<O&hks$BfkR!Xf{G^(YW9?uFii!LlWM4B<{%4ppiP{Rhk<9`{Unn; zde+K#1QU;RS{G40)*W33X?|{uho|uzxKGE(xbyFS#(mDaFN01X#BSC5M8cF1H^T{t z=-mWa>;n-!ED5~xA0d)k+LROa#tLbnIN6ZGtXi)1kWirk7`xzfh<TcIT<HClOm7XK zPGQPUFw1Q9RS^hk0`bANJe+xqH$a=OUqiyR9$Tl<J6cxIEDbDyBA%&-7UH-q6gm$Z znYAz;Gw_we@Xe?MQv=<NOnJw@4A;U@?>B?O^ho&DJ_1!@Ac)FoH6#R7iGd*MD^$=V z*aHNRSEUVH7TKXVwlD>4Q{#RcJXZ~Et;%W~;C3h;TJwKK^#ozklJITh<q>0{$INkB zb-$2M^#>xK|3ie<gp_cnn(O0aHzEnbl2ysI*%(SS02a~4xGRB$y+t7jhL89@QnSlL zK*DEKnfF8z2zmoS&3}U4co{rEsWBmFD;nr<*S--n`xr`0${tPSXxuG`r`oTB;O_6v zQi8El3U2k?zG@1*>#clmKKgGLiQu8N*KwJZhZ4K8M_=}Lhs;3={p^kVH6dGm*2Z1z zN#<WwdKgkEAvLp=A<|(5?0oE|fyP2-1Z;>I!JWo^o_EH^o!c?wTJYyWF%GX}7x%Gu z3qc1;@t|fLlJBGOc@B|4h?MDbCX>V>JAVec^PKtBh{h9>!ccBrb_Ik3GV_^8d?p*- zqM7zji;{otqJT8d+5C$tpwC*Vii&>}^vVy&jeAIt*5hPUtD~Y}L0fi^TBD>lv!kh@ z4)yGAHRi}n7@lgOHiCPqI|~YdC^&$lr*@+IJ;A7G3CE<1#G;tWTTdn8?~Cf_?ib_| zi`mks<O}psFHKaICpye)o`tr0sXN>q(qCG~WgHU<ErE?_nH!JEf%xPzzKr*oZVewU z`aZdpUFoB+NX)lVpZBBz2yQ~HMhGNG%YoqL8&q^j(3Tz$+^l{hxDgRb90!Z`HZ$&) z#8aiyLO3sXXZb=P3-<p`#44ds5UFribr7ZrL73oRHfziy?m>^C0s{6AB~&r-GPqx( zvI2psc_q$==msv6tWa)Km;$A#@lX-O!q3Eb%nA?h6+hTFS3u)1F5_Rk50b*%MSLX| z&o#k$K>pqSJ%+o8k;EcI>um!T<hy+k2JDa7M_IuQN!`$JBKBzS<?axwa|Cn}=H?g( zX-<2Iw<uynnyOzo0l|#^&-ohI)RlUucyrJ>fSk`FA`d4DbPiy$>W53XLa=qXmRV?d zzIBHaL;CR>xQr2@P)XPbC9up04-fO7Wf?$A&GSF!vrC}0KjhnRm0)~9%4boShx438 zE5k(}6>SUr1qAVj(370LHq_%1yTYQ=O^v&sLkdUgxz=s*T-&QFSB4`v+k;c$%7#fZ z*jH3|b6vhP!|;DrbA6iZ4o`yggI%)P7zzcuM9{`~j2L`)#)u?G#t0(^CB${9GQ>5k zAd8=UJ=$2Ph=5IS%4n0(=H+_UFzfe$80#{+x8u}Qn&U-9kmk1JPzO$P<h(pM>{R}b zOO~_vIE5`@dTPj7pfS!7&DIhlzN;f~Sd%Aq&jO7Uur6OD#+4)YRRhhSI#GTDO~6H= z4@As%#Q6799~<LdQan>SUBvO%?&J>;3(-oh?e<WN3x%!2#V)hMC0hKb%gU36jG^su ztgwPsN3DL}u+3Imtu7G)jTb(L0%J{nrwi6hnqpoo&n*gl4i&0V_B-ruBEoo!VPo)y z+!~bqHoIGk5Z<B%xJ=_s|F9sCF0;VHzEx;^*kpz9eMY^N6A{+L`q9{dXhyK()U@BK zXMwOhLGL%zG%xf%8aw_a_>V1)J$i0N>%o*}G(Ee*oUL&`9iFR)u2yA<a?B}O|Ldft z`8ujC0sF8fu}ou!VGG236}YquYr4@1PNC=U0A~JmsLBU}&Y1*<-rs}aYg-!k>fo79 zYauFsbSJArEJ|y+wj)C^(%z&#S*C$hEmk7z!<g;(+0CPjsWJ(M=ghRa`Xj_{+tz28 zOYYEZ<_J5uUZ1=_3-<XdGtfb~l$4}{4ixJ)8X?33wc$YOubUXA0;K*X#Iz!_IAFNK zSS67mSxG68f^6O+RD-07@;NSYE@^=q9QOwoFQZh@H`Q{<sL}$|;z)z%1Lzx`_*5^O zJ~;PQ_)0`aS~X*8a_*(j?GPtBx5-T<BF19*VSvo9B5GZu0^;!7X7zu)P<;R@d7q`b z?lTW@LW%0Iz4B~S-YGX7a^Ig3W!d;LAf<PLKn&9*ISrbsaQG<nW5f*#9dTo9yE(%T zZHb+gq7W@QOh8|7vmQd#Q2Vv1Sh|aV`ODpTo^&UbdeSc5C~X|hpMF!gg|9;I3?1oN z$^et!4mY$0^ku<|8@yaF#eFf^ha*%b4fs(e5UT_(!>D9$9W%co>9GbKvJv%<f4}jn zM!l!{!ZJmX3!@{goHK<Bcq{b2ii?<A;l|k$V=>PjFf09y$l|9{t<<4lHEV_tPu7N~ z1CNryR9I{is({v^J?O}#{?V?h>>Gno!57)7=xc{Yf&0ro3Saf&A`t7`+{?gQc5$+o zKTLVrPZ3$1VueQ2PTE=V<jpq3!=U*k=<lThQ%ME=y|kjTq;<&H)jryty+e|)`@YL9 z$xtKckfkH#nlOd4cq#NkfNA^OIGLg>=J^9=cE^aU-@r6Q3f2u?7=r~+vz3er*#W)B zCK*h>K!j95E7?-1IXS%&=}v0(q%Xydv!)LqU>)Ic5n3zU+-G7e#yJC@TDQ5Wj(ilx zMCeqH>p2v^+JN?$pdGtPR0ug}k2%9&nhp_?2kkLGO3jt~2`EIqFY1wc^QC|DQ5;U= z0r!&zQI@sBf!>8<M93aplFO(mPdONjJPt}(Q35%Y(uA#5LAc{Uhse*;LufFbL)!#b zrKq45xn$Uc^RupMPGJpwVs%$H!~i<}Z$$hPI#TXgQxi;Yh3=PevQ#VFRP??IV=}-r zBruH<#_(c_VT%+-0y=H;fX?#?Ivdfbk4B9o=JUVNU=Nlw`HQJ5>7!BoH?adDU}D5z zVk07SNyqgApG42H-1}AI2EEs~G2+~v7fk4$gz66KG!~SG>JI8OhJu#?oknx;(#L2= zRB3lEN?q>NDVgFa^jmcbdvio-g2AjBLYxF50CcuPhpF`2CU7f8WmV|_+0hhS@5gN9 z&W5K5-$YhFmbhFV)zfII#BGJNR-=s9?CdSgxi-Yd5sj&G6G^0N%}?KSI>+G%ZE+LJ zh_P&i4=~Z5Ba$WQlAKYdE`(kRUH(-|J=G;5I+`$(WC%B7-D^tF$<GPK6K0*j3p)8F zJ&QYqFBeI75`o|?Xdg9wP!9r5KQ5vX1YCm{%WCStr-fgLXd1dC*F{s@@}0Gi|GkH* zB8<nwD&edRf-#@+w53_I4!iNAjf%UwlCMH1G!AFy91+eD7qRmT5ppeAC))%f#8ZrA zJ9dC<f$mupsf#vP)kFw4McwPhJn12C7!PPc?Wz$K#4DGqzo-jVwZvC->eKG7!7<|f z86Bz1m?^^;SO}^(S-}HtXptyO{=BJ6SVTk1H!^&3M``1^sWxN@1W1P>Y;~CQrWiIO zAyjE~Uz<Kjcl9AX#NQfRNrpk`xU@F)6>ofX&|6ESzl!KcwU$Yb@TN!$?J0rIe4xRz zDa?h=TKV{`#xt|{QnlWfgQlQE^>Nh(lwLOH1i2wZ|JvZVePz@9_T`4U!REZwlP^(z zWsv)}wb<I=kaz|&(XdIVghwTBf{x9T43@<Z40$tYc)c85mGmiMG?CRVQPeztgNlY} zT$|IoOSo&8h(C&%NQ((q`CTD6W;=;ta6)i!y^i|d`=4J*L<O}~Gd#g#j?;SVt&p~X zlbu+Ul`Og*vLQ6U%qhs?b*XF-w{gEk`pFk19^YPA!xck~ZdAO!j@15l28$06oMqdD zYW=8adz}qC&<r)I@j8Xx#A8sdiJi$)_VuAl{6fLwCf$-#zXg?)g)%i@7gr`T(d<9F z{F_RpJDFi{4$#k4A_{S)!;}RSOiLkDmf&m#{am5i3Gp$BGIsHz7Hu0idrV5&Y~KCU zgRUC#29<Khr>61^8~61;KAb8_mDE9}{m!uQ9zuLw7k&wP=Z*u_(PHU-e3(liP#t}v z!SqS>G(0JBAS+&UwQ&PFz%(xS%zKO&w7JDI@YhOz=G{rA9KKsKRHTFM_fh(*6^!8( z=&lP+8DgDJ_~4W&fC#bGKGou2BjM3L4VO(EP)HUv4%&dOGmlfUdXH%h;`L)2_)BTC zcz3FRfk=lMbkIkp7|dcJ#LHI1yt+{#6?LyK!I{8W_cgshx{Ct1T%IXTi`{#KVUQFe zms9=nH2N}ednBoKrNwwtd?}#Db2;t$uH7+r>E^0^u7jvZn7}XiaE$J5?xce7Jof6s zziwua^QOS^ZmFW}>dMgP)VV<h1OK=<KJXQWIGt0xQWJ$wE-ux##r5ZplE2)QRQx(z zt_bKbaJ+42oe{wxY@C=|?-0H%3-#?d*O9)yIGn5qsCcokzkgB^o2y>#spN3Ogxjc3 zU+(#5Uen-C|IYv2^3TESF*-N5+T-B>zcN-w&yIT!mUcL1P_8Sl-t85n86Hg~wPdTH zFZddqZrAz#F7W4UYBJSHQ*M)=X(7^W9%4a7eNmqtrCYBz1V2wk1B14=xhB8Rj~h## zd5sr&v<;w_o+0Av^bdajRH*aQf21|3UjCB;d2OxXIdwL<_0G^YT^(}a>Scb0;t<o| zBYIRz*w*SDohST@Cu&%d^I-R6FV?X3ShwxEv1K(86G!VW1CDR?XNd<8kKcdNVh!sf zN?Jrvr7SLSW0)=<s28Q~=kG$V^(zb<fBDq3tu40Q__xg(sNE;p#mjS48Ur2>)V<SL z?x%jFO>~~WJ8wXLw%6oeuCr&FGl1^5&HY4wG;o(+cmzxVS>xU6B2eNgxWj#)6?}*I zql9Tw!RhJfRd<nVu&&ki!e4E(`m(m<@Av`dnmAYgty2@CA6Ru1yUbVBlHPIpZBKNc zPiFT5tDk@Tsh9t&n#|x@$Z5zH6~-pjJL`Z((fplJ-Y-tq(RASbr{h*OZS~jn<>7~z zID)?!fTE>aFnU%og~#$aId?(C1D5CUBHur;;3BjvFZxS<PaQY556=j$3@RPd@8$Y` zaq6tOlH1v)!`64-$idVX^PfAZY48c<ytpcB;l2Cqz2eaCzH->%{;;a~(XZVz8zsfR z2i_}kDp*g7_8zY}vAr&F9;+pMi+T91EI3}?{h@QyBenI?>+7?}M)r?FM#_T2h18FI z-p05V2)`t6WVLt{lJzAx{LtfV3~&Cs_cmF@?hkni2pL#3yE4lpJ=L*3Ke(V%$2#2H zskmD>Q7X&3(=FGs*L?Ih>HNu;;J9+MhQYrgk2<U{ZmyHtzDcIME8&+PC<#`R=&TaP z7Sh2YmiZx(GFANLulhrjKGtEmIu<cwNnlA{K<;VJ_26`8nYGA*u!-#t!YPTbx5DAG zGlX9PH5-WD6109Hgtr6B_{#!^^Z1WrhHjfuP6Bts)mN5!_8xEOJd)D0$C~P-uLJk~ z{Ule73kO5=zPDL<W<}ta_uIEDnzKH3cIXcrOt2z2-1Q)j+RNbj-c;w=-eWU~M^gKC z1bUW!Cr2H-e=c(kybJDfeLLo&ZZ>6ao7!SMlLs6z?u&psHJjRX0(GJL4lTP<g(*FC zLGO2fgUSgR>XTW&;a*)p#T)2L?R>2Rbh2f9t>cP=!>a<=KKQrS4%F%M|0)GeLdt-t zp@hRJ4G0!>wjI^)oIX|`Xww@!suJ5{+<k0j_NdYG$OBBGl5immNeDljk?1Y7S;-x_ zs-Nz3=086F5~=>MvWyU3KOLxB^KTlC|E3WIj8lFj#SW%{S#HI#eiLx#Js{rxyW{Rc z{BO@4+FN-vbh3N@{$$uWX#cqEc=H~5@7}*3@_RV<%*<V_EgcNG4Lx0qot(^FIJ`{E zOx4ve?xAfS@>@Qw<Gjf`C%S*HK>q$v7_+MCLo4+@;d_RnofJgJeQ16s_YAWQ^j0x6 z`}F7Q?I~p{-!Q;man3|fFow8klbWd?Z%GC4C(klR65F946IGj}p15mENj1hA&1$@b zbUiko2_+_Zgt355h*3twJ}|z0{^8R%9@d&Gu`RZ@mz;G=w@&FZIh!}HY&Tyt?2niK z7-}u4->$oL3LyUVW!X@DX_aeuJFTMZde`&MP1C#dd7UZ!?bMhFQMXdRI;okbwh2nY z6Hhr>FJ;`9)g9k$mJeUgw~yF9V%bCq>y#dSsTjGwX7EjK8=1Q}XFp;)s-(SJNaQ?m zUtzsOu_aMBwAdsWXE)qD);?%WnbUlyX@5ODr?DM6le?^Oz}b4cFpXmUjgqc>?4I#y zBXsixPj1uSvzGEdoO|<Esp%DEM6C3wGv~kA4GpJ0kc*>>AM2`Jqv@+$qjk)9=m<Rx z8<?p|%|VwMhO^=QI{h@mj(jU8)4lWdtK`m?<JWpyxAk8ZwkhbA)n_~izhrYTQHh1% zT(Gj~%WWgW6%DK?4Dd)H%uEc=5}Czc`?)<U-yj*7#ve(EE!Chl>m|Wu*a-Fe2?l!M zhMAO*u;Z*rMU}2(aq;)@Nmp@WVyRz*h0Z#)YIUu3pB#^Sm(f!Kh(oCSYAeV6C!F7l z8{^ojyeQZfX;qqqyq48h6TTz5*>)a%I+pWc#;y3|{=S>dnp(+=SuEeRTXKRY<5*57 z6sO{oj7)1{Y*mQnH)uAAfo#v~?qQ^I{IQgdS?@4XDfU?EN}8DS!?cuWf(P-&CW<be z^&8AzG(q6xL@I;LdAZCfKeNdFVd>nLl(8j6Nh)_o=^BJs0!P9JFK#~B{RlTJbYCF@ z$4?@etl!w??WP)EB_-??{8WqP*G-A`5hcs1ug~w9ODUvIz<v4pp3A-Wq#OMfVM8V_ z6%m&%-p_tWJ@{O?B@<;4rk=Pi_e&X_SS)Pi32D9@QnB#i0{uu-(MRq0JT-gDlD^Yd z966B|_XCs6QlfQ4DKjw0H(yuFy@GpjdHj63iTwcUAyX>NT`-Y=&kC}ikWCncSS|j^ zP*|x7<b~FEV$8grWenWc<96#M)@Ppk#+agv?-+Inx*YxS*-?&sE_p|Z{4O>WNdPT0 z+HuL|c*P}3mBHp75VRJ-r@gyG>dhcFrYK6*#$f36REKt1k&VilNIDHlVGwD76y=m2 z&(iUNX7rn_75vor+ATjJ-bunKJ!1#{YLQ#?WrMfVrna!;$EST%V$L6voG4VeU}k$! znh~_%ZkkNIUG_7D%nc3bE3uuE=aQ@WY3#Fo{!^-@y&`E@Nj)=b@=1)+_kt$Nqx07y zu5fUf7JvB!jWu8+T{nLtDBStgSVFMq{hl`nuRHsbR==56%J70rHhp%sg?!<#6Mk<% z%h%%u_vP0Kj@dk-guBvo)86xLDcirXE*ItES`wp0k3tnLoD1|Z9{M{u(GINM3%%DJ zN8s>%y_~5zAD%?lDOoDrKlV1v-f-)kh9L)+r7lgH5O#QG9whNRZTQrFG@|ZxO_oq# z{Hnn36w}ArTf)N6b$pU+#bS(wZeMi3@D<o5w<!&_bG_q7<_p<#9ply`BMPENw5e`k zJ@t2|56(^*IucSae2mS>3kyVUR2UAFeyo>UFLZ;3w$_$o-IaWZ>@mL-$7B4n(`<?# zVb)*p&}x2gy>agf=Vjfqyu^&-a@`iK^y~hWe(|Ugv&Y_vpfDWYQ#ZTrYmb%%8%!Uj zA>409|J|If$0f_-g|7z60MbmJNJhf%1$*84_9q7U=RjP)IZl>iw6;fFC0DfqEbOa0 z%Bvr)-&|k#ll}>O#xEFa%N@KfNJ?y@H}MsnTVLV<lMbG8EK>;<{mW+M5&lH0Hc1(% z%tOKVpNSoR;TB`|n{<uL;+qE|H}{xERWN({W3tzJhF{F=B}aUg{}gf3LsY1JbsV$w zN~qtcbmHPz*!C5|wv=19oND?r8X=vU!}rfr(v&*i?wNczb#GS$M?#7zRc1n)g;xGh z3^_58@Yf-LR$2M+hU{mNkSlAKwgzT%&;1d$)LO?+jAk7r;n&^VbL%qsj6<VL$u7`C zZ5`c7JwwT6&Z~rm43g}Bt@z4<4HIuq)cEth*(+QC9gf654}bk5(BDJ9y|8w=O}RYY zd=%R?J^HP7v{cW^t0(vJKDilc?kX6B(}e0NZiKqYvVI!S4>;tZEy_OH==@o@(#%Mk zz_DdRORych-tABjJmF6NQ%&qpP9>NoV%+&7<-%8~aVNYo7uV)KVUFAYhdcXqEqz0S z>%i+cB?kUsrd@8ov}>me)5eYiSL)&GVS52Dj3&iB!wnkRUklUiyI0RCw+*+>W!c_1 zqz<=s{I&FVRj2ni%^hzIyB!|sE*n`t(l++I@sC=bPLdMy=c+!Pf-9fMYew}2iS2~3 zw1q3BTF6Tc&!&~gnAgk<47u1%jMSP4vfBA+M`5~Kd#^72(7EtjI!|dLYCWs*onNhf zxKPk_6TCoi+wMa5!~Qn)(Otb?d*F{x`IZiw+Z>|f3m%!4zs((jul;E5q_Lv3bmo_a z7>66sn-+>fXCBX}JKF6{0`_nkZ9PS*Zf;r!zH9pRvC!-G6ZF+DoT9^`x2ZasLf1|K z8k>hThXe*4C3Uf;OUl+R*Dksb_xrQ50}<Zyx()j3*=g#B<qc`(O$WMV)8jR}vCBO3 zUb(@qdH(KWxtHgU@z4%5%!TgN9*%z*F@-#GU-H&%Y9m-Z9p0WFu8fTpUUt$|-xfNU zOS@>;)YAw!+=~g_{4<#LXKmIcyXX&xvmLT*_7BseUxea+j=Sx5{~n0;oFv)&q_g{e zwbwa*+VtCaDnO`6tYq2@ah5(@Ifm85_dC?G?gM>O{nFNq_1)%+zwYSn+A+B)^Ev@4 zpOr)xjM57|E(BaJr*fw(FaPp;(SFx&xG^^N+Sc7#+U<1t3$xLjn}Ld1W54MoY<S}5 zzdEI^U#IUaDvnd2&gOV6QSn2QqronUkhkJ@#qaZN!^Q))fYt1VY+db})beIMe-*g_ zRJ^19ySavgiNM^W&jKm$sBXQ(^tHC#(SJ|dTib|8V%<Kb+N!&isCd@1+&z<aRC`Dm z5U_1Om)X*OkfcD(oL?~P6hL*`{)MOFCOM~tug*PpQctsNRJbz{_xj6tesb5??EYWG z&x{xtA4^W0X(WAcl>rOeo7z73=&AY`qKtCbE=B=y2@|v$p3;f<4E+{eb^4oqwg<&3 zU;Eppi7qrfZcKw4v?vvFjj`C%bu!^{3yb``Z(8a2WH@!tudZF1h0WA5{#4hDr8Knu zHK{<N*H1cVb#-Wl2|LTuS0@eg(oav`oamZ;wq*hRB1v*F?H5I5UlH98=IgUes54-x z_S?~kC{MLw^p}mS;51zu)-z$#__($wuPqzg9;@$@PCq5R%JD{D_j&9T(MPdiUq^eM zxQ>oiw3CKKJJ?&iOA_hYjxGtJ3(HIU9Nl_%^<2$6``k^t0Jyut<BW_|jh3OYouMx~ zUp~tHjKvx2UhjeVlIBXmC!qUsXbr{vmVc>;b591stw)wVKD|eddh;gGhq@;BA=L}< zdqVf#hO!aMOm(GDek!_x^kwG!V4Glhv7R|+y`ERfW0B-<W%F@^?DGc!*`E$L>CuTj z+R+Dgh7m8<cwdd*`eO2WK9BgglikUwNq`+xL%(7>89DwZ%%bD*n1WxwVCe;cbk)Yo z8+@U+M5dpH#tMhVN}RLJeOvaTgF_CJwThi#3pRQpfhz_PCVu?_FBGifu8k2xUn#B% zGY`WLvz{{i6);qNEM#wQcfb@W(Tq*J?32I;zI&`@SMrxT`=u1)zy4bNLg1Af2@B7& z(V`N*Tq03pF{ZHWo;QU&k1a;#t9i$Wsc}*~*UDW-WD05CjH|`9j6Hvu@mlW1JF_cf zv2{jMYgc+4COqBa%ARLaXD)e@gEovP=(+wiA}dJkd5-Ss;5Q83P@~;sAA2(FNbdPi zT+cV9n@4W&1A5_ROw*yubfv=Yg*y}VX()UN3KV*CXL@S(8E<Z9o5XaiL+Cw;Cx&C= z_(4WHyEA536=iKV31Shy;8AYLE?M1fw5Anq`NcSg4Pd|SzzmceGoE~m<H9lN&!}=@ z%O~Yz-pJ7vvWE=*?Defei`~{}OrEqz_({R1wGuDRC#GC&o%FIbdpf^<h^}t(;hS+H zw}MK@jyE><>EXQf$}Lt`)sz?uQzDagf5W7T!U|^B)E^J(NV<<3m<!kTznK!JS;054 zVyE>_c6knO#j+d}MG<xi{N37(XyFeu&#m_!OS(UJE@$%T>+9>MNQu;P)&w0Z3SP6% z`*fZMBK7KL6N8a~W3As#`mO}zCqHE^W3`7AQhc9TFLz#+t;1b6Lwd2oqyI*X`6bAt z+)1}r!1mxIzn`8e{`h07$5;}L<gL)OE&0)ce^hzNMDqC!>k<xe#!PM}#dmA0rseLT z-K)R$hAG&@w^xs;7dp-^g$}O$d{g{Wo0NuUF4fI{4N&aKe#+SLM#f~_vYiT0O|QP# zjT;VF9&T9PTo%X)wn($op4#-*Y-&w3p>`(@L*k!P+v_b6s<PJxM@M{ue&o@TuR)aY z!Yq3;ltm3I<4c|L={(;hq(V>3){|ayxkW=**ex7Xmp!LEi?YqV^rvP{c#ed38@_9# z+|8%j?GBr3UyvMa*soh&;|=hpnS0%&ca&W(E%kj;W?GUp6atyqvMvJ4o^d1lZxm77 z&0Wiv5ySSg-EkPS7M}Rco0UiWp0h~v@@mf)5u1|Iq1Ljd+0R`ue9=sprYQnzF&8}; zIKBl>OQadu%o#>;f<uRiBb5ubW&-v7Io!+NUIcO!U_E^Q5Ite*70*%IrmPYlUKv`{ z>DvvjuOG&YL?e@v8$Wm=>d7WWdWjDS_0dB*J4YO8hTT|YCW(#j4LIv*zCV18)$2^_ zxo4A2tRH{4AsD+ik<uvM9iu{K|FP-KJ9)Q<FanqL`j+SOc=jR_q9IO?qK+b}EZ8WO zbmxh8{PapHmz%AxL-EP^7!=+-5X6zdc$|{|;3YnxT>R0il3Bl+m_rI4Gb46%6JaH; z<aD26J#PNb4>t$!s9<WCzD-ipw7>jPcsXXG)fr4uHX2FZkx59!J@Ja?rsC;;z`PZT zKjr^~kvv!>TZKi<>%kk5zb_FtK^eTWlr0m_IF$NL%=g1E<c78T#}>&{e%(_Erj@$i zN2>S<kzutOgsDJ#@|rK|(bmpuf@Wq9gq#;sMjv4fRvfAJYm*NVA;b)C<zk(rh*Qye z-@ir?CWX_Ance&OVXs+*h(Ts0NGY-yYd(yEc5w*bfvoxjiIHPPYcFReP(n3rr4o#h z^OKn=omiP-geBX$N?|s<ySvN@n(fI*rW3eVKf<|iPMPW`QbxG*N%aYNh|2pQrw^s1 zAtGk!k6)}$k{e-%2~}80`=v6^BCXSy!p8+^yC^=kb8VAL`1Kb)GkMFsLK*ZYTIS(r zwP#%01mX`XMC0KFy@b7%BWw3?*`Ghm<(5ZdeTcEuYMmbVCt8V;E4_0HV~y89`!Rl3 zmS*WozkX(nmk*g(ALcqk?<Y5PWu--f-?3x+tzcEtJ<P2dy3Z}LO-^$!5&v!5%PC5o zdp6`M_jcbLkdvQK6~S>{9+29MKYkpRUB%}1ev-pcOJuu@`=wvbN!$a(OBIE5D{L_E z8>@RF3qnV6&^6xF2Ma<KY>|TQ{pAnIbQeUn-NlG=En6iO_v6Vrvd^aZz?A~23B#6Z zpP$gtNW#1RB4#u0skF0?aJgQ3ul@?5PwiRHEsB8LXZBkQ+P5X&!^o5k$MA%aEl*b- z=34&s>9KZH`RlUuy)NW1!zmc*5DZmIH?YH2E=K7|?hbpasuEP~MjKkc4m2FgY(_oI zJ@E|l?703wwZ^NFvRYfXT-3~O7Cx@UALg0ABo_JP#X7yQU|Aka-(Hx{$g8S-C6prs zN>p-%Xspy+gLr98u^#wAq+!1<`+FkCJ-;7z15Q^rxhS#CyjB-!wu2pY`GZ*>l0hk7 zHAE1Zf-<%Q&!TRY#C^4QOjjXsQrVTN9`)AU^<_{I-uq0G-+?frNWMH5xLkf3n9O`1 zM+RGxH=61``Z$AKjdbDs0yjggS*7OHyA8VT9Le~YQhxh&Z|rC2k0tJP6NxJ0j-9Yf z9+SR-y2;$54>9xk@i9G!w`1^TJbjp7<jye<wMZuRSeH+9Hjr>5HyL`@>#`Ezfluf~ zb42>OkUMv_-*q4Jar(y>6RkJ3XM-V!IhFV0Tg_`QOaCU6wklD3F*GvM7-v#>eSA~6 z!dj?6<;4)Mpq~4+-^5AIo@a4;C!~vI@`%)w^H_>k;q!w*vIP^w4_=CqG6GEW!C${3 zR*J#a2(#y+!ARU`P=btb<yvb<(P#%259m)m(u#FfTZvGl!kL6CoyvY9jY)h!meqMq zT2%3cmp72rXEE#UODS9FQ;DbZPY7{3Nf#JrIh~fn^E{o_)h5OrNQ3-$3t^Fuu~k=Z z?^y-05!p??u&+YP3CGZW?A>=?>HA{)gTdwYx%aF(fg4U3iS~R>4=lY?1C!=W@V!2s zhv-g+CAxRKXRV%Ne<^=GUsyNh{A?xS58IgzCzR_x&OX^^$4u;Ahp)2)ih&!o!@Dqx zC==4=;0F)D<C_sRSb}-?E3Di3@VWZ3y6N$-)gOney!`y2i|Sct9NBU@`g?k)12uYU z;pI2lm4#1;q4)7fT(ckI_$v`s{!D$3F&=l`Q!vEk4`qcHXnZ7N<%{GpkCeC{Wb|1_ zY&GI#3TZ`?^2gZ_Rvm9OUuo{uh<-+SF9v4O3EM&y*<Q<Vl_w`TPSGKSh)hN+Q41~f zPbYpuVP9<>)E~yMN=>>3^W{)xYA?Un5P#8d6KZB7tom`*^gQCqg1m7s>FLn3I2_CH zA+$v6#y+*rKc3%^ekdVhp;2|i6bYnn43d~^x+podQ`yvxsHf+2)!3}FG@id?Qo%1< zJ3pRPOeWx$8ZU#k)co*PnR8QDelGGjBd73<ye5C-&S&(U#Z6wJ;)jwJ7G@R#*!O}d z@7+Ul(aXWIk#{6}|A5miT0y}`O~J|UHD#!z1kY<q%#iy=k0~Ew2R{h<#;$<ADoWiQ z*dpP7q%`}4H8lBaGFhUejdOI7($G};pVAyGvgH@L)VxHc^rnBYKg1F6T=WX~uN>UP z6?`MW(G~JGXRYevI`@c4kr(JXQhWr#jn>FZdS<`dQ{<wL{i}dR<T9V5<{Q^zm*6Bu zG-&K=f%oPdU4JTfS^M6(pxJ*S&%sx;;A(>{M(P^4Ime`M^xnpb<)K;K9`MU*>8qxF z_$7X?tbL-<{ccQ1Cf}-VX4>;amg2n8<F4b+z6FzVOF(-zG0K$T5?4ci;~<JsR&6ai zFEZuu(t9YsP?Y@VugG7XFCLMH*LaE>YCj8Yq`(Z%`Ltvwsf1iyaxL#xX4PhY?1tS? z${#I066}w!sc-~4QIM+YEWgkF;mv)W;udrZa(!G~;nuN>nzA6sw?ynG1;|p_PxpK~ zU%89@4VT8Y_So#ye4q4lNC>l=&eieI4PR=9lTUdq{~+tQQF+Y2VvV2)C(kYGHHK{` zX1nm^v)}j&*j{fj`(AGT7SX^{{<iMRE=g`OPx+e4+}h4Vm1k)fV+pV=o=_|sq9RH* zqQlHFn|R&5opH>Fzp|3hd1-th;(M?v3_)isIS+nx-<5JrjIP3>n9hJU9-Xx>jD@#f zLTcxc;3ES)%F0Hk>|vj=zxelgS419e9_Wp;Is0bO#CIzh!aC@mzIkEgIPj!?ee~N$ z6_zLb6p%2cstsFr;v$7?e8K^=zV$0{PututBqH)}P<$!jFFdqq((i9?Ug<^Iz7gwL zy>w#BYtuG5<Z*wH&>K=@UKzt>gPO(7Bq)+7<iyEWeqLQs_%QnUar%ugeY&yA!NgwD zN*KZ31!IK1<nUvy4EM>O_@vg1-UCrs<HFLFq9JPNdxWtYbMD=RvByuYWZpykFtRX_ z_oY?UIJB<>_0m(#P%Gk!J`XaU9>4|9s07j6BN`0vVQOKmG6;$9U@&Q7y{l^2w?wE# z*8VBRlE^4W4WI@cGFNsDG9|2+MVzUaasyo>k<Z9$7g_Ak%{#JOYxKnDzu!y5^*F9- zx_T;xg!1eL5V6{;`PcJFZ_PcxrKtCQj3Z^CWq?!2!`l-~zaZSIU{qU)+ui(Y?)e;3 z#L7}bV71m-NLBP#^Haa~7G5roUdl-yrFtUUe`-FUV@i!`$!uGEu9l`5j25-tdHB+r z|EE@=gB-rEhUH4*_?Q81{KxCT<ivGOE7~Dqrw7v`tn|W43j&T^>hyXI+q+-6vp)p= zV(kr?^)CE-MqsoW9}t!t{0f)WB};W)u2k>R))&&1PiaTakoHpS*W%s(L)SS3ivk2$ z_SyK)wr$(CZQHhO+qP}nw)LN_`7<5e9W&9hs8ww$BXW_rb*?=DtJJ|M9Bl`L3H;sL zN}VJ(5GZrP_Q3?#877PH`2E<Y-eMFv)|2QAqAc-+#ab(;em7woNO3{IUX|!>!)~Ak zpveBjv1J>?(<&mvnLyFqb2bHt?lF1C5@Y|_oLRS8<O_@z^*l*!D%;W!xnr9T5{8bW zquzXRf>Q};RW(Cg5P5?eE-Vqw<S8n?XgB5nc(#Z`G~8AcVzG$m?ODzBHG5KJZ6XRj z-=8AqZ9Y*bTWdJMuN$?_*!oyYtcZRFd>&wx>G1`abX$-raEO>CZPx)6(c`l2H7tan z*m&Cl9$}qNUjczr%&i|9duNk-6A<p$;t2X<fR3+T5)kxDy~Y!d3&xeeSr`<XF6Yqv zNof`sit*m@OIw(OTap)gFy#7$Oj59l7z;+jm><z`SKX2N2y`Xc<(x}p{Lo+Y8dLkh zG4SIfL^u**PDlw0Hq_jhnfO0k+i-Hse$XLYat0|RH94zWAX$3o25YuNkS>Zu1VSzG zcS)1Y;*f&V{bQuPXjdrEsQ0P9DdjL~^nw9VS1P&@kEFYx?5IJ_!_@}zrQ?P$f8k*M z7S|9MB6b6exC8WLi~`MNko5|Ue%Po*e^G?8qFb_dLkw$*_z@6&7$!6lcsktoJD;|$ zpd)hNyVaGeI`}urJj**<L=Ng^$si?8ik8vS)Vfr}-!|b}XEo<IwD7y$8TS1S%xfcS zMyvmwub+Sv|L0t7ttD}5y|XGP$!y;w*Cl{4=28@2a92={qdz;wrE(zo&OpNxkjyhq zAAL53ztQbxYw0}3djvH73Do%*Q_^i+jL&`fU<T9S^6Z=|g}wsSo+=?5&|pr~3&H4w zckZ$<B95L=HUa6ljM81w<{mX_$nJjd<(HH5V^W=1yC2*q>BmSufxI_vF$h&DqVa-& z`Gf_@Vj_Jq^gBtZmW1)nU2+FBNKJa#Pp~xUY2r9}Yi}ej1)4gb{3}v$=S1E!wK?gC zwTfvWk`k9FS`L^;oR2XFU{)NsApp7hSjp#w{~({-D!Wm>VdF?ekcJF?s05Qau8$T0 z0yL0`i-}Nl>lzFJn{Xf}{o#J<l4Udx-PJocQ5N#)x$N-AS<V53_F3}pVbJ!`JS?jd zYT&&U5J$S8vA5RDaY@p=xpQbwXfJdYcg_V26=UxQQVo|TOXM8a&kEV7pu?jTocLkf zvgLl(;(7w?9r-hT^P1h?dzMn?e|(Z`vdGJbWoKCbpWV@oRdj?F)@{SLq1v4KG8cxn zOaj2#GUhU5O4B{#gIs%Y=gv6odu%kAiUi`*A`wUsVG(;O<=}}Sn95@;E|m#n-A5;& z1OydPXWVB~B-j<2EfJVWB;b;Q=YS6Ys?wk<tOCelA8Hn1{~Q^p0MD<=Y;AZiJXxC@ zFye%LCGsE$ia#aD9;f^&{OcX75(&`r@OQ~v;?6|F;F<LU<bY|S@Ka{B@J8vzM2RF= zKRUS6;`+D>Ab(^i3()Z9)xEskxsORSgCpLMNl?KYa$5-n(EdIJXv2>vnMLHD{~?#T zeDvCWgxo9zly44Tr5Tj6j<KFGm$<lIl)(V6YML|9PUXQHm&}*pEyW_LXY&wF$btO= zO=5X+3C{va9OWjN$-!4xf=2_O6-`FvX=BG7U=3btwe_}9&)u0TmGxEu0ze~`M!!yS zZl`qEF#o_D91NGp#!9UvbY|tQ8-&b1?}|fZf7wFj-a|%3(e%?v9s=^*@8S#q*Q8^e z{dM8#OT8;;KXLXRW(Sd>hfk@I*Txe#^hu^^()fp~{S50C*K20|f&|9ePYj;pBMsFS zaOn=FBVc?_&($zXi9HNi$4fU7JLYT1zC&Css9OOF@sM4`y4`bbS$WR>EkGxhOxZ?6 zV#oZ0iA}PhO=_;t!%d=qR?xpe7iB>i>f?Jm3pBn(FD-ibf^UZ(hTwtd%}NFJzP?Q8 z=`ttKD4m83QZ;UBysTy{lB2oDx!qQ*K}m|>mOrK)xPCxbpfH6`<YkhRr#n67v2@N; z@mG#-3*<XE1}e6^aSj<<w|RIs0_z@Rj?Muf`k{94OW?Z#3@A}1z#|AklqwyP(G!-v zI*KycB$ma9VYV`RYA)4L75`U(yn$OX*qohOFaJ8XH=i}dTS;Euo)=xzf*!Rpo`49Q zlg^H7B4#lE0Tqhv!z&VK=AAlp#N2F-$)5UpZfX!|jf{z-MUtvZjH%v}cfJpC(rJnt z8&LgfAbR^Fk21NGt&q3P@A2PMCcI14vTSBXMu_R(>PJ7{Fa$b;ue2E~$cji6H;^q| z=YbJbnk)p<v_5fA08cm~s42x}^x(l61JfID+JEADSQ4RhTgA8~qwwo*?Vq9Lo}p48 zQ6#-5Vz7Z^(b!KDNjMA?ZA4umhoG+;zWSm;QWMD6gW=t#6x76Sx-PYg*<&P~xEOp~ zwaMT`(Tl5X!jiFa+Jp{N2`;Qta7}9{t(`ahHGlXUoKoDenhkO>IFn;`+l8l?Ki2%k z;Uj?+?uI-R6NuH1F?1HOl^Gxi3PpFq+PHG+!-fPd8)g+~5<F*OQTu>!S+EULP%6NZ z>4B2yh67PSW;GjcwT?Vzmc~tr1!zet(fA%y!XxJG+pPuUd?4|QEE(Va(Bh4c3TR~Y zZ*yT_hC#;>`BtXcjG=W05Rf1PV8b!}8=V1gptFeYM>G8v1~(wp9tYSU>2S)EhxW%m zg^((Y{^|_)ET#_#l$6v07Nmfowboq^aSFOnHn`IJxhbL;<rW}`nH)w$4+Sxq#;b(u zFo6QtPfSbmsSnSh_htYOJ_?Th2mD8Vds$4{pyvLZ+4As-P6Lcko8?$0GFK|zmAEyk zepJAP{lNHBch25a@QCY60W07y#>7=Y@W&ekBfx%~&5ZymuK*d@65)e7LWecB-SGfM zog$`TgaQn?3Sw6(`|8xxz{)Xr+zXa_*EUj$@gun!&Un9E^~dP08Cp^~2ozZ3k8)B} zmLG99#?04f>K5^RyKl-^8xnKq2)-CGQD>AWZMI1PkB(G3<3OnYOoa)A@2r(WPXYWh zOp*rv4tGb<HAtQZT7?NbCZyg)%BXWA1H|G|h0FgE9BvhSEAua10n$tKWXV|r*xl{t z>wiJRoLf<TzVqAsv15MLKzx<ge;XT}#B*y;Ips%5Y^m{*^!+kXiL?hKX+jb<_;$2j zlO#6Y5P#75o4{nqBm|kXAgOL^a6R@Qpti8DEM9O*xI1solVhR5oDb7dl4JQs{llhg z^AMYnKGNOZ&!GbY4rb>ss7qaXf)X+u6dZX5GI}JkUE7PBUP9}I1%6i~*Ky@!9Qh?f zsxM^X?*|0ZO8DlS_N1ly7}AghgJkfPk~EATpz{il$*if%0E*f*?2E4{<rb#o%z9;< ze)-x6NmJZq4tZx|12B>z-bOq6a;%suu+RwV<QRmGH8x6)-*I;L*9c+$(sR2@g}iF* zT);Rk(J`B86_u;)HIYkdJnl3U!1?S?)Gg$tZ5xzhR_Uf9(2R*8FT+v~EunGLQ}?0< zN=0ANg<W|ZZ$p*+REa-`?2gD-GMjcV`z+1T7dYQIn$UBj?R4dFlr9q(2#a2Ed|y}g zYFd}mW5Bk~4J|))H`<WW@gtf&%BIL2`ul#sR|qPheIYMjd=c~7c8|G3MBo1E&~)cF z$#IKM59O^3N80j<AOdUUC4^6V1*X}vwE`%EnvGwZ#L%{FcW)^0cs*)a#vXc0^6$+c zVuQq_vINPzv+))QnH2+?E{e9sN=Hr|<=#dlBb6LOACroiYVGCrWW}U)>EX7ot&)l? zd~5>QQLezE<(1S&d1h+wNp4t`&6To2lqQWra@XcVFQv~y6$zA0?O-Q{Q1hqAHQeoP zf+dt@cWH3J>E`<a!;MCvNe3gl_p(9-njIzLD|BuxBO5Lc_mlUofAQSB48*5SOlnD* z$9xHYubPw})gdhl4@ey%peLspcj033opToX;*78q?KHFP()Yx}W+c#3xy67`IvJ_> zP?T}YnD5|`aV5*rMvrp@@>f<FY%+B2Dv+ef`ahd$$?1O6kpT7RdE~{>j*pK}YDA^U zln5K|f_>vBxqKN>c1!|Fs@S{n;C;0D1!H1E%6Yzg1z-^4a2`7DYImXE>q5Dl%sk=~ z$;GG=!(A@fCrRXcp8VqU>%Wwan5_tAOY5&+wX!Lv1NmgQHWGbe4gHA*c>Jnu3g2(y z&1aGo*59#3EUQff2rs0&8>*=@@o5UC?m6PF4Djf7WX$G%)M)grZYIGLAyJf|!oTtH zyB2D&nSJJlsTJn-KFQF#(VKNC8!$leHVasO^CRE_@56N{#eIG2MT;oY#ETjfhe$VI z*UCg@63yw~jit7<>^%*DfDVdFV(XXtG+9DgtDP3*NXap4kZaN%t%c?-3h?*e<C6Se z5>FuI`^O7#o3m!85hj2dGTxW@55rJo3Hp>XxC}r6(4`$0=l~dR6PkS0(i#PB!#U4D zGnw5D^+_i?<UF-Q{p)Dg-)%rl&5!Xwgyq5@g?XffSvK?N9Z7uEy4+1E_6#)*^=Kjx z)E<@4f(t?{`-eCFG9m7)DCm{IEOR0jV;JH{2)S_8mV$FprnBO(0Pa(7k1_^e1P4Nn zm56;6tV>KHKq@ZAE(WG+4~nz8lA#nb^~3-8cSS8jp88W5u>s|<#j+vk_9Fe4y2~H0 z(zE|&)yX?I?3{qNe|S2UigW+?KMj8Y-a!vWGzj&vs*R73n)h*a@K5n`qt#D`0<Yk5 zet!1<E!)tQ+~EzDf>=+O-j50EEuDlCcDcU+^<s}=1h6UI#}PrfEJ`OzCU$b3U8GnR zK1MBq0q07`?l1tAD~418D<2ig#pa~y5{a6|ZLok@eb@Q3brOgUFeWBCpNhR0gGGY_ zr53(66UV@_kLHshkkV-_`qvQNf5D#jY?ak8Sk~O*uk&mG*fjF;;)p@C6OZfaA`02T zgF(RX*JDSjNfO;HlWir*#<5gagneSZGEw%K+x@>~6Y6;=F*wtQyhmdoC!AN!K_6}l zDmR`gwRhRx`kUhkW>BpWn$tI(kc4xK0TF=&ts{+74del%!DjXfgZT2Svt(S%d}i+k z0)2|LBCA*bA+Y)v8xi*-VeZU((=LC;SHtY@f@)uo%TWtg@jT=%9~OCd2N&p`o9Gw{ zMBF79bf=xOp8tKiZL?yRXc!fG6e`I&9d_-xPSO>SVA01w;x0o+tv+)l1|0>@%y_tH zw7-XKrF%I1y4%bwG(^-nn5yUAbtiB__$U+g@G2lI-sLmmt3uWDQ*mYE1b1fJtJ5>V z%?{<flG_dPiSA#?MH=bo9M6*FWYFT`?@g@7eop~bst+}W?+rQwQP0Zd^BUl*`L<4! z=JtV)^DBu_>lHoSOE($+jyYNJWX_|IXv`!Yr9h(oATumnscVsp9_dT_ShTMZd;F-j z&^Wq*_T0oRkD|cwp2~R}fgq{TS567v0=w8utPADUJ4-yIXfIik4hN$-KL8k^Up0Mk z&>QyF<gYOWE*A^!*Ys}+y{_@^I8ANU)aSV!ZPc>}PQg7XtW5_e2?T~8L2JZ**SPDH zD@}TLXqKFr`UKKHj}$jQziGEunI03%KmV!Sg!n(&O;nsEo8f;tPHw>ek9O0-*2wX{ zw3{}v0=9$vFx{^xy=od8SnB|4gvzwAg-_TT1I4OrSQZ-mmseTIrD&H4HWtiGF9KY! zHad=no=an%SefKG!Na~spIa(~8H1YaQpztMBNCtWnhw^yPn7(i`0WJ)a7PI5x21fe zoa;AL4?aHYs&)LK)au11qRAT!M}A&#D$A<CpKNn8Wb8+&Bh+P}r-=kHe$s(yyLh*R z%<L)LM1$C~5n3MmWYKb(!HTlp_ZDo%;@f(Hi?aF#+i?M=uR%dS7g+xp|9@y#)8^;( z6`=tD{`W!tpR}uv#s>ct{{NP)>TA-7Xaan=Vxx=QC9;E0bI~*ExssPqYGBL84xP~x z_jw<$bRtyrr}SVLV?nWH5C+=Y=tcFxZ}&h7i2W}7?!XpMhRa5Z7l=#nw=DD##v|AO z+5s8^`nZb7!<&D`k8<X|J@il7wad-)JPe9sept_$kiTu<3R{7=q6g3>OHW>*wc7M; z9TA`A{S%A0>h?$-=HBd370QV>Cpv`1js`Y*x2|c+J1BdYx0pk0?*fnbPv(1CtRP36 z3<h{|Z-wO_mKPG*d!eC>!IGdWLy9CasH87VRREg+w&5A5;$9uIYN%4ABZg&;Fcg2o z#JK3IlPFfEN){(i2tPc*(L_ukl``$Joo?8Qz+d}w=Y^Tobg@x6jN+&_gKqZ%ql~Ga zsTh!uI=l|f^vFp;p9icQopf;3U0W=S(u2=|F4ILlh-0Xh#oj8VaqrSW_NeKewGBO; z>nO(Qmf+97q&-M!uFSkKzchx%aR=jLt6!+e>1)s=2*KxhgMO%dtUxzygsN57ckxs| z5KP`m_eln`cBM-uqmR5JZ}*m3v{eVT1RMB{B*GH}O|iK;nO*ws_rXo|GGxq>B}S;U z@v3aqP&5k#P*LN;Q-{3)_aPP9ue$hXIh9;BvahDd2D>xB!qrV!t&u=Lqk8hv%%F5> z8D>(|n$weq*--7bV4O?<StxgZUALRx;_76H@dM6d4e@kiMS<%EFDA1Cz`p8a7;jBU z?c$`;VMlS7<cZ2PDetp2+v@Ve%P93uH&0nT=2(r?xAI1`ZPn<p8trpKjdk7B{KBps zM!2}ovQ=-Se!d_E>7T!D=!QlTkuT-~<9Hb2BrP9A_%`qH8+9W^9)NwkTjL#lbPM7~ zjRB*0wALNA8d`Au^2%R#hq~)MQ0pOs*zg(Z<`S)eBLfXky6!$~{PfDpd!<Bx1XWVQ z(5AphTZ9I3Z$+sK2+(MgXCPS2awB!kFPM43=AqzN&>ayjMHb|lePU3?Zq7M(+t66G zldlFS{JT$V^=Exhw#i*gfqZJ0Wu!$Tk9r}+d@x?GKa~G`ac8@S>j1l4;1&62ep<<Z zu8j<0`zvsiqo-aWh2v4lJ~vS<Nn|J3Wc`UIbu+m!8~+7K!}9k9<R8mlyVu!k#6t!g z4b!Dp4mmLZ^W^gd?LoOkI2SIz`x?;KNhoV(M(u5&<XqihZ4O3co;-_KbRi`(N&P~f zgtjeZ1?zCVW+RnYPPdJ<VbP}NwT3ar;&O&=s-b<+g7=)p0d=Cj--@4ntebReakXZq z3+byg@TzpTaV3lXbF!J^0or`rL9$<Le>T?aH7_`}_x4kr8z&BMa%c<60~xBHpY6Ht z^uhMTTEcf<!jzR{$>VXk+ij@QWRp8^YYuI<CrObwRr<_YEcOA$eb19**N1DYzDo+@ zK{=;eGTZ=jg|4r<o8ucfN%h1#w^LQeb_h8Fbf^x94#-LJCTa|*@1l(MMxvW38<Q*d z;JZ>bw`hM-^nG1~yUC^PGn2lqi6oNvKGvX(c+5B`j^88Pt<RX}{RZ^aCr7S-hrkZ8 z`z%RM)n=q#P&fGT$%d=06dh<#GJ0P{U69CLsHr;gq^8t;UqwG<ErX=(F9GT;D^-j{ zWH)M%)E$&$E|XRM3N!y5B>OKu@dGK@UJAEZ@d~rN2l$hMuqJF!Mz97*iW`67its)+ z+XESqpW_40B%S;nGW)N;Z&R`zKVC?rrf}v&*)(={aI%^I19bWLfEYc$+t@cq0vm@5 z)c{qxMxquB1TWx3$cB%R>$O&uVs1ct3tU&GZ0QK%6sLN{P^}$LtL2$n>3~pv%dwEB zJ*o5>gT$rcT8FOB8_Ui5rRGkEj`ErdMcw_rFkb6-Cb#~C6-T=Ym^=uONdDAKyJt>~ ztsBmy6<7O8g_DhIRoZIhRcFGQt^H?e$;|00XI^J5kq4|;D+zB%w`mYlN2khkpK1`W z46RCAB*7gfZ0*!bi4z#&hfmHQ@+XV{Vf=X_!FO`#JF@cH<@RHa9&Q&3Znv`&sR<`@ zXsI)#KZ=$xUDdMPfPuW_g3gkdA;}n+2#}e?65v3Pe}aJwI<IM-5%Cw%!wk^H7?6Xo z87BEn!pEhF84)Lvi(vsqP#xGAlU+`^TuEIg^!h7;LR9FGl$Dkl(v*#s5nnTsA_45p z@DUKyRlT#Q4Wx$?fgyOaUD&ETFJU=}Lj?4nic0fF5Me#u&LY*bX^k6{LEH$-Cr8dW za(^WdrN~Y)bny4}QBKp~oZ5LQo&VZ)DE2ExGoNqg`DxH_NrXb8ks!(KCst2q+)&;z ziM!9GG7o8No_i<8)u#yjX$ZSr(v3w+H@LE#k{V)oBuIZEjACN`&VBca?Jk9791I#^ zB*jta$7m{p5^us-ZT74)Zd_OxiSptxY^sny@vk|+RDE?x69(ZaMRU$)O&179%U>iG z@q3HFJS_^okk;mQ7Bm?&i|Arkx6B_!dUF?jiQ}bBRJ;>3%<l&XX^`E`K*lb51%oZj z?3U{XnpT=D;+*=YL0OW>D^2O<n*%ZB*4V?JM_q%w?D%#0>&J+&07`o8Z44o|nzP?u zedA7S0MoZ-Q$5nrTHy750XbiRMg}Fw=C2NMu2f_7z{Jf7hz2~}V`jMTR|z6@4u(H5 z{gX}pm(MiZ0<}2UK&r>F9ZqVBNb@67ZmJCbNE(j)kzq$T*QN()5G!lh6zyw+103tp z*92np;&m0PvJRwx#Y-m0wIcXS$gHJdja^)YQ9>PG;9z6#<Bu)a=<t5}QAO?Z)|`?b z5U5FPW|iOQkLIMJK)P+R4?dQDKivE3f#c^DeA!=Gao?=Wv@EVEb|z)NnUD?tuVzEl zb|5GMR8D|&wu$x|XGgE(T#1r&TYn^n)8s(J>0sUFc1m^e*_i`S;Nw`8(br$d0f)_J zkMRR2qMxUIP%!5h2`mTP+79GE{Z`Lm)foOMoN;1n;Vp*;FsqMJf8<u#Jz!SaVgzEk zZP0?#1e;<Qkw5g##@aV#D3{N5YtE8M+CR{d2<W~^QeWv?0Em_a;j<6u;0r>;Z!};Z z%;HYLfhTr#c<}ky*627z@!i{XheuqRqsn?u&1sy<+zR)CM)L@!(5k)g4-R+3b`eBN zD7}&Xx@1&5{`|o~M(GE6MUC6Pk!mQm?BohUXP5e2Q8JhlMFW2e#+0*2IaaXKgyGVx z?n`69b6y?MkLq1TU*xSJDS|2lO@h`r{dGv_X+2cwCr%b--d8=ez`)@%l9jj>W5+-o zRR<>9u5P}NXq0<+sIf@^-z-21M^PUl$Lm<zWPJz#6AAqgnRRZU5Gg9f^CkI<1zD)V zytc<7%6}#X2LEA|Z1GH~?&X!%uaZyq`6_EtNe(bAX=lyGLNcwTF%uXuP+^YWl}9;m z+rnTy46)9n{*ZNYy;)0H*r*q0*5{UB&leuW`ds%8S5*R(Cp4n}oS8ccXPuMiZ%^Z& z7w?@@AeE?j_KK>S0zN7VGL=QEzSJRRqwHaUj-_Xvy%w*I_(@sj2L%jR$|v0n^1gC% zLXx*cMynI*r|_ruWhLcWV`$$283|Pc-(e<NB*Jw}9%&*<NoWssPON<JXqO3mPcn!W z8Q+t6B~Ps#mE&~8jv<EWGtQc;Nee(La~^7>(t>xqMc%$u6ekDKgE+y-@F;GMA~Dk; zGwZ*IycB5!{$wsBDjKlUp*#AM!?FekkUW#q3t$F0bgic%5O!maJgEgo-`I*NBnt8k zhqU`@kPsqSfYVsl4;xMnTPG2P79kJD?!vK1uu19*FlCD0AySy|V&SLL$aUVcRgb;% zJhlM-XEFXC&4@=B`%X3x000ma0074SP>k#SE5>Opo$PG?t0KQi){)zyM-IJxM-fXQ z4G`{?{Ak!k;nYV&Hm6DtmOmb~HL|~+c2(qD{_SOlwKNC687Y=P>WD~u<*1X3-Fq{E zf2d-`kBG<YeT;}_SX92#1lNVCnU@o0OmoJ(_<Fts?5?{hRdKs=c@w}mnG5R>G8al? zKu6~v@Fyo^3bavI$pBJ15kfNy4+9w@fi3aJoiG<)2B&QqQjsGfK;K5JNZnbrwFs^E zpJrWcHCr>_yREpu>vxdE2n}6(+L{52E<_F`1~m6SbBoQ)@x-F_B3eq-d%h)*iLN{N zB%Ct1i-p-^-_)NAp%g`ms34ULlIAG$hLYLCRDz}OJ2V`vLLnoJMHnj;M;(HvS8$#L z9!AKbs_Y+BE?^)66vdQDPX`s2BpCRhFwS4>)}E!3XXw%oHEyVXE(ZxiBSU0xn5@mV zqQH$%osAGL30%5rs&xt&9prLNZE{NYz)WM~`h_@|XEz8qTYm>jOJ1@3q`6u_3X3>P zuJIa>E+i&kj7Q%n4I0S`Kuvx>borq%;T@KrGq_5->Tq5H4(;5|UP^A@&}K?5D_7Nz zBKelw4F`lt8=8FoR4Iiv4_-!kQqXp<;8;`WfK6e6zN2K|3t>zTnFw|SdWS8McH?~2 zKIbPPE=H2P16J1v)~FBB)XNtmfn=ExZy4?56z?cy*odQ00|&ETi|G2X?^|9iS@>Ms zdGA_0_pz{F`d+$XA{`As;q%PxgHA*M^SR1mY5v<fKr}g?7Pk*AbaUDz*wzV0<aByt zs%6mj@v`JDO&kt+E{+%+h4Y+t!Mt`@@7RorVrNL6xYne<(~daka>2xl*Z(Wvy~#C3 z#$a~92ODq2riJB6B2s(PiS=QY?ArWYrQo@)^#%5S=k~dX{NpAp0Kha9;Q!ocx*41P zcUtRgC0235`Q+6>qF=}NUE~B;J~EbBmRk1uH5-e!LkKSFPh?c^`nZByTS!!!Ol?2p zefIr)ukHPIBmds<F8|2#we;z}&5^S2y=m=)QYQD_xqV#wNcrt~BT#OxeR%o6l5Hv~ zRn7R&_7;yG-yKd)T?qe{Jpbi!T|-lA7aa1-FI^c?!R*aS_aeDnlMjnbtWA17-_g;Y zfBc(bX!m*Kt9pkk`y<KMLUomjsUkoDJ>YeA@z%BXS!zS8Z0E7(viv#x+k3Q22JwRR z&U@c`w*DIN%Oln|_kGLs<MY=K@5b-YZ;s&(_!m4TFb_W?I;gqJREPxTJ(70-rXnR_ z_u>_E-Pu0P4RcM+aXIl8>sH{Cs-?$hn-sbX7a@bj&bS>^NdFF~E4VwqyjD09?^Wry zz?Vksa@_CcU}ecp#?`Kr@W42)LqeuwlwpP^H#EjuiW`nW{%qT0G*W=c7O@<%HTwYn zaU39OJ=FvG+;fUIGLRL3(6X(X$Y2O%hJi%dV6(VSox@`cB@1jBjisWmp#2}3NRUUE zh?&iDLSHBc+MCo3HnJnTh{&HM1CsuYeDWc-++P7qbBy-5uw&V8nutv3tXBP$annc& z8%=xM8}-Bi>%+mi)u6Q?YsyzvaOqQx0s^mncGj2G(jsCW?&v@PYiiEvZ{!2F<{Mpk z$UKDAvK<HGGRdlIS@+SMS=>%X)0IMtL(|`Cz%FxoL-6T3lDwPuz=lti=Di}uIU>BV zr9y-(w}#O>zs5LO?${176x=hF_wjfC^UGS>LF#_5hgbxDi^q(76zkT15E9leZl(L2 zmSv3kaqb$F3M^h1D{t4p(X(zM82=b_CN;EC0mfJd;?EQ#fTc};rxWAAjnLVo7Glsq z5C7<bnsR3E!oGw*QuiYch7^@&)uh75h{A~VZ2;7l;<dLs>#BO&72RD)R8vTflVo~` zg%OZZ{<P3$O}MW%(mDEHnI5B~ud&$akQdkLVmCq2amy&N)`v$!okuRIIr6Zy-C07a z^J39HH@;SNKJ^U&LUjw*K}g<2Wjl8UvIq%YT(hg6`-K`Q>0?asEHZachY@iw8eFBY zbE(xZcvr^LcWd9Z&p1I#=$*i|rnf;bXS6;L?T9KPfyC=rkB2@o<%PhrL;wJkB){c4 zi1?>9<TK;E!k%N)2UQQO-EE4Ooin=O09!lP-}0C_&{i*<Oa;jwcR`*6q&|jvw#r7Z zfkvlq={&LqI-^+&8FA>6y3xI}R78NF_H~H$0O6#m{#g?aKjj4~wn+R2z~$veY84B= zQgNRJ+5wqCs}h^*o<!g1{@?$YnIk%FnMrH*jQ)EC(c|o9_t!T^(zf#YUyPVIt8_;T zraz2~Sl4=^Ygmkx0NPa@4Hc&4fGyK8cj@v2>_V;1^s}k?AgTcf-aQpAB*jCV+b}}w z(=3E_m!X#KypSy;2My)U%=b_!t+cuaNzDh^H<DJ-Mo!~rje?6aC`!@rI$o>}mp_*G zX^EP<{N=^jY=0`N&S9%Xk)Od1t(RR+W@nFjxk4hXp=pgYF-@l{Lqj*3YH}EU*N;0* z7Y$x^4>GxD10No(IH59%<`;&r!&pDh!ij71F2r(Jto#4e9bq`x=*r2L-+N}l`sm6r z5#4nb;<>EW0}^$Y_EmtArqlPNc&!s~x93)WY=%pR{9-jwSQ!#Y0&{MJH?wut=KR!< zYXRWIWiFKFQLq{{RpI%5M=UsiuUDJcK0}G6jTo!V@(yMwh|a`bPo?+J&yw}Qy&^p9 zf<F938}mUT0!bcq<4`PGEx^Je>m6P%M;NJaBC$i;299C8_KuIroS_C27(ykwn?kUc z;$r$R-5&Mm3*^Syts9Pqyj`g2`%XMM_*UKE6|%}cV(Q+Y_X^#n%+uS(S#;RoR6M$Z zkb0%^3D?}WyX4B?72f3%IQ>4<9MngCG-Vl0@;`$SHIsZ8vX!aJo|1WPuc@{h%NdaF z^Vi0vV~QG>6O)TVs9rwWUZlKLv&TC7hI+7e??V(K?G|ebQPGAok8$!2Wy3O^i`P1N zZ2y3|&*9G1i5GGfW1DkJc8E4@|Au?`Rf`cU5^^x3Z=}Ybvy9dVAGkDUiqJRtIZIXj z7swX-ty;X<&}Rxd<H2_|=l=f_33VPg&c30#jf-2YtGDdi*E-!@W)-~NJ_<$-sAIsw zMPBMV=+7=fEMRNF$ycU-1XVlIlX;{lpxxnM6#|bF#2WB?r6KFVx78c;0zA|Gywfj_ zrxyR&pNx(UYbWd69I$CT6fqLvS8iJm21hCD)!6MLZxE-NsFPW(&A(d=hA@xR%nrr{ zt2_6YxE#=rXT1$R?+Hn#r*zRvb7l{85stM2?==04#-9#8c|SjB&1O1o=s5pAsslyP zx{n;^WVLA1BoR!X&xu=?TT)qCWo|@jDF?@-td2r}^lEvY+8ZJF2w@|fW75t$cH1WJ zjnW0}pfB8|wnCr9^|h6aiX+{EMeWePa3_24o9^{H+-;<@#S<&dVWkuExSL3Ct@|*K zhEJu<cKoGg`96f*n{e+5#wRICqd&LzfQ*5QN}ESNvI}4nAg@pTuC!+nt)7}k@9Ccc zGAm0eoIfH$q55*kd^6LoDZ6uJel7i3WU#%|INacfFypahaoE45wFk51`f&va<17*Z z#*V<OI$4eT%S{!l&hi7a5#IowUGWXt=)C=dT~r*n=^|xKgsyi{;!HwOx_o4kC*`d) zc2tePLYeQux@&Rf&*pg@XrE62W{yx)PTFJ+s#9TfqD0j{a%JgtoqVrxSQ<S~%4AYq z>dt|2Ln6C5#9GaEU4YbK{->;8sOQ}a!Nd;L<5}>;phgjofUPv2fHMjc(`2qWQZxhE zS()7ovC4*JVT@^SfVU^GxVD(Y7*)ABcqTP4RbKLPAu}YEep3zB#gSjMYl41H%0Q6G zNm~_}Nz7D^&MA6znNiGKiz>HE4D|zKO@wdASb)e$x%G)*jLhQ5pX-@Vcr8l^7xuES zi^$GjRSN}4n3S1eCXq%&n#oiGerZ}m4LFu$c4tAX7FecQ&{$hEnE;=u3?8tk7_lZ& zQq)|R%A_A{PmAM>Wza|%YY0YTX_#Is5EZ#jST19vG+w}q5~8Rj-pnZ4+2HEH?v)*; z1yqEFD7lTccY~-3qB~!<#?uy~qPyjYi|d$X6$LvlMR(m)v4;);OZl(Vbg9ZGVozJu zdfK{8)e1b-$)?ED5{<bhxY5VWwX#MF(Cj-ZU<c?kl=ztz$aSkSwSKN0Lg8ItW)wF| zzpR6{G^N+wcmZS&99}?k!Jt8CwS`qWjQ~UC)dWM+Ix?fVJZKTP9c;P&7k)h`N;H$P z6zGxlhT=f7hBXw1bQRDx=2YCGr?mXRV$K!h;`<BH>{#!MAM=zRk@(o<nmREmR|(N$ zWJ_+I2*)805cImbyIe0Rx1hoUr*w>hl@qfmzy28l)eQ?woqC2nb<aZFP*F=aSbtAm z*d9VFtdL`ABsnq%)nUSVma#F)!ukP&O9vqqV^o~`qBC^hGIlEcIfJ@j(TE=86xGWr z<onhPTwA!|xDM=Xg$LJj-Pi^NVnm%-^_cE~`^d_%G!NB7^JC5MBCn%O?2AS`dS+vx z4`V==h5>o4l0|(|U0ely5|OGYi5ML{BMx5I(X39QlY=K*Ooe=r2tYI@I?l~#1Y4~L zoXPo?Io#>ZmV08uyNxm87<@VO?&t~@<G8n=#8}g(dEhq?p$jNPI!pyW{~0I=cKz-T zgqGcOJ|?B2^}qdEDRT?`P*H6#2B8pVy1}EWGQH4h)}c)+v%h7G#+>N(0m`RX__7PW zK4UQmU=9Wi#JZ=^cmV^;J{R1btWx#G!hA+~0;+sPl_6jnfeAG}b5Sg)caK02R6)$s zy|Ew~5%r}dy4h$f*r*0#x?g`w9-G3m>GeI&zDx>eG)OvAzb9k@>nn-0D>8n;V;~%} zDFEdEdEIPq!C-8DRRB1g%PAj|Vc6~5wfa9oDVsGRPn&}~CS{Ag`P&s&P|?TK5$?zW z%d%1Lx5p0Gs`yVV7pW2WB$!FKnfM)f{rjn+N27EEm0Ss(+)9cYmtVgN4u&08-Cvld zl-<`=Z5EX*C@ep@T&$Q#f{xo7kB)yEj$su<1RW+bY0o_^rlfd=`$`}5)01#Y@157x zZtmK9QbF|N&*6`I4TO-DXqO^?$=uxDHdC?-2;_wW>uyz3sORbVzSs8oeZ{JaZAJLN zK;H9!F&6Av9eg=hgU&UYvl@^=Og*v)#JKn|&6t<m!`p(4ZxmPfe^Q^{yPIY{oWr6H ze?KekiIH?3y4!ISg=6Hsm?8KsY=t9Q_ZM^jNbJ3y1w$7>9R(NFa=s)&g%>%T2)x+> zT}RrIvonT#opq0sv{<8)1ZH3<_GO0kagbh_x_cQ#?6Y&6Tn5gCM9LDk%*Jz~-86gH zovyCLIJt5D7??Y?5|XFtGQbb_tHv9|IAQi#_sDS95pS|=V3~8Da(14YvS)1jxWd@Z zF>k3$ukp5IUj(L<;$x1AHAM#)JlvCIB1}w(N!4^2o8!D9Rg4vu%QA!Gil$gFxl@ZZ z`t0xI-n@Hw`C=ZR%}76E{<c`WV?84kj&Pm|J%&$C2rx1I!lBQ-n-a<@`P@pUiy!F! zyD3xv1BL6y1OU*$0suh$9|j(@=5EF&|J@e4WPr+JbGN;s(fzF*+1Y8K?ro>-OcsgK zqOhfb8|e{ua3hbha&U8_kXjI&T6^u?%klWj^-7wQZR|K}$CzZy==6inLTpS30B{Et ze{yX2)x(Ct@UI^o7Xks8|5YuAAAX@}t7}T?#f1X6*jF5%l`_*Vi%WyxwuR^@4p0p> z44oxGifA*hU+==$_@GP;D;SQx$*~ab!&>z_V<2&9?(<c0%IkMfa=6^q9oiZVQGG-< zvz3?P`jy5d6T-;e)^8MEMSwx3F2w`;!eu;dIFfF{P{K?<x!`;tIU&>$kvU9RL;cMc zTh%9IRzJ7XJ=orbIUPb<dLx7|Xh}AmAZ$p{?euu);<H^sZd!Nmv*1DtyF>5aI-{&8 z3!z*Giz9^UvS)rS9_$S`A!K~0g0fkEbc9B&)d@dDzJqHA%Qb$m0}n+-b(nuN!Wi8X zv~4Zd3x+~a%_`c7n7`?om`#iEXonCz*{JR)^Wpkx$=HIhS>@MQ72c$!_4XA*9g9xG zCNt8nfF<KO!>Mw`QN<t=kdlKt;a4GQ?A<IDc~w0wy(Dt$C2NC=hi78tZT)NX-V<7h zL!euV%EuyMP%y&JwHofz>a_6Ko_*akZGE&KGXhy-EG*D&OBd=apeIuhbKnYoV>Hh{ zPiAAHr3t+rO^0}X+u#5w|NGk@(|I=0g#-*>gz+z3{2>4up6lD38upJuKll*<-2H#4 zXZ9Ulc@{!rNQ86=*}(h|wUlZ~w2DAGlIM_~BW?Q?(!7=j!FbS0QjXay%-UjOFf?)K z)dp>d`|$<r-{hmETqPt){~$uO(|UV!!XLtUzB~Eg0=PDc^DTwVh(~fnj#6L0yJbo2 zD9*;%{>DarZ6xUUth+m3(LdH&B7C0n9)PngM%{tqOWi_zJSvgpNcLqBc5^BM=xE4p z%WS}ozPhvCJ1h{s-qf#WbnIhZh;C-L7om+1ufLwJ;R439%Ec$TUS)~Rx>r+SyvTdE z){Wm5P;5@(6HsQbPTPTM71H@eC6*3y5H0th@`2CQ-lR0vYjpQz>?+NROH46mSqqoK zOxS4EFYn9RL<*10jDTswG67xqj3X*4`yM0_RDNG>M=1szBn3}tqt8qCRo;+Ue*UGg zFXJYDo42_%UN;w$-`ccTC(9{`F>j>!fV{^c95wF%n04Yf$O`N>$KI0F`^XFOI`egt zaR&Xlw$tw+B5)BlXUjB{aevJNu7is0>WV9wD!$GP<12hU&J)$Ul*HNb?=|0{JXu2D zO)kSFlh%M>^qDz(!Zfc%CccsIWXtWVb;5R)zeo7}?zA$d%fBp)dOa|&w+eGOL)Aju zXs%?@AHnpQe}MIY!cy&>&F0^I+a_DA7oe9A+@q@WxAE(!ew~|lsv+;dnLvqhN0^a6 zZk_`KFA#j`US<cPTO1C=`&iPl=x4rpMQ=v;DuPmHUpA*S)*8nn8FY}sC|aY^lM<!P zZ+oW-7iOm7HIk48iE_Y55Sj5exPy<=F~u|fn$|bXCM=F)Jk94Lq3OF4DOYH|8hUST ze;AhaZYouPvtrZc-v08_scu2E)ZOd9!TX)=@QTnHcRq87(jI6v&l^_M7eEt0lZdIW zD7&tUIek@33}_$Zh0PEk7Ax8EtU7Bwn|5(F2s@h0)u+uInlwFmMhgF+B~lTdL~*0< zF3P(}VGqFzB8@&iZus}(p4{ED9#zBhfqY;{ZJd|ggzMMh-Eb}N`)zVdg<S4YJ&@xY zj%r@%^D-vi5RtB@b@3WOu2)*zM3OSLqOv*wZWi%U@%KE-8Gvj?h1hi=;}d0z2~Tw? zWml0Y5#d@eUR~7$7s?$}WylK>mX;(P2PH-<a3n6f!&@st71+;e%x6un^Z{J2>5Ph= zjAn|0u1yVFISEL}rl&D`ce47IG+up|Hr~Bd!80R#rHJ14#An(|Dg+kgI15EIP0xf@ zPGaee`Mm_(QOf-3>5o-yK&x{kZvs18BuH6;Gfe|4ufw>oqPY6+dC(JS)7W8@n0_vD z^p3q}SQW%U32)c6fq2pPD1`<D>}xz-Ap~eu*(&`t+=dE@D1simcRl4jvtvm?*8LN) zDK~n*`(^zfBw*WT3{b;OZby#TzM(o!{!ABiCY<$drVwe2iaIx{6^6ORx-2fbr+SPd zVZ@h%SUO$57Vvx<^*%ZdbNkpE>%*>Wn|oO_T~Od__;xz<&E-|nfhu`CuT9AM!pZ>> z9s#{D%@@ZmP1YSxiF7T_*+1&u_l~NyNJ+JxJ;T5oXUDggo1kvC3SKbw&M?*FcE?VJ z%LSpWW3aI|PhV1YFS2JpY(7VHJ{E}EfX^HS@8CWbsM`XkPKGVIh$pGJYug@7J`oVd zcN_(OK|j6pW+|O3zx{O=ubA7DNDpWNzn5suKDS0kR1*-cZG_^IJ-m2`AxKi1+VISl z1xEgC$$zY=oO_gNY#9oTdu^D9J7Nb2@QOJ=i_s!S^w;OeXf3dtqq*hISM8@>ldZK@ zl(3Ex+BG}l=De$g>jBb|*(Qj{U$<H|kF)b#w-Ppso2gv4N;Zp^$Syx~6xYv&>{Arr zs#yvK>157SHFp}Ugq_Hi7B<~oFqZ2P8E}ct#_25r^N++AjgI+G%HOy`D6|Lr3IGbY zqQ>QBqel}GT!#j-e?yUF3zY-l!S3#H#7L3F`qzu!J#*qhXEZ1Mymh00mB&PAv@H3& zNZMr?e%hT~L`>_N(M6<R8cg~Y4d`pq;`$9fhyj*Ryn-?}yarUre3U#PjT+(X2_@)P znMxmb<ZeJ%RbN&eVzYW1K_Lf|`}@M1U^td2R9Z`^SSL{Z9>6t#)oGwA!Gl&^yDS&< zjv03f4s#0zzv={dKT$7S5?0jBFUg?cY@<wa3Ca^M1psV-Pn|48Bc50A7XE11^BTu) zYQZ{zqTb+BGVlFOnjm4<4{0it3$HIYPpC?mc`FZBn&Q|w&AHFyu=5<-a|7iiDvTlm z4A}vqV9U*;AVU@V)t--4x<v{fV*W6&E(u-j5o{!~;{s;P2|{$!uvdu)GSx%o{fAp1 zTvMi#Z{|nB76TwBwmf8B>pv)*8%$_ruqN3K);qT)jI}}GjSAqgV6uUw=ruCJEUD)i z-*+{~s03si6cbR7*5vU=E%hxI4eF`Ao<OsK4IYHr8RC~0Fiiw-?A6b?3M}Jy&+*3S z&3BZh=%NcmDyh7==Y{)ozQ_QQnA&1cLUZ=1J!xQeO%H;ZfS2fZJEeIoaWHFq-q?ro zY}Uujy$jYJ_lO9`zGX0(ecbsyQE^QuPIC*dOhIesoim;)fMr6pal#>~W{ua`8|)fU zR^-7%oTdo;?VMhaok~+Xh$~<mVqF$MadFHFX?;LIqN$;6N=oYgXXo3UuVRCtl<t*; z7%zz9Nf0OgsTFJoG<hZ6K;;IMyk)w=l)6f(f~B%@5KoZ&j3Z2RW}KsL6jf167YkpB zZ3K-lW_=<T1tRGsV4e|w+|fLnjW*VNx$EY{96Fn%j)@(ICcqusj{P#^L}wCtrAUVV zDV?b5`t9LD{_<U%!n$rh4iWt@b#t(ExiJxa#h^;mB80uWC)!UScU43j=Vs@)Jx*@F zCb)ob!92$qF;DpsJhd?K!<GMeTdtcej;Z(QGkvn`$GoH^+W6CY3s7kV7er+sj-@nN zot(DlPh|2d;U@_)DV#+b#m{obEM)HcNDS9OVkt300oB$m3fj}nl?54{(8aD9N*O;r zZ*B!Zcd=CP<l%q|dkmCqK<AbP&t1!#%%R05j!-IJtQ!t!d!H7YeOTT;{3TtUzK+(4 z)({&fgddc7%bd}Aj-`qg7~3E)@xB${9Vva;d*tGNSK1Gsm~iNDa-VRTPO5eef_}1X zSVDhOyG^DjivFp@PpHC9@GDMO=%X_J5Hu<EoLNSyt0Gm@%jb+6Y$IksBOlcc`jml@ z!>8B~{|sY{0o97RrfY*>wm3J2m753s>Q(>Ex4j?Z`&pR6leSpkyxi9K>iNO8BZ<Sc z7*1nPpHy)G>5IlnJF+E`C@EYn3k%iV+TQ#E#7Op+pLJnyhfl>_R75AtuRIB7jY=~Z zY`%#TVF%=2>LGZIwb#l7MdNO?Rg<TK1(R;G1#(<zk*Jm1(56v~T|{CMT*^2lix)rX z65)~Etn->K(%v!ZmR8^zw9M<VrSRTH82xhsu}rqRpC3T}&By+6qBCo*?4~t1bVCOb zxBYl_?_B<a6kmDJjM_Wctl#e{p(i1=vBMEdI0U2%G+3**D_`|8`0Uc8K{*HMXqvmk z5)?L;x1+Grr$GqXvXoE(MO?#_Hrl($UE|H!hC!yvY@K%H_B-&s+57mi2tBJ+%P_NB zO2<S)6Uf?L_R;%5$9RibL4G3?Kn2IUzt%lq(?)2IN+LE(AFNSc+j3wp!c$DL&dBiC zt$+9)%7dThijfd0@_2W0cMbU^d>xEA&DG^fp<Ywn&<K`YK{r$!o(3Zt_Zw>io;A3` zM$ak|lLoU>>1|8{1z0Pj_92B@3)C`gXHBXm9MgjGC7!Z{_P+{zNpTti;q{@~stC=z z0}3qEv#wLp!r$g^<G{k5QXdk$Zc9F8bSsQ&VRI+ELaK-a>fBr&z0@KHQzj$_!(`Gm z&X0__fu1fh=FQJEPoIjPze*kC7fUJPY9bj$>!aC{KT-zerWg|@Ave(iX(;PPT#s|! zSgi9%A15&~Xf5=#ct$(Go0GG=S7N$1-pauO(xEawY%Z}c#Z5)+PYl`_8YagT$hi;a z5@TdYOl9(%3TfU|Hu6ufwgcA_om4DW6NIq6CL#v0F}BMa+FMpT+Ap5$j}so)WvoP* zCGwWzg8YhT`lQk9)oB>|9LO0ob0cXIja;BmxSh9XNu*<?zeL+y9A2QV#QwIXrpz~^ zZ0^g+X~r5ZHP%im6;SvOHu`v@*&aY|iiGLh1DR=iyfOR{Dv7`Ef~7?g=U_?@bQuq~ zh2_`aiw%*fSD&h{ROPV8%z84CpfP$-f$Go7l;D;8L>6Q5vrfyIpq_*pV%Co^!So`f zW<Qi;@p9T?a+ug)f{601I;;)Qz$Ds9d}WyuLcg>~tQJFJb<7)JE*R1QuIi1|cXzM- zBt@hBg@j|dZ(umoR<w5euoJa)9CL|)1J%aX^M;m1%)Qq3jBTMaJ8mR<fZ<DpqHe^D z9WR+Y?d8CxH<YK&p2T`uhszL;DHlwU74pNiy<(9sY>Zm%j^Eh-dV8OeAyDu*5JDjm z@8?-}5N_HLB@DQ2JQ<=l6YIWtn#haQl(|s+Q``i2wd9MM&a&lYCw(kr8HpfKunV$> z>r5K@O#Z5cm4m>Puz&BL7<S$zqXSPmQ<xc9Z-HqU)~ew=5v&xJRF95D8Hs@0k;+!Y zq=w=}<(z}I@uZQ2zcw8ldfj__^ja>j1d0NDP5Bz%w9a;c`2Lj4@P;^wHmZ)u@^b9K zugukZsiSwLLPtA<2!S`!#lK9?7|k$#=_P4Zo9r33Gnd{#c>D}5;ZU@Yn|*1AJ_It( zqbE77sG%fS8Zm}{Sm>974=j{1nX@nl*Hqg2&mCcK8m8&F`&dFfDc+*O-0hq0vivEb znu4tBQV1oZJ=vA{&&c%piJp+q9}x#c)6nBx>1sIb8qAfsBIh5g?p;NWB2ht^qGK=A z%T>AJWQsR3QH%z^*9kvs+V$Dm8En_;<-Y8$SG3T428oy0z0RcLJlQPoUq?lEK5rAG zzZT4U1BkMtLo6E-3-1VMq-v>u*emzZ{CYI*-UTMEm<V;)*Ck9a5r1M5dp1SZ-xBTk zE{=jP8+eyEX<c5|40-<%P9GN4nQCyP$Fk5V00V`cgM8_E8O#80YY?$XbPEZ!oFKFn zmd{Y1w|osV+|6iOmFU>L>3*KbM`(0d#$9x<xPpHb&A>kEtPsq}+d2vhWVcb^3q|ev zL9`@;cU#gIGlj-dQ3!@N8U+UnxHV4V&u;%2BvrSdVpeSm3a))STIjOgJf-KVShRW( zTQ1`1DzA9XbR#3iPQ5nvoNWxF74=cf(Nz?9f24ASQ-(q@5};O?V`fBLt2I@s3<!M5 zzJbj##_=KFHKF5exttI@n3EUrf5$K!P1BATyutXo;uz<@(UbqWJOE#!R@9ldH%tvW z$h=#VErpYLetWNH*yf5S55XNeswHEhM_6lwVgjE*m_J0fx)`4?dILA&I+E-D(O0#S z)i#WbI;(0V*1m#<X4w6m{=VmFC6*0&kXOw`EUu`{eQcfVVnl8k#rWsMHI)UyX;WD< zH1EjEV};7m?pN5Vt7qWmOAtO4j&c;sok>hA>W`>YhdP4VJKq(Ja}>uNsZaNE6fY6E z|DBNsK?WuOCe+FmAO85i3On;~s2VShk8QG*6vn=9G3J$}BH1HbGj>V#W$cr&jBHU! z$PyyEkPymF*(vcN%2Ki$%9_YzYkt=|@B93knrh}b&olqr&wS50_ufD5J>TaXh8}u< zW*3wjvu$_#^U!nA8;nsd*o0O{x9Zx_`(|Sn;<uUPpMVoZJ1iI1FL;SDu6|SNdbJmS zwhP}H1v=zj&3T%(ulAXN1pKvV#+<Z?KcaYm{B3=Y(#0)UoQL}{=wdHsSb%#Z-dQ;# z>Tw#^r$T?KENIv6TqZ8qkdjZ|IH9j4ST*HnQNU7l){WXF1965#7TEkYQ}4v=h2%hL z(Z4=gbqS|zM6-VM;hLIXV=MD(^+^#i(Wq>s=oeVhgjWW4+n}wcc|Yi*Ju2i8@GApw z@|4pXrqZK9<~8{1f%$6w{t`t``yO?$rZ+CI%Oq+|xM;>A6qKeXkH1+t;@~j*(BJl> zo|gS^wZYZlhW6Bz01no2BRQ|KyFLL3_9vr>x}|-O*t{~vC$b`*ULa?e#DA4kY`l3- zj?513B9+{ML04Oi@CIMr^98FK+&He>Se-1thcyf_czNDC%T@Q9xcX?aHT#o-3fD&A z7tOfS0T?*92a65%Y?~;qQ#_kF%`}3?#p@)GHZD0G<8QZds{*X3SFajF_3ZV<>|M)_ zN38XcCDx@rPcgkl@TtcKq7o9D>bOrP2Y$hxr)plR!cegI;0#b-T4Xpq|L%*`Wqh9z z9xk7AqDx&Q_fp}|+Ava|e_Ja|QaUr!AtQw@->OfM?aIYcV{M6pY(_Fy>c*(0*X^gX zWXC<)#2!GBLZHfhvsbWSBd!dUo+#nxr)i=2W8K0unhG<6HKT<&F%}*%oU%3DbWx5@ zv<H}D42)b49BVqwSxi?plg_OM!HvA`;hLJx#tpV99faI1&yH%PxXZ>@EB5k>ULF;0 z7j?V6T5-;5IBfj7qI&tM>ZZvzP8&{+6^gGk2cjfqd1?9>hQ!`#;a;y^c_bZ7XYleN zz7?$f0$kj7r?KuLRwJfl?HyRwLl$h~hue8%+K^!@6H=4wNX<O-QLka;Q0$AL^8&d~ zi-KbK0?|G=t${mo9r{L|^3{}{^d(cS6$OJ^NfUy93l-ctyy)!B8dU6t#3WjMpx5)6 z>e@OkRPgQ1%y|zl!E9^yI7v$85lp2NL~K;D>!!S~nO=U96FBlr`=%*AZs^?!yh~Hi zz|)kKok|U!dVTd#c9G(Wv)6axw_953>*_S7Vm!E^JFnVC8FJH|d&Smfqw$42ig|Y; zmJIWiGj00erQCz7?WGs$-i>o71u1(=)N+CE+wmDT>Aj4u&!P8Ws%k~`zI_<jplf~; zRU=o@pQdnXFgL*E^XVfI!&sH-%Q|&Qin5I;IJGhQ#>v^1*>G2wZ-|CMv~4+u+0+C4 zh2BgFp=f0&N8@2}|4HWf*V<AJKAI+ROOXk?SYU_d(jr)+hWsWKAAUSJ`v!aT`g3Z} zO|2+sI>Y-|t!FbeGZFO1S@QhU&M^iR2YgyfV$ZIi?xDGv1r=I<yZylP*n;I8PZl4U zty)p=*Us3o0xpZOEjy12o!XKhdIfP^Ta%u&83?}-{abR4gZd3u^<tgTx3ac;8Ds*X ze4fjtp9bV5qTSp(XlXYEJ9Ri=?%J*bzPA`pgjiZ0%^yrJ7+dyf%--t@ba%Ye=)=Je z%7$<7Y?-@84C3#Waml=kJT~1yw`;Rzp6XjGG&P=WFCS)?cZ143rO~3IK1o%=A6hkw z)v_DT88jL3LvQAMjrSDaTPu-8OYp%;=s)_8(G9Qqr6p}XyF5}BJzppqk_F9a03I&q zAh)xIeZ_^E8~ZNqhLy2WZ}0d~MR;3oq`}MKAyF{xZ*h6<(jm5T>h=#B`kl!;mQt5O z`vbg(CB6brzN0TOM(ui|!TRIcwTprDXSUPjs>aMC9LOMXGS}?5X%D1IHC0PF!V=a) zJ$qsrDn>#kwmI3COV_Gc8kxg9vM+hpiFEVTh>S+MArH5-=<XIhv@DMio$p^hA+#Z- z@VIlND*bP#jdus(J?r-67S=R0Me?osht;vtskwi#(f2pR<b4vp?d@&Eqr;-j)WPM> zVw-p_(>~=(>`iu#s~deavKd@XX%Wqu6Q&iEwTVsTvO`(VZK2D-y(75K_pJh8*=1K! zb8OjHu?u@oIw#$BlU`sMJ9tqgZOz#D;)Sc!2Acw+#(q_BHMh_=D6t0JQ>`+E`M7n3 zGe=Ibq;Uv98SM_y8RQJ{aWJdaBTabBD-$3VubG`cNGF8#Aor+@d=i{)g<}j?J0Gqv ztuZQjY0?|e$6ERwHT|X$43DkJ?t!Sh*J4&nRNxG2Gr7y_TygPHQ^E&?#b1m1{_`y? z31}1bW1bEg>4u8ZI}J)<boT_2oAPQakL!4OLg{zrSJ0o%+?L8}8a#CEa(FU@X;52< zSmk86<&Nv)4V8f6%A~mQ=bh7%h6CGoVt1#+)T|k#5~9XEiXObZDy495dGh(NhUWcZ z$XvV0-VWt5Yzz-GK^%#lc1oaf3L2o;4J=0SFQ&L4!ejC7;2rfZeuby9aQCYC)4cLx z<k{FM3*G(dJ_UwtcGi|f^-xBPgT)}zNw*V|ny~A_L%Z+SGxe2Ss3X+R;gg?T-?Q@D zS!F_SelF`*Y8T!JiPFQn;CsGKRK<iOhe(^_Q$xgf@N8R3R%1#6%jfWnTeyQ^Zx9H4 zRpdrZ^<I@VE$AvobN4Fm!dwCJgTrEO=`xhRY-WCPwM)cl>Au9A8?UFRFm6btUBf6A z&do3OLEsA>gwP=)XQJ3&96^}(W#5TJ+W_;vmNX#H0T3`8348z_A}B9(|ChZT3Wjla zGd7|jERNV;9`Pf=WqoZ30vz%N2nZ1&7U2H4h{6i(?PCk`{Vj1>yL?+5pq&7YXD1@g zQv8yLwEw+Kb)mjj^*~CsK>P>YPcbhPK}7<JvhlKU|6}evf_~0s0OSy$miJ$!1gH*} z1k@RU^hd){-Y_o*l$(wJZ;K$0nlk6127$_i{;wDK7eMa<DYS!)mzzHfv%loyH@z|> z+fx!^0f9QF_N|uRcUzm`XT5*K9m7(0r~sla0d4uOrUZ>efa;%GZ|iB}W&cO$Q1@M_ z3VIM|jA#FaEI}iorcjZep)fSk2IcUJqzV4gyujw_Q2@9f|0PWTa>|eZqU>#dfg@Zo zV`g0WC0roTLm-Y4>620+fx`fk@+3P#5chE#x)1;)xgW&h*sMs<(B6O*mL!fKF2fiX z!VEO{Fc8GJJ~t9Lhy8(Pk~o681)WKp98jzMAnpavH4->`N6a6J$7-A-zzD#Av+W09 z5-Fgao9i!u1cfj^srocmGSGeyCp<$6==BHOTs(vODM}D%KZp~qTP6GHjD~r5xOt#| zQ9(jOA}1Y|?F7j|g8Xzp0AU*>fc6f)zXTEzCf@Y({pHciO8K)w!rmW}EhY#gcApb? zgljlbl?0FAMJLH4=p%M>f3GhR7;*UVo&fvD+f5QjkVouC`Cc9b{xj}7cgk;=#6E!U znd|yLGhzR@0)B%do*?;u#>=79)+BKM&XW)UseoHL2*e6}6AyquQ~{JA80f4F9N}z^ sa>1N6kinRF`bwTKwN-(;nx520XkM0fL?U&>Z844rXQY~$jpv#F0lJ{DbpQYW diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt index eed11a9..196e7cf 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpt @@ -1,13 +1,13 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. ---------------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:37:00 2024 +| Date : Thu Nov 28 16:32:36 2024 | Host : hogtest running 64-bit unknown | Command : report_power -file design_1_wrapper_power_routed.rpt -pb design_1_wrapper_power_summary_routed.pb -rpx design_1_wrapper_power_routed.rpx | Design : design_1_wrapper -| Device : xc7z010iclg225-1L +| Device : xc7a200tsbg484-1 | Design State : routed -| Grade : industrial +| Grade : commercial | Process : typical | Characterization : Production ---------------------------------------------------------------------------------------------------------------------------------------------------------------------- @@ -30,14 +30,14 @@ Table of Contents ---------- +--------------------------+--------------+ -| Total On-Chip Power (W) | 0.222 | +| Total On-Chip Power (W) | 0.297 | | Design Power Budget (W) | Unspecified* | | Power Budget Margin (W) | NA | -| Dynamic (W) | 0.143 | -| Device Static (W) | 0.079 | -| Effective TJA (C/W) | 11.5 | -| Max Ambient (C) | 97.4 | -| Junction Temperature (C) | 27.6 | +| Dynamic (W) | 0.161 | +| Device Static (W) | 0.135 | +| Effective TJA (C/W) | 3.3 | +| Max Ambient (C) | 84.0 | +| Junction Temperature (C) | 26.0 | | Confidence Level | Medium | | Setting File | --- | | Simulation Activity File | --- | @@ -52,16 +52,16 @@ Table of Contents +----------------+-----------+----------+-----------+-----------------+ | On-Chip | Power (W) | Used | Available | Utilization (%) | +----------------+-----------+----------+-----------+-----------------+ -| Clocks | 0.002 | 5 | --- | --- | +| Clocks | 0.004 | 5 | --- | --- | | Slice Logic | <0.001 | 27 | --- | --- | -| LUT as Logic | <0.001 | 6 | 17600 | 0.03 | -| Register | <0.001 | 11 | 35200 | 0.03 | +| LUT as Logic | <0.001 | 6 | 133800 | <0.01 | +| Register | <0.001 | 11 | 267600 | <0.01 | | Others | 0.000 | 7 | --- | --- | | Signals | <0.001 | 15 | --- | --- | -| PLL | 0.121 | 1 | 2 | 50.00 | -| I/O | 0.019 | 12 | 54 | 22.22 | -| Static Power | 0.079 | | | | -| Total | 0.222 | | | | +| PLL | 0.122 | 1 | 10 | 10.00 | +| I/O | 0.034 | 12 | 285 | 4.21 | +| Static Power | 0.135 | | | | +| Total | 0.297 | | | | +----------------+-----------+----------+-----------+-----------------+ @@ -71,26 +71,19 @@ Table of Contents +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ | Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A) | Margin (A) | +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ -| Vccint | 0.950 | 0.018 | 0.015 | 0.002 | NA | Unspecified | NA | -| Vccaux | 1.800 | 0.067 | 0.062 | 0.005 | NA | Unspecified | NA | +| Vccint | 1.000 | 0.049 | 0.018 | 0.031 | NA | Unspecified | NA | +| Vccaux | 1.800 | 0.092 | 0.062 | 0.031 | NA | Unspecified | NA | | Vcco33 | 3.300 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco18 | 1.800 | 0.010 | 0.009 | 0.001 | NA | Unspecified | NA | +| Vcco25 | 2.500 | 0.018 | 0.013 | 0.005 | NA | Unspecified | NA | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccbram | 0.950 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | NA | Unspecified | NA | | MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | | MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| MGTVccaux | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccpint | 1.000 | 0.012 | 0.000 | 0.012 | NA | Unspecified | NA | -| Vccpaux | 1.800 | 0.009 | 0.000 | 0.009 | NA | Unspecified | NA | -| Vccpll | 1.800 | 0.003 | 0.000 | 0.003 | NA | Unspecified | NA | -| Vcco_ddr | 1.500 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco_mio0 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vcco_mio1 | 1.800 | 0.000 | 0.000 | 0.000 | NA | Unspecified | NA | -| Vccadc | 1.800 | 0.018 | 0.000 | 0.018 | NA | Unspecified | NA | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | NA | Unspecified | NA | +-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+ @@ -116,16 +109,16 @@ Table of Contents 2.1 Environment --------------- -+-----------------------+------------------------+ -| Ambient Temp (C) | 25.0 | -| ThetaJA (C/W) | 11.5 | -| Airflow (LFM) | 250 | -| Heat Sink | none | -| ThetaSA (C/W) | 0.0 | -| Board Selection | medium (10"x10") | -| # of Board Layers | 8to11 (8 to 11 Layers) | -| Board Temperature (C) | 25.0 | -+-----------------------+------------------------+ ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 3.3 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ 2.2 Clock Constraints @@ -149,12 +142,12 @@ Table of Contents +--------------------+-----------+ | Name | Power (W) | +--------------------+-----------+ -| design_1_wrapper | 0.143 | -| design_1_i | 0.123 | -| clk_wiz_0 | 0.122 | -| inst | 0.122 | -| reg_decalage_0 | 0.002 | -| inst | 0.002 | +| design_1_wrapper | 0.161 | +| design_1_i | 0.127 | +| clk_wiz_0 | 0.123 | +| inst | 0.123 | +| reg_decalage_0 | 0.004 | +| inst | 0.004 | +--------------------+-----------+ diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_routed.rpx index d1f974cccd203d006091f36bfdd94cccad5a8174..0c946a14257ad268cb4bcfc2f54a0c845dc25b6d 100644 GIT binary patch delta 2827 zcma)7du&tZ6~EV?eYw6k<l5jki)ZXOF-d?pwy&@6^|hVY;gMJKCTs$Vn<j>3kSFOt z+d6|fp$R%fbV^6*BiV*l`T`-htm-O3gjTV3Qh+I=(palVh;{vgsM6L=Z0+`a*Cw`c z(B^-9zVrC~&iT$c-@S8!o<2^WEVCZjLQx!L;s+B4hW3ucz43z+{bOT^iLzhlr^F2w ziaOk2J3jDL*j0D_RJfMHKM@~cT<3sJ9XmD6n$Aw#54+l<Pe;;Ld{nK|G&{A>YT|DF zGUVFg=*q<6BlK&_VTNXD8IGV}YKFGZX_{80QE?H5G!Q;Qk}iiu%~9ym6%(Xzj+r2h z>ze5k@SaYxoIAyq(hi0*acoaw@6dtC!~}o+bskv8UCfnI4l`%qP)l7W|FQ-)Gb8o| z#PxCfp#iDi=kZJ)cyg~__Sbq%+`#DYaAINrZZfrrYsUSJ;?0ka$7D9jnvT;&BsVif zU5nZ7u;&_GW4GsGwGAcPq9R4jMCJQ*qh&ZTIP}!8qq;r)-!(D1XJ~(-rd<#q+C}Y< zxKgiga?~q2s=YqP<fy|draD&KrnQ&8e?S4ZUQphbj><f3BiHWa*)=9Z(M!qukp~(h z`{@d-vj61Y5uU<M6osA4X(xD5FCgp;H}uZx{~2V*L6{4yTzQ&2%KZafY<dfnl@)D? znW|70Ux!^w=PpY;hZ!9)%t&%jH7v=2Xeg|?aga|(%|x+=#u|cmZe{sn!9|P6Ylttg zXs{)4>|&7DV+~KDiLd*QSYyDNXv~=@^RHig_n|ae*7bwg;B1TDZOREJ>9kTGO8iP3 z?s<^T<+EFJ(kb*|a1_RY!$oHJnW4ynv4eamM!1rf0Q|<_NakZbobGZZA&j11c3}pY z#w~T1XER;NnE)-up<qXm(VUN#&EA<@rrEa^>H7Q*s^wX6c^^Nxpi8qyaxUoqDT+)& zE{>7?1Xl&+t5#Pnb>s?Zababd>S5=q^5omM43P(|=2>!6ziz)5;1Ov)iGIY?@9?^E zY9bR?Si!jyp9lG33^YcnB$GBVe>a$!T+@wWJZis(f30e!pMbc(6vp^q@x6`nvZ8-- za-=Wb(%82Z&ewHAbd46#SNnlCdguVm`g&B&{m=V|20k&d&}{5P!n!7M*7zQWclkb4 z`NJ4K2H_9J4)mTO3MYM7F780gd?lRp2(Vz<rrmz`ZxR@db?}|l+tF#yDVSaT4D(VK zD=99xXtKl5nr{_f@BC9hO=xpEp=qg;qpLOIzE{rpl?}wr(7jW7JU)aU>yfwz=GOEu zE25|TX$S^avN=AHx^8|}qw$HbyYzxA21JhaxIOAml?1OON}RT%vtvuvVtQ4>X_|XD z_Ob1o+B<u3aLlm>-uOm~#U~3{x3naP9zS@TO}5j%Lm`FPpBThBEsro?)@l|D0Q`ak zb<Sq=yWgIHq_vdfO6dM$ZLtV);9)wyB*`k>JD%4vbmAlEYHTefLa>leR{3l@551WI z@IL~ecP&Z5Q<ws7BCZ%Yp8XYkwRS1vr|qp~e<2;N2bX8t_001bI^VK%7c4%>|1gyx z1br%1V_*!r%QIAiFjwBT%uRabAfeJ~@90AC18399)6W?q3c@Why$nUJQ3zLD2CJ(d zc2zdOjNJjZU7ab;<)t<FvlLl(jP}FN?RKnP55}r*BK%oMd8*#0k@V#hELL~u@xP>? zf&Kenc*9S1)0^BO1;*+tz`3!D34eAis7Nqg?}UA|X1K6%8}l`LHHc?%yxs=uYHiF1 zv++>Y1DVchVY=D{BQ=9e@{5Z>C8T=(y=H`9$*QLfj;<fpVH}wdt%Z^eWz1i`$0<w3 zvee<)Hd-r)ascMsRSbCnm1+!B1|@YbEG@UVT7&ndaKZcXmU}zOU+lIOBr0#YyH!<o z!CTdHcFEJ{?4ozE1R`hId(?pR!Y4Um%r1Ru-d~cbWl_wlB@p=YGOs}|^XQkL1I8q6 z&TF70uK}Ddv8KZEhcx=9<x7|iRYI*&#RxucARt0AT!t22yQix4Ar~vV@$QHLk7_va z*@9{~m^OH&pf_#Ubb%O}S;^}Tc>Q>ceiXK%o8L}*zo=A#K3svcZ@jBU_lCEkUG`(D zd?nn3p1b~pD!U^C=%em^(AD6B4<d22{^LEWyrVvj*vNn?-@|hK#$GH>p{I7WtGbeC z9L0KSRQYu*pZT;xmFr`>wd3b6iO}8Pg&VQm%sXE{FDf<a!@8}p1zi;ZW*UFYFk5GZ Lv^v$Y$*TP?!VT|- delta 3045 zcma)74NP0t754Krws{!*Ffn!vF2=Eg9b)2V`}zHtfDJ5!pYWRyHEkdnhKz;+A#`Kw z7({gI(l!BhMkK0<bt`G5N=>4;i?)VrX*+G58m)__ZmXJxW@#s_lM<Rv%d}O??tM03 z<3yV!i+jHFo$s7;&-LMl&r%<sq^2zeJ8BgQT47+KJxBWv4Ro<xUmHF+G}JR}`9e3t zm74wjqm|a^lr`jh?)|ZHj{<)<V!{Nqd1gK{@BHwOLr&|*W832{Vq#ax%#C`uLxn7Y zvA6dxmDWMSq~v_(ePcp^n6U|pr{eOp?mW>+l;ax2#2PlZt^5vCO=s?^-QCgMeej7< zq6Nzow<$tvFf!CPFk)0FCMvBaT}^qC05OTc3NH~_X&r7eC-aDjd7BG|`upQ`j@|Kw zl7xs!g!EOk7AG9+ibjWV#>=D#QkjfB-Z$u7sVo^}WiX6t@)k!RIV~}<Y7tyOF6sm< zA>MTPIAx}6YT7_++j<W59UbWzW+p%h9#vV6(yXu<=`0%6ZEI$N@R(}Ab_LNLbna+3 z{|zte?d$G8<nwVJw$VWM4Md+fIx-A*Ri5VM8`D*se)5-LK^xW@zM75iSAmOvJ7QhF z@n)5?a`aln^Y^EP2>1M)7!m$ORjCFB2YPl9T8m;IN9PM8gDh)v3AT|z8_ULyXI!IH zLH>>ieHwq&I2soi%1W-^&S*CnblHyI+}*x{<Z72R7%KnZpW7J)CMgt{WK1Q&vc?FX zYw{5e1fACI`kw+tSODjR4sCI~kSDcwv!0)x7a1+d5rHAihJA_D=QU@fwbU6n^4Wq{ zd4|SBTLc$F%9D|JC`J?*v7VibN4*fn2!)Yk`4d?i8yBy%a*UFsBMc>G{BhrDk;%je zLv%^Z&)>p`4kO`+JyA}@_wKGMhka@%w9xj3-q+cra8lOZrVBiig~Po>kd*Z{^OK~q z(m86(!8&k>wwti7$e3~T<&@;`585`Ks<Xb-`?Z6xa%#)z+1Je#*PIws(gRUp9R<v0 z<r-7fRw}=kWgoxK{J;IPFOnV*nWEfY0dDV4E0=R>{<EaZ`G1;Puam32j=O?Kb$KPL z)3{8=o8DQXdpM;l9<MkvU%oPCMoVt$N6-9~V-OiUiGIM3VzkUqvGy{_c+)#r9={|q zdMq?Vx+Jfx_tc`8c)f<Jdi>0;KrnkJe3ZQ#wV$hpH*&mG5T=<9^zvXGv6S3?7|U+g zk6aT4Df7!C1ADsas`l)FG5sENVaNj!uL!-{>cN|%LR0NqNeDct&x9PN2|Z>mknJfZ z6NYk{Q0?K3*cPBTw;4V1REF%+``SpX+Q827k_TFA+~6|oMYFXJ!!4f+UNN-ls~09X zIdx4u^$o5`OSzO>>FYNGaNFlVHC@B7pKI0-uxaTRa3F6R)d_DD8{vas5EeIN#tv=> zDwV7NbNOdYLV%;S-ZHQJkxy(e5DY+<sfbV}OlM7gHn5K3Wd)XpVrMDbE+|AdU&w-K zvpG)h1!iM?zUW&Y;q&3-i3qF4Q5KTa{XNk~g8L0GD{1A<Hd@=*QN63VUC!AHlBLcR z@Cj)u0e&^F^}R<c5-QvSRH6k~#UD&l;e6amEodsc3UUfpt3O#t60>O`0Y9EpykYD2 zyF-b@{s$!fskJ^|NEh*W{i`CIA9g21d=C)$^Fwtezc>Bvd2Y27^jo_Io-0aJ9|hXh zU<``ui}#8G*qmF*uyl>5Pf78s_wEPQSpZ?DPX01>TCL!`Tj$GxDmGFAj5=(<7vD>q z_S(lO7Opyqz~tDWI>Nok#lo9{6dC%c<C#_07HD)9BK+?pcG$T{sg)Nec_|1s^+V85 z;?c<_>h3>mSWv;S%}!W!N7akJ-Y7~T%&|iCmVu13%K<@xA{_ApwFPc%X;*)$IwweW znGh|tWRy)#iED&oB`4G;^~WWdV27Zqg(%1b0p4=$Cefngl%plL6<t5`4je1BK{%WN z<8EW@Vrc`V!jF>$+Ebz?%TjV-vISZ_b9fK5z`1RzlwHs!BPsi!nLzj139T}9Z7(#f z+X?luKXos}v9;aMAamCCLr3avXqVl1Kg4eNghV4-0x69g34C~;rO~EZw=mjKd|8ym zI)gVU^!eO-U=tni6`@2e@P3i^!x7Pf>>vGJhHa7~lM9rILQvpY*|YO+S7py11gDlO z<9RR7#Y4Wi_f71mlR?(+=VkV5Sp;;zdHk)BHlfomy(HTwr5)(QUwunH|68g>1xxkv z`5qqEK&TV(2X@1|p&3-)(SrZ_V79yqxsAKzvwM3N>YS~R&zJCdp+khCiW&5ucg-^P zNktcG`y@|3f4#C(b>aE~2fqukpbK}Zm%j8}PIAL%J}1nEt5ufBLI8@zO>i%KK>g|C OXZ*6y9(y`sR{a-57bpY( diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_summary_routed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_power_summary_routed.pb index 9731b00def9d85872b747dc9e934ddf0153f7844..1a18971ee35c84cf12a96d71d691bb05423b87b2 100644 GIT binary patch delta 144 zcmaFH_Ka;pyXCWs)9sG(Y`M;3JC&D#VTI#Up(sODyRE_u3=vMPlG_e8T3?l9U|?`q zD!-!dmE~7?Ae-T+s;64w!~=?=MMYe8Uo99IES!#7g4k27fb5Cik~!zp|FWGL!N4$M wauVZtg@QZh9hWvUo)FA&I@-y2>2$Z_(|*SP|Lf~pr!m@#g*a>uWD;cr07Z{BrT_o{ delta 144 zcmaFH_Ka;pyJdo2vfWW0yLIzyrt&f{Bse}5s?Za%+bYb!(BRZ6Ic?rYtE-Ygw*6B1 z5A}O3zsfTJ!BJJi7LSPs6h+VfUugT)f`LK6>8K@$J=F@xp7<@9Q|07$+o=%@467z5 uF`iejuejs5w3+e4!wF7DI~n<#k2pN-XZ-)azP@!D<Ck^|$IXFEqKp88a5-cE diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_routed.dcp index 34f34d62bb0851da48de07d527e33e0c65381988..87ac018221fe641f3ceb11a7772e0da69773ef6e 100644 GIT binary patch literal 205503 zcmZ^L1C%Yz(q-GWt=qP3+qP}nwr$(SZR@se>$a`w@2#0P^Jcw2R%KP4tgKr5MC^>p z%*ZTxDPRy3000080Hk1V4Q2UZupl4+fOJRz0B`_E0AnM2T6Y_36=hHWVAL3Kv*Be4 zr!0CDfL*6gD(38UAqcYVbB9F0U?a^|!0yB11cBHk{oeqtmwtLU2NF!U9*0t1yMtbD z56#^Ha|gajfw;dUCmOvfXsG57gshCKB|b8lGF9|lV=F2_a55YAbrp-lmeUe=Tdc!3 zD~hrJ?QcUjrM6t_m`+_vHjKQvTWlV37lns7{<Ja6@F#((gB3oa1(Sg1L$BxT>DZOS z`2!Q@Q(B(<ehC0C6J5k$>KuXu?lRK0ouqtOzu2U<c&w2a5m_?+d%&xTT0)sdmm_de zx`iTQ-$ElUK4XDT7oV`-SbY0yjs<-#>8vmVGdOdLgsLok#y;VLK}Ar{T(U9Jv;n7S znx6PwD8iMQ&^mp^VxqqGftlIx)dS(znN;ZdX-&U}Y@`y-JQAH!p$(O($D3<QW!v}t z;dbktD%d1tBj4<fFb)p-6d6|{>va~3Tz=lZ<#6Qm$%lgub2!|qgVuC^V-GossFKb; zO8A6jSF+n9jlP8N&gWMSr~KPa*Js}VWV1-KOJZa|FPizxU_*y)&zw8)%F64vd%)So zI+I$_*PFbj2Pry<+^xWrHa~ENrvAM~VAKP_b&@*9p@JHr_;WMB9Yr(uQy4pjEc4Ex zfn&!A2VxK!t=gKIVK8J313KZjr1UNMEa4&|vstPEk($#~CwjMk-&pWv;~iyJuMODF zWYUp}=B^BJuc~&%z%7sf&3wCl<GP*%R;$@Zcl5~FMM}BV%msO44LuS^F}0KkyhVZD zd2@-qnYOyEh5^HG#~A;r#k^|4Xh?#-R3gOcBeBzt)4*U$i7yq#0oxoQ-=^LO)(c}e z8QvyesWCo((Kh^VZTOmHJNf@0tmXafGCCjtz~WCr|A(+9P8MdidJKAQjt2JjCXRYe z&Mt<uuKy;mPwcc65Cg*S^}AZP5wunx#pfM~=dC<KMTvZ5^!O>55mI7x=eJLiiuv>Y z(Lh$lPS(n`k)_VTQf(wgZ!b4bG*}U0Z?fvKwxO*sJaSFL6gZ$1++`fKm&i9?dIk)y zAyJ$$h*US#9*wEDa^O%+e)fYx1psgR>aM;?4JJ7%75fD-3A9XZVI!DUifZ6_KVShv z!+w0fdCd%zN$aJ+syULE^(Cg(1%qC*4SMD;dYq6kabt4zj9_HmH7Xl7h}Ne-x@$!s zi8Z8}G5|)8Vn&HK&rPb@X_ND2ri;C|!*Px$w=DBg(!?1}ofHI5J;A1Ke01?T9o&1v zb%&-{t<Me+Goy?2t>^oVU2>5aXx6*={1?#wRO*#v;~UkFLRo)20oMPlRCDA1>ll3E z1gr-65kjtCQJt#)fDjvQ9Fj1g3>Y@FKD1uimO9w4!FFM@eD`7(sLnmHPI=uQdpW>_ zSa3=`jtIRyut$gu%|Y-=B=BwyX-|TbN)A-Av8xvdbq!HQ?B5T4mGa>XHLp9+Gz6k2 zF89N@k<5Lf7mKUga;2x4WQf%a9VB<xm{~V?=b}@~kRKR#svjx@uP0sS3yQUv{@T#m zW;PGd2D!1U4&#DA_P^=$hY=t8MgEOw>IZqv23pa|_Dq>P)!+Q3rjKvZpj!*{8(7)2 zt|x#HCeX{?xURN;C{wG0FmApLZQxba|F!e(5wznuRrn&PYC)QUwoN)+NFQN@;*gWC zDcgAYCXyw97kV$%+2?O343uBoH&eSl5%_j%x)_kvyiW}G8`yv9+KiniW%|eej{T(n zs%v`#M+2MxaKeCN0Bqmt*6ykd>>`2${|Ey;+rN$khV;juWBG>le*QrRO{M(w&EdkM z6m|yH!_jqFaQ1%QJ)PtnI_UvJ2{AqR>G{fb_^2?)4Spt|T4P8%^w=pkIgs>|Y}j1} zZ0mtcieD8#4u0(O)W1AHT-}S4l1%~Ib9&n;3pPcH8z{yfctv8_p+y7uUZvFZd%kC@ z&hh@j4+NCku<aHEI6qjb@HeHQqLJ53ivP|4ta(FpBrzKx>59Sken=Fl39p4u4wp^% z7%BcwZ8+jo)oA``0`7->1pkeB7V_pEPIC6nw2mhB)&?H`uGa^VyNytj)Kb#pY7|V2 z^o(;%i%g2*mCEJfl+#lZ3S+ZM5aSdo5VAD#^7Jb7%ngjJO^l85H!hG002OG70OM~* zX}<tKVW+F3W2#}K`x&8Nw1viumCDBf{u3al{hlU11OPxC>HlCZ69Y$U4_bF)qkluI z(cEy{U`O(qRdY6Z8pud=xiv9UeHWHep3>ZkEKYKek*Od+N<>fsK?g9gQhI&90waB8 z%&6STmEd5s<Hu+FbArR)JWoSeL}jswm*`%PA#z}kC?m%7l3Aln_^!u=-IMat;5y$q zvw2E4zc$0aGBRlX#c&!utoUrpnYSo=*s1hq?e3<kC)H$hzbK<QO4lsI&Cz11^S6;o zPAs~{ZN0nlw}$iW+cRxz-rXfOMqJs}-`!cgZJzS(9bg+ri#G#=xa$Ga^i=Nx$&0r# z)k-JJl>#pPTuP<Ln#*sSFNSQmq8GZsdsyFTOC#emv}xkL9<E#^Z3R=LFN7tocSrS_ z@%m}WA+@$TV?R6rruv<H`I5`LXMSFl_4Y4kR@i~aoJ+BVR~;zP$Zq_wfg3J;T@i7! zrdvadk-0Mac8uunmUOTr`T*U&jnO9a^&-8ZtTml=vHS<Wmc_@0rWHT$zVA*<)^j{9 zntFxnuA1Ey7N7}Z1@-bsL0xL$-unzs;71w8!~yHrbU#eE%;Ja4>}<t(l6}7^Nb-45 zs(E-B{8^^m>B~KW4r3yYN#1^bHLs#&Y;g3gZMl<|TPJ9EI$FXpH)b`b^ztcljES7V zeRBb2QyYj#AaAB9hH7T6j5dxxb?$#S3TizH2(~CLxB{iqWOt{EgHk4L8AzcJOyEF< zDb9k|fC(A2_L6Bi=)Y%zE7TCAL-Anuhx27k;l%1_6EOB_bDFcfN|MCAg5es>M(0il zJ|iNM_08J^ltI9xc%4`bIYj7w7n#VE=6U$D7kmvsgAP$(MhBTAjbxYi&@xr$4RthX z5H6-7*%d6pfw*Rk&r9-=b)-%owIGsiQ$JHjbAx^DiId9$&%pKrC4x;(4!MfbSY=MJ zTO1ZSX0Zm#WmF>+n;0BAw&k_PK_Q1Cx`_iS%cqazP$>IKmNvGIaJ{5my1+{5Vs#Y9 zlKi<F@{l}CT3^<>oZbua$7r2UpiT2aPzgyNZj7f6m%b|nx*pQF1}<(hKpG?wpYsPf z00~T=2_*!{3pB^sj23doCqW>+CQOIZ!04#{=8&`_#E3IM*^F5z76ITM*ba4Yck-h= z{LS~k5q_YbDQYkXG=Ahg$edo_CR4PA!VuawbwEE5WX^~$MkpJs0V9D`>~==oJ36^A z@M4Q0!`CS9`1%Ewmp-G2A(lh|8+OW}cL;4t%+HFmxo=^Q98-kiP?*DGCSXhnKxT?! zq&X<TF5@#Vs=zrcJqQsObjC9k#2y3Yc0dsNs>7W}y2n>BrcThY?%;YmFw5VCc-k9Y zo`%#_d>7I*8pADK+4f7+7;Z&a9B8D4or11iv1p>Y+)1UjAo)UYkCQHmCU71DQ8^{( z<seM7t5}WNT|1?~yF#$z6P!Qmcn@V=6DU2ZMqP?g(fLszL59I!w}O@0w|loTIw6E0 z)D<gZlR|3Ty0I({Si8_d5E`5$G+AO&Xbd$YiL*^N`k5iGgE4$2jw8nqFAJJFSUaX6 zWeOpG^rVIpmzZcOC*`}=<X}q=o(GyhO@AgAc_m++5Q<#$kXm)Zz;s3PB(BojUWp76 zyiK<q>AsZRx<|7Bd~(jc;A)^mPp92zINVf{Hl{X%DiXT(cYN9aqdZue;h?<3WDd1J z4T60uhf1r(SGHKL)em0i?UwdUO*F4c#A=l@4(vUI96JzCh6r<!ULms#CC-LI4Tz3; zMFUOcp{TH%RaYvFs(PtX$9h8t6McjtGb|7zh~v{_umCoBY7p(jvVu`{kDR?iF^n_N zo(>tOd<~qm=0SeqIKe)oO8tyNDcW(Z2Fv8E@Sai^nYBfaCd->)+jyyz+e^8Za!6?6 zv}1<w9h+OSp%qRfr2^Hv&1F;<@fS!`!SO6y>h8h7F;dU2Hd)(*S@014yNE)FR>n~f zG%;Zsii_%aASN0{O2Lp7b&L+`Z$ohlp=)zKZp6bz$_L*lSnfHhCKM~LMG`bg+DW$F zTU6CHL^zfnTKmTs8%QVGkd0<@Q-m|LA4`;~9i^>b`Z!Wk#^1h!$QXB%Oy)3l7Aw#1 zHt~#-rXA0YMO`WD9sn6$vq3UmJ?|{aqCvcW_U|4}x=VB!-tmIafjxYNvBFqhFkdMe zbS!E~1VuX*D=^GK^Vz5AsA*TQ*I>l*hLzvcZ+H^f7mx6zaJ5EukKrQj-bEFM=tT@g zmE3gq9k8jaRUA-;CtP&0E1zo`@g#5mt*mhjtD+zb!{I&2IO42LhZ>9=P6>Qql>~V2 zjw_05^Hn0j3id@m@zBE{bCZB#Bm8ZECA?q{0XUhi?a<=i%4x1P5>!H$LMZ-8rWSdF zw7q+Sk%t;7wWXl3L_r!dgD1|FJY$2csHq{O%O*m`gM1~dK>SPMjR;gFaSCyvR?oph zo5x5b{<$+$IypD(L)Bl+wk4(c(;Dup2zCw%tBp*9#D2QuS=vtGmLx*PA_vjjx&}PD zwzU)#K9QVs`3y<~Cj}yXCrFp+*Vl*Kmyy8{<5&LLM*)LvDWD5b9JV$;YZ4oBirEL$ zLM8PZ0@BNn@FR`yH|HRSEcCc$gWi2H;ZT+=Emr@DOou?-#$VU2>34udQrX1)fJdZ$ z7C9J-#MwXl&^{uDaCKxaj6qI4n&xzMPc*-G@;CmvbWs;`|1t>Kha9{%lX5!w_VGB1 zlfNzAQz?G6i4PvPVU|QsTB8r19VoMBjv}Yiqv6=0um~P!_1$|c8gt{jD@Bvj+x|rV z&-O(Z=gXflGynkQGXMaT|Iz^ZkCsJGj-A~Gd+W%~bs0gtj{|x-Ya-5x9Nk~&;m9QB zh65KIv!DTfd+Qd5tW`}Zi-ljuU3!U%)`^K!yg1naQ8@$Y46pZuuQ+&#VH$~}XO<ac zRUhD8c&__@Uymfbx36^@@)qRoM-PW)x5AE;2EVVJa*bdA>bHC(9<JG%y>?HiyV+y? z)s_95_H}E^=kc1*gFS0Dey3xXcr<?gIcfcdt~Flg@$KvO+4q)c&HKLFv?SH3DV>`L z|BV9^I`?{$=68qw?(^2INgBnSOS<-PzaQskOex=*IdVT-M*5!dEuIAb9l<HhI${=g zAMzr0mq@Gj8g~D+Yx=u!ysh|SMTIfL2O~FFK?atKi_7|fe)dp};cFK1J)1gl=`cYe zT5b|`5+8{yYs&b?60c9WA@T=6&m%NzP9s{WO|OA$w5VuV$N2f{S~D$uMzc|8cV`jb zZm&$?b(5>k<5H$)jtp6JyiMAz_9OGJL_wJ?&up2)-^kZ$jWQxfA6|@4d>?F*WDDT0 zpM4GE<Uf9o$0O<Y=(l0gFS|FZqT!w6$z&d~okTIR-fV0gZ!0oi%Ug?ABO!Ska*Fau zpEpXl?8@ELGj7^4y|tDPh5{`39Lq&ZceX4!rza-_SK7gaBzOC5vmqW=Ul$&zcLnz7 z)uZ->u1?#Q7xWq~&@5ixVX{o(veduQuVo%ca!2qFwv1T~ZjI1pe2icCeIwp4^={pO z_easM+g`Iq*S+_3$H;$>-_IJJcC4DOIa;u)hFqXbw{*i|RFgzlWsY}V;#2sL@Mn5X zsLh^#{+T0#VV?|M-ck9-$jKgN*Y|+uc%GjuTDK!>PPJ)Y!(A7?U-wtU&bA+Zv&Qx1 zte*jOEfebn-Tk3Z!LN{-kn%&0ycG8&k0`QgH%_cvXRb7K!-?OTN9;)}u*jvcNl8AX zk9B#M&dlK+$OpXd7jfUiSZC~YY^;r4EeXKg3AXnS%L*SHa<AzR%?jP$zOy*PHO~Pj zX9!kc7y~lknSLk8yc!sM%=q~X*R8cYg9@@(TI-)KQn;NVSui$NXmWW@+ce%`wgnFT z7`9lTONiY8g&;GLjvhz`Gv>Rjdn+yqEn%@ASnwo&hCfb>7~i+v59p-lfZ1rwZS6N| z2Y5I2*>ucpZ+dltfZGRbvE~+cu{XflcEP%S2`UARw(1zd+tz2%Gqb!bKcU+W!MZMl z9fN6o4Zhn4Z6)Vd47hC~w^-f)uJ0~mk4a9-sMd3CJ_Jjx+&bmb4aiGIiNeHoP^wN{ zf@fx2-;01VGsGYWyT-)yk90=xH(jq+*wvoR-l6e9v87&R>kX#`u!W1&q|e%|EQEa{ z=NZu30?ol7FLiLV&{x4u@tWPDq@s=p7U6DnR<y52+4k!mmSRuyeVpM{S=erT?3JB4 zbxXdq(XjIM;XfQC;6I1v$WD26&<~bkh@Ox!%Hw+3<wJYfcJ`lKUR>XMzs2h(J>?b& zGrLA2^R_HLL{8XG_1>F=F?N8Q9}@BXcHa~(&nTN*2}tGs3MDtEqTmhRCsUZFD0`v^ zu*~EcVg?)&Dlsg3(y1xAeQ?P|8xtZmHv0;onav$klGI>`nwX`>VWY7cc^&gJkjj1n z6C<?<B2gA}8&JbZC3%lD_#o!6A0&`Ze-a>L^RO~KUvp~(_R-ZU!qKO=Vw0b1&5AU1 zVV69C>+0l=P-mW<A03CUmZWs)LRB(v!M-8QnS)LB7Qme?&6@Ecz-=EpIdGA44Q~V) z5g~P96Fjf(Xy51nL_XggPFPv)SIzfZDn;ti3a9?5P%74}@vQf;%*+a4Mny>dT;*)0 zO&yJe?38Z@eRUpr)@R;K_GL{nCE-E+z%RX9a*ir!s3f<Dp~9pwMq|c1rcE)!n#24D zXk2G5zg3e&*|QA%Z8;&A8ot4RTCd6UwY6sow>f~l-g=9YSzM#&tv6hv!qyhJb$fm< z2+yJE31@z^t2}_0K!AynWqL`6VLujLq&L}wczs~mLHlAv7m|odkQNxBPbilpi*AZ$ z7$z$_V&T2JM2+Pm4SU+W3vn{!5cG1&pSeRu$4JY{K(oRM{)MD?x-a>xwYnUgGzeA> z#KH?&kY-h?KJl9OCfkHz#!qR6)5?FnOB2p8(PX41I9vii8KxIxv#}wA5ek5iW`L$K zZFhKu5mbO7;<i_MG9Bbv2$c!0kX2{DRQbsyc7CABgbeu(L!ez`x9C`}T!n|pz>|Mv zXrs`nTo`9iZ@`rlI~t2t=nTQbsBOc=z~jw#)VG!IR4%J(!<9TaZr68d=Ri=$jYZO} zl}epm^?dY~R34IN7ilfb%z{SNlaw7Mr=0kKUg$}@FSNX++q|isqb&Mpg&BSwFR3U- z>wVr?%vh^8ssA(LHlar1(gmxfO46Zjw+N=Ps3M^Nbx@SJh`m5+i4{O)qoH`|ig}DI zLeI%4F?_a2I^N5?<L*9RLlk(CC`75#BLtrRx25gk7=6`<&v{|Vv{Nft_IY)~WefRf z8GZ|;CnHvl79;0x4f<_t<Q2c))1SFC^HOL5&MhsvRx!#}p|dgVG$Z20ZQ(Mni?GL5 z;LkN7$~IDNG$wNX|DAIdyP1tv4aYVLST&^3?WXHiw&wrMph}ujc=v0v=^Ud;dDZ*w zqtLTSq>j#qumog8l(fWqa6Zmhz&h(?W#^~}X@Q=*5qmK!l`7Yhp_IxxJ<nH|tb}lr z4z*Rr`1<-zA;My(ExHDsMoo=yKUtmuxsGoreUk*GYDi`*pVNC*M(%|hhj;c^YFT5Z zzdrD~V_eF~4`b~wnM~=eF)QjjMMvivk!oo`D{eU&2qErc4W{CQht!zYm30iAVNU=W z7UiVBxiY6`mt7odmhu(3Dr-O|dO<2MX2rt;82!${M19_6Ug)PWAwsOAv>gC2@0m4` zITD5XzES*yjOc*mW;0CnZyD{EY;JeWnN?uAr0P{MYVf2V95POI5W_qiS-Q6-g`kuZ z)7N8qRxES?v@SlQQ?#neE^ern6^o?a9*z`u&nT4kh^Jl0i46twIwN}W6;WDxzo#NQ z*g88ghjT8fUep0W=;&W+Ax)_PK83XSA0<QSfTRR)-fGyPmdlhp2ybYE<Wh@|XP#DT ztetD}`a*$br{9ewYzF`MCjU}no)B?41czEAgc2c5!kO@luV`b5Trxd;hgw90Ix0<M zm45=3>Zlz6PgVYkpAA#6@(ER!&eRuPcbh5#R^2b1)+dcsv}OkwRj-NtWtG`Aq8_YX z-8s_Zd!T3?+^QV*HOYf_^`x_K$n1o>?o>g`PrzC)L+c(l=r%QOG~l8?TfzZ2Y{R&u zT5fcZ-ddJ%hNeI7tQSnLweDNSj0PiG65rOpt7y{K%~XX2?J|$U1i-?y1t?v4p7w02 z!ZjeR{N@fWjaGRIzMoueOTKvIJVk6g00Kj)au1AXv2X>#)Dr^7RSG^x=^%Y(6zKzA zog#^HZEeJ_SNdkD=iYV!@h7b!=ua0Js--cM5TMCuan0i}qp-=KNUsQKxB&$j2^ZPb zABzMqp%&!I5E@Dvn7FHqW^d^I?D~n|3oZ?!VnX^{Wgof~mC77>8j{H{W}x(+pF#AM zIr?P(ln%jIXRvtFJ*-x|3brKFcq_hH_1#{0&=IzMy!{8<L$Am($qtXryr1Bgj+x@l z0A)q+O_7}k>!*ofHs|K%BNTCOHJy_4m|;0dBB>%L@aY3`Q5Ms5c5U6Cy+FBCtutwy z_15AAIb5`pxuLOzt58W2om5hPX+9dwO_E*X&S`x{$^z~do;^h@;fz43EZlQep`%3V z$N38J9%Bhrx3Z|DGuEK-a^ZVoW2Plo8d=k@xddA#WzKOV#vDN7K>{cZizebNQ;IdM zL$kXbB7vmq+=4SQoY?-(@&`tkPvWYt8*YuGecSyzk0e@Ao?518+pw%F!xCl)Nzxpn zh87dp%)Z~2>-dkWDUuXX0sgj7P^$Z6ra!#tY#frk3o~vJ|5Gc#vaz%D9=+6MFY(o{ zl|K5Mm0O@)LK(MO3mxFB%*2bo=YolJahAJyXcb~sqR$f$vEn_dEjlgl_E<a0TK+o! z5X@5fiuBttDb2K6tC+l0E@By3hW3>M;+VoBMxU*<3#M?dN*dQt;GG4c;R`0XaDK+& zGqYq{vns8^Q&q9LWYTFOa$NYIs!V4$+ye|itCsYJQugIskJH6<p+siXn1`T7x4(zw zBvYCY0Ys3Q#jTYeGS~zDr_w?ghMD<6AUzmTSZO6o<O>}bHU=TSM1SAh1^lqJsA7__ zK|~*hMFBvV*qQfy{&}V7XdOkPnhllymLXIm)!rgnI<|v@BNHtNl%J6j{!o^rvWa|w zT#UsbVkAs1!MP(<o}Xs9{7{)0U@jt&dTYKWT^fP^>Lo2vb`C(eOsX+j%8er56-|1a z%^aXG=~#<I=f_SLiPyQV6<iwi12*v0v6A9;=RsLZxwjUL;S1Mtl5O(9+#+ohKmN^D zFj8ed{Q;u-w}OGIIx|MjwdhKaQ@vm%=JyQi-DuxY)7V@w81$lxW&@N?8qjU|@jLd0 z^G@U5FjKZmQh^}by(`n=3Xv2Db9r2Z_0hsZr#JS{^FGDu3g+ilnF%X6;Z~_P_Skxc z6_xR{MeQFer?JPL#6tc0cjnnYx#wgY)A4Nk3<v;<-EeP~WBbMir{LMQ@@CdormIzu zyc(AIgyQA+$Eo19f^p9(JEv7QwAdP+`2s$>E>s1+&0Uw}`#_5U0ua4}2T3ImSb~m( zc+@HoQ9=3zZev|%Z;q#(1fr+uWaSz4@H6EsqrF0L@WMM7;WsHlqa-5J!A9mdv`fLu zM>J0}kFTa|_bn*mVn=^qey7&3Y+086K^;XVlFQR`M?{F3$T$-U)v~A<OQ|x&!pRKZ zB$xWl?0?$$`fLU(7t8IzAG1M+bnWviy^(oL;%FMC0Fq4VWF3Io)+%Hh(abtctBSIm z0xnR|gW2tm_#)FuRfVN8FS|ppTxzxj#Ga2{1+t7o=KO+5E;``c<_AVS5}O)OC5gS< zyt6AF!)=(pkMg=_mkW}SwY`&v2b2EmIY)(a0yRRFsfxMDH@r`;wS`S8jR-@0iloK+ zBUd+TwWn^;9hm;<E##(NS*|+`_<Uysoq9wTSYQe})E~HaHY{Bz93^O$=Y)hSl2^T2 z?OWyz=g9rvqHRt+x6G4_1XxQSeDyxAGOS)tdOl3$&MZCY-{T!%6jFiFwt|1A*o85x z%w~xj@_DYa!gpik`BS?s^s`isTaK25P7~UmSlL2;-rm%*LMU=wtm>NfpXmps2;nEC zZTh6Pg5W=I4!ZrAmv9+!Dr#u6-L79UPJQhyIq6Lr+)FyhF6hmtXeO8l(+WFSbE2&b zlylZcTcLM~dShF66zXms8W1E!iVIpBTQGB{<e)MenLGA81^UXGoM4_s4wZuAm>q;c zampaE7IB$Bw<FhEyFQL-9M%Yxm_Q;#OR|gcn=L*YM;h%VxRnpnO&DU}YDku0>mxD1 zDWGs53g*4@40wj<p#h(58aHLw!mQe++|()EEE-8$v1&jBdqH=&O1FWi?o-TjU2^v~ zW`Y2wm~XF_UM=BMY#9$z#}yRS0e~96hL0=@uLl*2>>j0ndEb!RPYM6rQ>yZh-)k5e znH=@L<1pB}oOA+{g{tic#PSlth%{FzVN;gli?DlR&G1=s?C9hEE=~pltSEiBQ4hvL z<fk#pOEyM^dt@2ALyq}e=SiZ>Hh1lIAui~r`l-owge0*ZLH&|Aq{d+`Uiq2{M=Kmv zdUP)VYxul8G9te>qw)gJTAz0&p*K7g5EgCL?Z7@yK{}5hUpVD2OOpJlk>$Wa9;wh& zz~6eIG|@l>aF1qznq3X%04g<=d0aGrp)?m6MC6%HK@I0dBTj}FuE4e)HeDnTk|f>e z5WIR>eX}^K(#u&YP+p9DFpQ{5?KG8iSS`~<BoG#4Vr=NG&`0`4lxr&Ruxbqpm!0py zGa2X_WT_GbEYJ-mwk#00<q4x435tri=EqinF_n@OVkhNs%9k)qIN}o~;LnYms_W&p zvf%d{=oezmt0Sos6!F`NX4Kam`YgrL6DHoz3>ISR<5HoTU^pUmpci6y_fGw(I~4Ja zrDaCuW4%<k3Zr8!QZq5>7*bH4=H~s-DgXz?%T0z8cL{I$8D^E3OyG;9Wh5&S0v&U} zrD-4)6+O6n-kRXGeszQ_F8q}=XO14(M~JeJyBYK1Pd%=LPb?*?z{z0bG}S~lExvcR zgs-wOIee;+c)^N1uqeeH2>J{#N~|&+!5d4@j2>p_?yp)$G%db!_k2{dZe?_Q$El1S z<|*838K;;Gh*rjvo2~1)ZcSle!7RZITk&0rr6*1qb%OE)-sBa7GP?ahO^cT?RB9oB zkT|-_4v4P+e638%>Tb3afJvm@SOqP|gTB340&~0%bj4_?91+GJhZ>uY)9KfZoB0)^ z;i!5Q;iUM=leT*~WK(=af+`0ayq{WXA?3_xkvDPBQN1I#KJf!HL^0AUvlQ1rlrauG z+q>PT`6bM=eu9|<3xH5c%@q=iUlCccvz8^k@gErX-qn4|ji`y(;#|X3n=#03iZVup z3J8tVrsxD_mW~q&8f|a`m=zznOxiR{6yXr7kZA<v3X;rFjdDj%-XNGtK@HAcfmkWR znB$4rI{g<2dR<p~n<&@YKXYHeyqUoSQ*SPj{;>%MQJ0#Eqy|#)kM?8-Aeh?XvA!wp z$umWTh476zW@T?JREDsXZ;cTV;wEMju5~sJ9P+NvxstLzAO7Lz#6rSom;96sSCWdf zz1cj&y(W_>_-}6JA1+?b(MKf`kfl^1cj()4Eh`NR*GL>)jXfh@G7L9Yfbma!O2F1r z0N*KiaNbGS`_r+!9^MI^B$?3jj%K?}d+WHvVxG$BF0a$^8{yWTJ!QW3e`REPw>%BK zmUCx893HgJ+1^w#04#)?=Lb=b?m$9hWX4Ov^f!~hNE{sr>pvu5i+7c4SF=%y3LD`V zb9~A2_bwhLQN=`l>hn_ek%7Fn+qC|+r(_j2!ZwEdiWL}HG~WDeC(SkZLzozEJV5;) z!j0Hbk_iuC8NYrWasdJ8TJB2JH5>Av#8G$bKpwk7FPPs(8<yB<!owQJn><|v<}k6` zM5gpw@L<GEWN`rY*w|*$kURc7q6qT`KqrBbC8MNS>BPTC*()7hN4e-kd31IV2|LF` zX^i=U;Rj)ro=U&Ba_<y_`%L(TC{LbZ_Evd1JWr-z>S`VEehHXv57?l)GM+Sevb!x7 zi)n)gWT&A3v-MuO<{vP`t?d=W5;#>v69$Neqs-NML<L@45CU3XJBx&<J4D8zU>bVI zIU=cIiaIM`?}~9XE+_|HPCc;Jyj1McWn`Oxy~tFfR$*-JRqv%mHq?s&cVj%5Q*)<< z^0~<AjJ8P70e_K67O7%TA~NKP0E$sPR0T9(#EOq}T3)c0ERjbe1Q?a(F(hTzTZZzz zr8ohM+37eyDu;WLdP!|3=D+nbj<*(HuO2MpCzGIBrTh46cGjF4_3yzvX5-O5nzgHk zw+X0zAxG>s9HnZD=cn3_T_<I?FXxWGxe%;+>{vbojEXjkmF$$CAKbmCM{;IVgvLjf z+kb8Gec}J-5csbnh+%=B_`eSD{}5s~v@>ut{*Qt176k>VA$kPgXEl%qmzbrt?&((S zkcJdl5kyD}$E`vgCEBx1oG-Tp8<+$hR9Hf{j(5kMQFQ27HghcgHqv|U{UYbY8)weU zgdA!YP;0R?Q_wGU_WD>;^X+~OtPC_7Op^n`obbV5w+Dr~5XR<n+hGO}=<<q3dC1hT zW_jg+rKt6jPL3mO)$0!-zdI{EKDEOT#PYzHL_Lt_%0U0OPdO4{Dm0`ZsCd#L?>lKt z=*WvV00XW1-;#EkYE7{@r52u<VqI`&)kn4wG<55Y4+ouP?H2WDRQhUb>=`2#UuFA! zV^P+K1+E_e-aYkvd~1adl~O{kwi^IhVolT$uSz%xJq?5v&Rd|X%wV`uWott`4Ti9z zC;}9z<U=nob4R;V6RBENCFSDA-J}%GSC@8E`Eeszx>)b@TTe#+|D2Sgd+oJh7yy7R zd;kEn{}zOBGB>a{`S%2e6b%pS>|xZMwMo0ao{=Wr5yCJF4xq!ETHK92i0bG1wg$JH zEtmR&<EkX;h$u)xNH&{k)L21Hs1jHLhjJuPs2V6J6%LdZg%YUBFNK0ic@oVCNeOd+ z7D5DyD=(&<wywM^5W8gelh5Pn_nznD=`4q*^ZLoh0V~7lmxrl`<qNL+lnYKNt<qK% z&IKi|GFIuUa_S3dotCT6tA(t#%S&nJVK2@kCZ-(8&AE=A#-hg0^@&o{C~n%c3+Im$ z&A&tVx6#E7lPzy+pq}yGW)XNW4172|ALnzsf-iNSVG-t>8nT&ZhPFOrc5udEL%T=; zhK|2C+f6JzsldqujU=<z8yqZ^NMURd#nVI|ol&@n(y3t5I|MnIva`ee)i5Mg4N<(8 zoqs7wn@K9%MCl8}IgIneWC}M^Ud&?nhie{Sp_QL$<T238%Pp*X0tFHkR#?eH5Ng@9 z)2B<Z2&o6#(1iRZP;4?;Lq|YGBu4?(J*mw_{u6E4YK2CEpcraXV;UY&4{Biy*c{%f z+?Xs`%49*MvsAI%`D?@F5iy9>*_k<l3T&kDY+m2PoEzi{2!&N$Q~;`9uE`%D3=Xl; zG;}!zv7SG;x?$ctREZun_1T<34Pr<TF`q_Bk%@ZHV-hsPUOk!>w;u_yawVL<jJ|RY zUU*Nr5|Ao#kRPNsaKU9?ttL`|3M5-}gGFDLQ5i#)iUzVQ3XLag()5DN&|b7gG2XK{ zeL{FoTE`)kTHm6Dh?)Y-OoIc5S}#!oMe*p+9%cq@F0<SbIn;>)xtLw^+$z8%aOFdq z910k@=&D}(H%?0NEvq_Vun<R<NIS4<<Z{QkWhri_a5Y+n#X$`M`n0TehFrc!7$C9| zaXz-uv{8B`r{dARJ@x}c!UzXBPKXMn6me;8lwe(=RO(a#Jlk3>U33b^0~J3N0fPV1 zU|7F;EA9*`16J~Mf^kLmFHP3<U2Z~VT`bw!S#^7+>^M{tR6BH3QzmVBp?xe3;=vb- zdB>6!7<A4AcNp42Tx>=qp>c0sChL9PVFC@VywoBfu*$FoENMwlBe=tfl09w8Awn9# zR(_E+Ak2X({}yT>4eCv8-yX-pO_-n={+oDs4qyQiF<ZGs@H-arz<}x!ezJ4mGk=3Q z%{Y}7j_B||@|~{TMDggN7KO};V+#`yD8UTMlBEuc5k(}WNv-WOXce_a@+9YoP3hyM zp(~Ur7|A&4e~C>jvDIOXB#!hTM(U5`eTwu5)$2eV8KKaPNHw+%p$h3~1KAsaAqIoZ zCs3zu=Loy0X%R&kwC*1jk*s=sx6sDHfyqMfVJk{qGMMhyOzCqn#165OPpo~8%d#O% zeS_|wCJz??S1G)QjE<~X0kRe;_y!Az1TBqICx*45f;zQ9l*SDeY~*S2{ig@nbSX;7 zfa*nFL`O!NJ^|C0i+TaPmEu&{22cl;DB-{jM43xMM^BsW+Z8d(s9g2i<EhyORQCp; zSwYkw`I)!5@aRJHwN&~q^=zOav)62)c_=RHLwr$ODGRs?V6>G`|Ma)C@`Kejfil|n z&^)BUv<tkX&?5z6)8N>I?UIo`JW&j>sev+o&}fP8-q}?h_3#i2yu_N%4}C#QF+TQ( zlfOCmfY??bJFM6(85*Jv;|6S;3upJ}L{;shC}aH9A5;5dHB>JpC7CvqBMtHhh$m4R z>E5t4TrS(P2;_FKAeI(LYlQ>0he!7DMi2QyTeY-^&{6_wPdD^slhZ@g8XT>GH#j>$ z2>Hx#8Th2btSw$sqSZtH2dpc@e3{wh#_$CX8Mi!F==fU?)&H7lkA~<E%<}=THGmLn zO(4TAxbzFyjZ1vo0I;-hVo8K|Wjf{}T_XT@xRjVuhct+9c~VH%oL*-;FrHyy3B4w( zlZP=iF><QT=9DSN2ZU0I8_#wY?Ni%eT*mIW_6QCxtJu_`du=eLZ3qubvvCm)-pH`| zc(X2b%?(6R)_g`j0{4XQg1WCwuf4-IO$PS*r~P+Q9S&^gK%T$PET3yxnA^<;reEmX z>@0>~{B71tR5ai43(*(Uft7nYU;m5l$ZQ%d-=@pARr4zB?mq3=x$_y|g|i22+eJIy z=B{9+S;V=rFUHjkaJ1c_98>P`3E~pjm(~crn%|D;?^iBWv7?5HbRK@kHnL~T7Z|g1 z@)*noBWQ)+O?mjM7big<J|kr>I~aU}FIv%hV|DwGzVWwxRpE9<-2Kn=Ul#{RD~5}} zc_Q9}dE(tLrhH`kRP+I6#9LUrBRwCCP;C7N$#WQfLE4^mx$|B~k~}wVogblTzIE(t z;GFe9rg=rleKYQ<e8SFYw}jh6&rDxbBV8}}7<7(&SA$30_KD>xoon0+TcGc;3XADW z8Y6lpA7;_*d1meRa`e54J5y92X)wt2<5^?JkkAuucY%YNPeWO=-I7uv_@+C4`xbTh zPu>2lFssjLbZ`m*^f-Tg;lg03{C*9w$b|N4Az1EJzC}3vx-k6Qj@lGDow?-0P3?8B zu(X&x2;<f>jNqWgbyfGg#oL&_yuRlCFiG8nlfvhu?(u<1>ng^k@%gG~JiI*!u$QW1 zUA4vO)1m}YK@s28ITr;=6S<)SAJ9?>9L4XMCDVsRa>oJrc18GTK^xHOvIde10^tQ8 zKm;Ec(Ap_8CLZXL+q6P<$0oVqg5dor^%3%X2@$LE+#d$g>w!?N8xA5P#p41Zh6{r4 z`_PCw(3|(Q&lA<ZLjcGQ!Rvsq`5aIwM&w@B2`&SR1cC#S$N9XQ6vR5Pumq7W05l|E zKP7Nu=EG_M&s+0XAYULbKWLW;eBk08UL)({?_Af?o)}P(Kwx~(t`qpc-*ddFzw0() z2-figmjo|yLAymgDuLkmP0s|21OmSW?05HgzLK8A4&PvL`JOky%J`ZzOtf_-&AZp; zPT@GC@ylWkZW6fQrdQbAF!;{teY1U|Pvv;Iq<zeJM?|LC_kG<R`#e=2Us?{_OJ1+M zB!)|pl?lkx3$@RG`G8j_U;LW5f-I$g<0-kuMlH=$binnKY;k9cM{4m6snEUdmrpM$ z`imDcms4zUisYz2#vvx=;vF+5lfR;H;imuc@^cxhW2Ah5O4`L4JoLJhgR_#)D*CPU zxJdM>e{(mhsA#=*q#XArs}6Xm?Oq%AycDa5wu-^B3T_Hk#>u5z!ZJFuY@}R^liu@s zX0BknjNv?jlh-ov=W@W$!%8foHFE})?VR*&>5os#WlI>&^)ur%Q-Pg?>|<js`LT*d zidPiKe?o8&({pQXhX`xS;8r^{v+;;h`3H3^Rperi*fC2ppgG1dOO;>!O5j$iZao@e z4pkFTq#w6(E=*!i>sHBS=eLlnvR}8Mp5BEgRVc+(+eMahWt7DdS6cigNz6i>-Nk9T z*@QjM4>Kx2AtG__C4b|27aAc!<;2D41~Lnt2!WSjNY$`X0V^Ren?Wo|8I3CxY$obi zgs^`Rr}Fzqine4*79OI(=Wwk>2c})XB7bE}luEsmabd2I3j#a9%8y&-V+X|Z`YEb2 zC%a-cQ7iG9KtpP$<?6Oc))k48Ytf8aC>dvw${$U*He$@x2)VOeZgQ5Mo)ud#L7IrV z@cyzeQGbTsTVr<;A*WT*k)@HDQ&jowX;Js&&#LFDKBE{j2HJX}o^*$T;;oI(+EXi5 z!ta6(>nwwmU>OxjQFEy{ngac+h;U2vh)61bn*v=6D5XYQF#6@?Vd$%?e&W>D<5v6y zh}hQ0EG2Wj-DGA(IimK!S7s@)kG2D?Vh3g~hKCLpvTEJu^;8#&#+r$pv--;l&TT$> zyVHpLdE)5Nz76#Kki$pRTghbf;kd?hGh6qk@w&FDyvEML)Y4(-T0>Hjl5#<%W}8)! zew%V3oh_ENN%x+HZRR`P=@K~-eNUEQn_Xg+7<8EhFNNPg;?943E<}<n$b6XbXded( zezbPqkn;yI*tl{VqQIDhI&EpF2Ms4d>clAP3Cu?9i2q<sLfVm5jgD!iTr13MpxgFM z)C)3lK?`xme)=fOqe*(vYu&_y@-T9w!;8#AI(OxzvsYr9Xl06=saYaDLp38Kj<p20 zW#draC%mc}VdF^So<Z>3TzJCzAmCB<4$ed1;6hdA4)pHh)m`j8WIKl_iAFTIGyAQP zAG}>Yw^ZPhrxXl*e1U~s6`VHJjQOweGl?G2EG(vc=C;PKo%)(VAKjs0p72w<X-3Gu zuow@YDsF6U#MOR}{HtadzK?k$#MvS-yHgLL$|k0w8vsLDGU!Y=*9vqVV?FHsC+=*| zrvi2J1vQ74e*dQr3h=LWQ1j^sqoO}OpnuYTorYy^Z20f~4@C>zr#`CukpTduy*nl& zLcJ(1J<QPm-lSncdaOsyJAd(dT8Yj-r2^2A_6tcl(;)i_TWsn`{S9wTX7mJf0xScR zGC=~kdpR<>96qsm_qJ=m9&&O+%_8Q-W6zN-TR400lPgiIP^L^Nbg^K@iV-cEqd<Y; zxsazov0N^gJ-L7N=FO8WYpUkTktNq~W6hd2ZCdm0+H9bWa^a|+1nk5arB@F-O4pgW za+t&`yR;vNdj`wz>-e;5Yh`6^ZDBE1<8p1O%L#QgRXsMj%&9IXac$i8x*j%cP^U(U z5GI;)(OGc`8``s9ZME5Gt@(+qBCUoDsbYNKeXQ7}A*}{|V~;ictz;?I>@hMl0PIhn z1lM-gQhDm|t>9|cqe@|Nv(szuc&JM0YWtob0XV#!oLr3(c3XuC+5tUWH+*%X310x- zQnBi%_RRO~&Fh=}Irl#G*!8W=v#Md!#Mz2^e5+o(zzt5V*jN>}kZwBbU8llWtXs|u z8+N!c3K*md!H;Acet%|r<}_0GRq?(702+oyCN00t(u;(_Vk{q%_fdGU8M`^zbrAsi zjStZSsGB@uex>_(KS9d$6DRKE0$5Xj^uT0+82|(puRqa3&zm_hnPtoP_=ss!-@K=9 zhaFL-%(JU6+MYW3y?^(*+=ZMnexh|z^ZcWypPd%+?94xsZ2B)5Z~R9X0gV48-&(#q zZE>)phBR?8s7I`5UVCW7p~Lp+sY8RC>Tvl1fT8+B>R`ZDAzC?@@LC>KOl_P@?b@_y zlSfo3k|m03Dbi#~<A>j5gO;`Q4?Jdc>h3eD?lX5hX1@@+R@8KWs1%`gUqyL$Y;CNK ztXJo({5*JeMozl;5Zl|fz3ga7{xM<P=)tY~$Icc<^hQ}dW^&$@9^Iz(_uI~OcaT@# zO$w98v*(^KuXV`4_5Ri*ZtGzFocRyazO$fZ9VPEUgmyroQC}!7&t&4`(E$gY(5^e) zcR4QfZToj;YzI^Xbbzc<B-z4t{R4nNa<2h_O6Msa&a5XGsL{cnkC#VUOH=>hmgti< z{6IRO-^asVlb9SHx7#H*6)9BmRWOrAAqiw6v1lX$CJ_llJU*{yJ(u%g|3Ka~7PIMC zIN(7@6dJW^xp=^Sa0C*`OI|nv0k8Y2?<_~yi8*#|o`t(@Dxe%)|1$LE8AxSLf9nFc zw|$>TNelc7IB%VhS!_1D{dT*>kZDXNv-wQ=FCo+Dbb9?>_p?Ehs8nk8TJ;J+lgMNW z`CN93LF0%-67g7!UxLQr@dW(7FQ)@WVX-*uw$|9Q=O-+cs$A#ZVn%h5Y(}XJqv-}y zbf)M|Q5nPChN=u@=?YWirpQha8Na#rUr$ixU<iZ4`T7y>OyND8!1>^?nT`AZxD5z` z@<MreB9k17`1?Qi4}54}Kp@;y!;&fAlChU-lFR2g&vcLhz4BWV9CxWgOGAo-3xo2P z$yG3|2T#nddek^}yn8HYnrMBlZz)u14SjNl4$5(uX(P+}Mr~2|d%G{4Ype9RsY2{L zJF$9Pb@(2DsdaHt#<%BH@vPVdQRx76aap1#RTcR-@X2}g7I054&9RClJsbA$LN0ai zu6eqh_@5dZi5k#pjy;kn!Y>NK$(1RZGsp6l*`q+^gbf}bFW=~H&^>Ch?da$bI=*b` zERoF=gGat>^h0SeW7e!~%5rQ+g*a6+w2$hfny^n0$XW--IN-=#m5)hukiZQ6xrjMt zwEaa+{v5pQU>`UTF#ID5R$EE0Bf&vIQHmS+l59sC8(AovCz|1R4ma<>P!U4SwhO~2 zT2?h}t*RByPCHw?W4><hJ6O43u<1xet=2YLS{upyh36~l=-AjB$Y}nDTaul*VuB%| zG<){M9EQaZ31+VdgtK=)CgrX=K3Fq~(}@~|!{uO)HRI^Jr9NTJ<=Ot+So1-U*Q@`2 z0LAa7EPvmD{0ds8OtFO<*j!rSJq;W8vvRUAQPaCv7tG>3;!&@Qv+ac{fghQgSzKH+ zG{;}|WQ|#F`slGGt1t7Rhp#Wg)Suh^DRvD<2h>6<fS2>WDbFgUO08a}-D21xsY0n- zCY?gWBB@LvpU3U8-z=d-CY?sDQphZ!NFpAG!(!Mhu0SLl27^k(EG|#L4;Wlueqa!z zOoW&~0xDsE2|R>NfFN#=C=gx)j_eN<Edz9fAYp(a$ry|f2pSm<!k7Sp91*@qf{S3n zS}<FKkN3h!5v12120ILw-g!e%I)U?m^0-K~7BKuIT!1ZD0dW@bKwhFP$6pibhq({X zmK~29e?Q`gf(QuVL!ot}2nE0f>HlYlu^qR&KL<qM)Bw{PUUyeEkl;bScHj&Jz?KN0 z0Q?9#wiLjgQ~<$K9?UEVy*-Hlf+yL^o^u`wVsci9{n=j=a$v{cJV~NO)sRxC5>Lz5 z8#rvqVgzONvWNhN3LeTB@_r(;x8%M9HQ~@nDAwYjDR|gW%W7-c(XG&|&xr~I+p@-q z-0_J^Ou5-RM7)ofjdiy;Z|BO^&yNeMO7&UiIwgb_9BGLY97;{Pfc(5CDFBOed-4WL zF@n=lyjuoz*9v1UX#>=S&n^ba6W2ot-4D?2SADc-Hr0_gf#$ho54ib&mFkRsyT&k@ zOK`nKbiFDQ!uUgKE6@0M2N)on6aUOOcy3F+JwAP!dB8FA#?n@v-M!zp+4tAh$7k-& zyWjiO&Q;xW2M78v87Q5!|I^=1Uc0r0iP@IEVzEQHBe6rNc>(astvd#4*x}2(Nu6c( z!*-)F2CTXZH|Fa!AEKuaoTV@J5PTu`4=PX`LCqt&Se_AF#UaH|dYnxCzx&b$ynr0R z(Do8!{fQ`phV2B<ra-azgxZ26Sp;gJ?i;+=B$-8pzJw=_R->-gC$6n!E}3OM>q)Fz zrxMHFQ0z#I4`b2>#MW;0R`=gfwh$+w<`(@K__DE3++;C;%3yE8^(&+NVDisN4Bi7& z2g}wRb^&EbpuG>HM;AFozmepm8GfT^StHhonO3(3K-J#F;NK)?r7cWCn0kjQ2Um!` z%jEYKN8sbnB^50*^+Ku9(?WQ7-k4{WQt@YGoC~F}4CQ8o`~r+>s*cu`_YQjp$OUT< z?3O(q;y(erI7}o41Yn8-<c}s$cljotLppPzy@^wB87SM-UX1&$C>;5_Dlv%JH3xl> z8?6Al2Oj$cspen=nvYDoh1Chrk`m#AsmH8Kba9Wz<j;i`aQdb3iTx!u^!-#Upl3r7 zor}sVQ>-1?T2o~0g0ewNV=^b{med5jr;QPy&N4qF8R$@L3Wo&Nl*u8jK2>YVp`0V3 znJYDeUFO4j2H#M~7OB{xu%{s_0u<r%{T6-YQ21wJC=nt&z^Mx`kR5hW*B`ken2knc zg>wVBPYZr-2)t22KG)BXpY52AgKcP*Nw=JX;SwAYQDy+Pala3c{KFq~51PN<IO_<e zQ|#Gb5@496<OuOOu9JrbfW;c+TXvy%qibSV`S=#uBvfO`o`i8`u@nbJFrozC0n{L3 za-i(X>KuaWDV#J7fTAo;fGnq(0<{Pz)=+9r3M`l?k|dD{&tjU3@uWw*5sPTH5#=$Y z5AFp6Xgv04ppgIvCxUC@KG9M+n5i{^LG57F9u1;eG(_E?|4=cu5V>=wrLftSKBVNX zd9B_Z)5Od$>Aibu8tQwloIySv82A^{aZ5&8XH9GW%#vS>qRo*X*Qb0U5d@hl2JqY+ z5Y2Ct?6-i0LQO3U4w}px8R<4h?L0i#>}U+=1ACIQ{^5|@#*PwGK(uBwB4j56A3^#F zl3k78YyEQqO$-3vy*@9|9x9uoV1e&2M-1>VxZG#_5GJ~O?^m4tYY>DDvsD2(umjfw zJ%<P6r(zHu44J|Du_QsKVd{VA%oyA14&oC4p}BI`fB@6I|4wrK;SqG7Hk>3RG5Z>@ zQRwI~b2UV<Lf<Vl#w26MVGfl>^KRV`5Nw!dJ!GNqnG9kH&;!{TDAvVchzj(0Qg7(m zB^A4<LdnA|gH25361IK{Prr1yF&N>F#nOPsmy!4^#it(;A7BZYBF3-xGBWmLWJv4E zFJORZ2hPm{0T07epwEN|SO|yUE)@qltHbb7vn~wRO@9D#`Tv$+`&WL&!s7jWmf<HM z|F;l~yY0XGAAk^e&wKyxdSF&#WA=Y73pO^TrzTR-y<rH#Geh6d4&KMrZ%aj;0|JMg z|HC@rN|cW1?3>YU4gSJ!Ux9*jSgUZ<%{IWUDyjD*v}(rZZ+>j+ztBa8t1tvncGidX zm9fgWiw9<LW1<_|Mx=>6OlEbj%hq^d8k>!$0T_d!A_FEA!CIR%2&%nsw^Jqdzu5Zb z;9jC`%lu;7y0LBF*tTukwrxAPv2EM7?c~Oo`@N}|dNcL9>U7oVzq(KF)75M5wboW; zY<{<6>#4Vcg(DJMiS@KRm`T?*vpb6%mv5q`Dv58&9Jasb$}aDz^rDExSt$qlq4cb6 zremk^F7wUMsLK(K%ueuv7Lb+}2K!lLX808yMu-9**D<j5UGo7vG@MCVpio`p?O?8$ ze7WPlv5xal@t!#B%HG)AETI6Zq`JFM@W8v-u~7(FDOBvbi-YP#CU>&Z==|j;tvz_9 zg?*%O$iG25Wo?3^KH*mslaDRNZEVqJ&z;9%xED4HsB0hTbs&_--fUTb(`x!d?u?`) z(yvB97h8gitT>*icC8P2KeIDaM-?alW)~pT)A(0hE{#}?kv#JCBz|JHDeDj-Ch`d7 z$;ZiS4q`gwT?ez6zLd$xc7#RcIhb-i!tPpeR@2b;paf>DavI=Xdh|N`<S-ftOaiA! zpCEFMYc%KOQOF?~db;O9wFx8W5RjDRf-Au8&!}05HXZOca{R7=^Q`KlS1+5VgA6I) zQ45pBGDTBTq%up*`gckv%wBO1Dc&^6EpRf9h?(eMo-3d@nhi21m4JUPs$zPQwCvR; zax3%kXE;4mMwe0dLj31JYL8(bSq&&A780mFbyX%XXV~2XXP%0}H&5w+xf(~~Tcp`% zRrlsZPFw%?Rr#4qEsA9exAapNbFac_RGe@2U{xeNRMETD>8%p9Q!*?SvU<9WPFUA@ zDjgX<9fArFZqvPrDL6J&?`+}on`m<7`Z&d2yt(ci^Qz)gcb6vA0Q8n)3B&NvA94n! zFHN8b1<(*-KJuFv$~v(m<l|Sl{Y@1BBY$aPGNg~X@UyE7_=B-cA<vu6v7RXGMYKFy zbm!n1cWVH~=%KOc;cwx1bQb;DBm$(d`}gpfVWe!fvOG{PvdC`sDF(q%2nM#A5L-z! z8p3ht-|OlIjP*;k6P{**Jw`dNu?qr0$tE9M^>RQXn%_<~ch1oU)?@B~7A?#dmwFA) z-pz}JbR(R>x*y4Oc-8AyvR}#cU`<!OXnE!mmx!tuUvb=b1V^adj%p!nQ9qeT)Otlp z1#@6LjAoJCX-yNRDN^fh`^v38O;VGLZi(s6;AlB|AiI)f;`+)Oe*0k1f1EJGsr4Bx z7|c#}f-ci74UQrhCX?j#?uLC1o!#y@?z7IgRd=+kv_fAHp~!<g87c%Vh%@MGzmEwb zFAIFy@(STL3ehrA)T8y7R@4wfg>BEYtGx<iK_R7J*JOr~mAvr->favoX$Po)ypTEO z)VX5GG6^ct0>0cX=$y5Fx7y3=MF+t~kB{PPi>{@Q!JUcb7(9LXqsXMWi5r42nolPC zc$!v;IwKGhHgJW2T<O=r<o7ClH)~X{?k^By2*s#!fwX(JWQHr-u$m1=%4?iX6hA5- zM}soH8o?dr!#BLyu<?Qr&JL(|<K62xY_j#oBGZnWxRFAN>I!y4d3Cl11@pb-nONO# z@ZtkQ4g4|gYNGyYE_%e`6T}QVt)7kXEXTaONL`l>moDk8CQ_YYe3N*58ST7Qrm>h$ zk5_O;0NdJXnx4>-`qw}J_Y=VO(jfJLPu>cG*lbW%8x)eEbkb^wCo_D`b1O5mEAA~8 z0W<7-A3)c##Bh69<st4vqgs6x!0^jh7Kh!88m+Eg1zxk8epiz1paUBQx#Elzb_6nq z4|3>U9VsaGb=lK(&gScWR}P>1D7vw96?96dPB|LpYlPbDrm=I+8fYmziJQO|ji4s* zSFtB)uF}|aAE#slb?)h`OK{p>VBBd3vc!cXx4y&!&Gm>qSp|PBE+#(U=JC>g?XINw zV<lnU9-8g7v3eTWJ#jbFrIFBV5ZQg$qLz-N-ZOWezm&>$f>K_O)N{LhDhdQj+Pyi8 zay^7wo=6U^QWg>ROw3k*3j}1^;IM^rF}!$0M@50tOd^Z<3ZXp2jJM%9KhpOknt9S! z!l0AA(bPO}#Dvhb<JY6ApdYaF_vU=gWf$TpDY~tL!!Y)w_5rc@!e?(=trXVQmR}2q z_*nj%+3syHn)Zz=v6jXh`v6D(^r+Og&5O2mza?T>Pa`lnA@-ZSa>f{l_s*R$LmC_| z=Qa&MmM-R<HGjOW+!m-7K9C1!%S=PyasVQ|F8S6Ol=wOQ71PzJQtVw=rJoa!lZ<7i zs4AO3^zjC=^XahBD?AP*CeMeW+!D-zNxn0g;zbo$^yQd340((DYycy|Gcos{Pw*qu z3iF}oI<2ts>h53HzXkD_tf2_*h;pa{LXp#*9A_keJNNDYLR>}QcpoJPlv2_6zh1(5 z&ujRLZ@9RWa-W{ZD(U$9iw$0z(c%naQHIFSUPgptO?ProGW;|pGyu*EQm1g?3Fx!V z0xL#kBYtBET%C<-8j2Wr<NDDq5GyE>zhUz#BwKNc%Gq7djA!mZyHR<)$w!1>oVq&@ ziO&0E*e*gZ?&!<QU#2*W%7pX{uZ-yekeP|P{7(mg{m$vSdnq{h#04(8i-dH6Gm>=k zu=_mo#8Qi2nXu-#D@%IMS^b42yoc~E?2K{S>%c}=6d)3cSGSPvdvyDSiP=wol#%BZ z-F|*w&%7DqN0<Wn{$!XXF2(iuRtOl7UM#mZ(UPT=ry-?-ll&1F{{c(xARF;~s#H;X zW1L-WidkQZPC1ffb026ICxDJv$M1Ge+Vzcci>s(xWNq;T@pUn)#AwREg@J^t2X_|< z@IJUb&RmGVL%g|%(80&zv_gR=k{q8aHwhe2bW+{HS>9pOMART78>lTBh^<S$e|Auz zftP<c*ER&T*Ow+u@CWnmUo6^93*j=8*zM4$mKQijdHZ%0YmPpk9_+#vD?G%~n~d;X zKh<2}sWenXI9;+=ILH9GQT2bgA|NKhb1NZEPj4s}CAC*4=*GOhhDpB&rZHEat@zdu z;xT4(R!szO(*J?QY%rWjKYZb~U7Y>RdRZoAJHrmbGUNa@X~%v&BZMkhh?_?hp;-^f zudbI;gETSTZW}PUS)L4@{gtQAm3R+$4c~6M8jPU7Th=1_JqBmgnjC^FFdTAMVYU4k zR@-V*5Ut+HG@SyJkeXsDh&Rfk${VW3{;=naY=o$rc|8by46D4n#@Eh$JZBk0>|h)2 zcXVb$&_$rW1*+?YRP5qN<2n?iP-l|&>HkLAnn+p(v9$jC6e%8LhabQUSn4`qQx?D# zbTTa5?=hWMx*EHjSuuh5c(3851hLc4XC0IgyFvPt!*cyfxhKjjM0wlpH-I{z>>$qk z=iu=t8FG1gmZ0fp1#LJkl74g0t}Si)TzL4!j>f*A21hZGv#nNMnT}(BFI{V&6ZLO( zKbCpi#DG~mL<)=<ahl1=fWsTOa|3HML#7SH%<xH$kZm#V7)a1tK4A?AE$ZOD#&W*& z&Ufjx03ci!Jd_)Z6Ptvl>If1D`+C!<ztgeWL}hL;<tQbxLsu~Nu#qZ>;jJP^3GyC| z1}s9MDe5z(X>AD1HsFFvU4xr36}4|7VJss(7x1^Vx5dD9Udq2%EIvt)4Pe_u(DPB2 z8z48=;^+hxcE~K*CLcg%GsJV9jou%$(vA>^NA+7|?&s=DMOt`q<=|Jv>a(kf23?^K zN<R`$T8z5>!HztN=SFAb#&5)5UoJsAa_w)f04wenJr>_I-+x?S6GoV|x{)7vx5sBh zd6f&bp~`t<F;gtP$c62bdPS><&(K_S;yE@Sfj+4xqaAO-KVFV0=L`=%fo`3tdtw1= z*Ux6>7xGz=d!^NebLM5&VZ-bZsPTamqi4(Jvc6sQ5ls*yVM|@3$Fz$m)<nk78n>3M z^!WuK&rt9>shf@P86ynhU7)Z|W#m$w@MEcMGU`CuWP%NKO@1N$GkTJd?wuBpPuyoX zX&`Nnv&}}Kwr%HV9BTz(pC=Sx*{)yffFW22tV&7f!P@0ZzQUN@UHl0v_&=NJ`Z4@M z%Ke@_Piw0TSao+irBL}d`VcNtt>Eb#+eU=_cCctY5L<yv@R@$Nl(+MrxDFi&P>GvT z?)nNS(ea=V8zQMm5eU%r*O2*E1@WFZhnZ+|G*YsBRr*c>^eg`TYspfuznS95jRCu9 zLuH>CO1PSJrD0KoAQ2fkjHjEZ!#7_;E_9~XST%)!0Rfb!j<kHVC!%s>%$&?%Cx(Op zQgW>$c!0+H3i8uO5gS;U0T%L6PRrhof`D=hKb)b}rpDgZ{o;r{smC!jLq=QpI41{+ z`_Ea<DQ&fvi+J^Z7!>Hhv=iZ`6B^Zt%99MS5hiFvOzWbmE4&TlKh-M9Yna9I7IP#t zuE%9SSSq0#4^cimBpFk{{dp8+kn`szlTNp$4<T|R>x`BzHWtfy&ugCKxczry(+_^v z(rV{RJC9U!nmP3RaWjm~zf7P`Ru7T6<V=HtVd@N1y!<z(E*kV2_MZ+~=VTmY^mE%< z3Jwvp&$t85_hBJbk;dM!$<vzygiIJ~J3^5WLtbg9#{`4C36Z;)>`Mp=$&a`PkOoBq zlzus}*?(%Hnk*M;yHWw5-KHjvX+Tk|dY<p~o(dyFT(8WC*xWGr`!;AIO_5hZu>uI; zVZ@rE=hPRsyNBR|I)g*G^{Ttti>Kw7nk9M|Gk$gk!k_%^!M%n4DdvZ%<}%Y(@!bY# zk6f!0zd^rcZ>ezOUW&qrY$_WbvI5%^v5sv59wCI|0WOXeEdgRTSq*KjIQbpzOvj;L z8KP+)F|b2bXe`D*@x#EUJ>&j36LYxo3tr)-EV-<meOqS;$WVfEb;4A3qkm<RT_Z{V z>9YnADH#9NQSnY=;jKTbIAD4DG6^u~-wEmnLg_EG|M1V;h`Lt+=bi#@foD!I>LaTi zH2h<{IX7zmj`wSVJA?@1l7JrC;FT;jTHr*?FfaTmlO?|uMV9zdAcvK2Iz18)&ow%c zPh!c_=eyLG%N$R(fh|jVcUmiwb|cth<hSIPbhLVXU%V;|B3tY=oqkPLC-jZV!f#(Y zU?{Qz`Vp+zNT7Sm<Y%^=q$W^45xc2s7LXR9<~T~qu5yOPSpwR5kcW#DfV<*;mlAUh zO#J3QM{^Ew(Ja@qG8Q1|u-c?Gy?Qqsv!%cQ4;g}InR4YrlqzawyE9Zq2_q%OUY?L9 zEzJ*^^m)>B>jnT;3{0tvsq_pFi<&N{zzcaDCWmOA?&A-(_6mqu-Q%`9SG?Vstb)L5 zgdwJ0YK_37QHdLDe`|YL^qNZyJ11$M8krDbtoYmhl%;86B}#nXI2^bh`Ts!s43;Ki zFkkLwLJ#~rP@MeU`|*wrD0f7qRD}g)b%P&{4RJ9M%g{>hsHdNrkyNCM+#OQS2j#+@ z8D?LVWA;r3tcQDNc{xK%;$-2k6p3wN^tpf>16q>8C*5Wtn>}b+aa33o(V}3f=1hs3 zIn&D(;QdQoFdRZ74>TaE&63@ayymMOe??tFOIQ+M)*VXjdDrIAGD`<a5UTli6L(f4 z3BTKyA_Bxsga#i<%7f@cF`z^JEW{&!NzQ)==-stjdLX9tLrV1raE*T4E=3!M6+zjS z1c_gOhg)U8-1slU?`ZVy)Uc^Sv;EYl@RihTgQ_pr4?Yi#VnPgdnYdzi9`-{$pMX%u z*sH9u--&wbyN%rbd5Z5Ch&3Ducq+D3eQscBlX}P1ok7p9aOEv#(OUlqeM_ah2kD`% zUjV^q7w+4Pv&@|VnDNY9w(@O}i{!0epAd&%BnH5n2t565s(TuxuM$)!n4g4(CSLL3 znmF*a48bga0Li)KF{;Da&%44Lb#h0mC%^k#5jdJhXi#^60aWRNN)7x{fd`hj#gs-s z`o@2jr1QARYLeN&4+gZf#8j+Ni~3@S#AS@?OsXGW;d!I$??o-gh$x-9(~!qZPy_gL z%#t0iWg*i#`-H@B>UC1$5suyhILDc`7iMIYz$<E&XW!z|tXzx#YO{`6(@IXwP=nq* z!~U6HFZdC#6}Fp^V1D~KmACxd`TF%TE+&*ZSpbQAvw*Md7RVYOuVAGZA~GLgDn$~d z?8$t?F#yfN<(yEC-}V-TSS_H?ZhJRepI(Wox2L3v1u{gQ!Y#ec8LTK>f=r$IR9D5> z(Y=vfAmFriOO=}QRfb>6Vrch@1Cfb$GJ^x18S=Tk25Q^vby*p{YuEnkuC(nq%IE3T zeq$#!qe^yP`Jo~YKH7W=gs&8c*05D#w<RJ-yObC`P|g*r;H?*m<do9tzzx~_RH+}W zP3{{Xt8m~=DxVRK*u;NZj3mpcWEy0);f}Rsq2WRMb@BMk(ONwd<h=DmVsxmLi;hwV zBM>^!NQL?aPXNy#bs@NG@W6boNVVp7GoMKYXPyec5qT^7t-Jn1D|Sb+@x;pN7F{wP zr2%mpFPGjit^P3AL(S>}Ch0~{RF#T|EsDji@@Q(sbCM4o^jfX5-?CJd|3au?G69A| zk8wCz-!F;s3H|0&IH^1g<9XBf7v6kC!J&;%%6xN7oawito1_l*F}6GZ6Cm5T&N`?w zC3b@K<ISH6{dAln0P$&tS%RHlR91*8Lze=ctBXa5Z94su`5Y3-++3N?rEW6F66mVX zUM^V8=;mQPZ3)ja59B%Yau?bm7w~78&lv_3N@`w-nz3=Q5)~PnCPDd!pkr?zkxn&A zSBBDdJZaizz&c?SsED+TDSc1GCwzA62YGe3KKG&mx!NGI)oqyYiDX~Z>T-Tfwc%tG zS+4e;_A$*mwR}P-2~_b<*neudnu@3tr7o|KOp9A&e^~f+{rsVPw;DMuE)>DjRT8KI zhO>6As+|Mo?GXbWzk~3N2feN5DMCMWrPlbEpyasU!T+>ZfTlUeWVAz-yGyr}xhebf zkBn&%>H>Wv9`^YnzlJgJI=V+Ik0a=Q1mB}g8?<%|@zXHYlX%~#EqBs-6-)`nsa4Hm zzGeM{{*)qGJ}9R5I3{XiH&NU4hqpGR(Z3G^TqA(^Qt8ulH9C+f%GfX=6Cke??FC=j z+kRb03q{>Y@cH0-7y2|ip<_;KQq!P0;^#C=gqJH#eYFO0Ss)V%W=70Sg`DVbK=;95 zXlOWc*Fi3xy?zmP0Jsv)Ib4abe8dQG9@)BAR_PvL9!aN`8kq8V2R9n_1-a1%<wsd( z5)cW#g4U+c(%ZXuT;~4b61$lQ`cD2N1-Gw)y#~_V-z=RGzFua%`-||y%*L)kCPV9I z3lw(TlF%%sHKOj}bYi2Ku9BuD=ZEda$%yTx&u5CHMm8=lh2irLa|D(Me}@S&(Ro0* z5`$U=FBeK*MuV;7?K63Q-snnO#$X%U1mDRMx+a&iFU?E-^HN~a;~nBNoGi?|a$u?X zj^cRYxL?oOdPCCAx_fb@$0f>Kd7b}53njcX7Rd0jD!SV2tNl!iGEc=vS&>>5$F0UC z<<P|UA*QkLH+L$hlrz$<$_ZJqqg#TGC(UDnwcMwc6?a7hcdEVOg)w-kU(e*P@a!I* zJViRZ9d-d`3gYowY;lQ$vn7;k*6v;Ilv>80!tYSX(4U5MH!bPEzUI89ab?X1j)iCh z?+Q|<CqK-6hr6)@i(ajE$0e{zEfAoJI`}E5;)Y=ed`;{n!?k-i%{}2Rh)x4KTa>t; z2;*f(^_T?6JQ-2RxOFJ08{K}n?O8K_7B5Y$;@S(MK6p~Auz5TsShTAb!DCdKf0iO7 zgf|u^D2mTvVE)RlTanwYC?sb#nTm|T*~b12{kgR?tSQ%X3lxaa^*uVlijQih$UjG9 zg2S|4j?nithZlk8(;%cgL>kHFvj`ARrMtM%kTolcbxo5ml;C>9%TGNmK$pm!umR2k zpx?YBj$kO?Vy4O9I1#2@`kwPJM;nay`t`f{+yWhw-XE<e*>RC??CjIPVCSAh&^?1M z@!aiW+^tncThb`#h^#AuV3(o#Yq?)`&o_)%RGri6F5xZhuzDRUc-^b!RqZ2r)pESd zVc}!(W`zjoS36DW-rP$OTdhIwQ%^<vc|vn_f1+o|spWR(w=qY{p(9$K!MHgIJjY3{ zF3LEcG80z)#<Gx4XLL=?EF;tu_{`MH)m8hg1a`U%T$&|lcSW&QuaiJLq;|!hhCBXw zM55t34l7E~BU)6Rsb!o*peS*!{$^^olRp@(53CP;Fq_Vy#?H$MUjz@%jib=(8|#i} z=vQQlyfs9g>e)_5!&ueZQ~D;q9rK8Lk=L8f5A0IfLT2KUw#cAKI3D0yaLR;DYC_Z& zq1OGCv%R`_w2IUVSM|Q`_shcJm>gznWJ@;n8=(|~nWcOG2$>2qBhgtkW&rQ*Hwio3 zC!s2Z*SGjxL7aJ3)fNsAop7Q!p4mmyD)SO=CZ)hNJ~jgoFl#!)b(S1Xg*7;i4ZB+_ zYky-?E2VuK+aSxnKg>$_r2U$zLhm%E)CfApL%w#4^wZN<6q3uV!?_e$4AENH{lB;c z|52%cdPPs}!Ax+7@Jw9Wj*J^8{Th>oM4%^&DSP&uQ2_%UEJxGwrNXa>khVzZRRgnw z8VLQZm2HZMBx4vJs_g4hR`Bl+dRA>4f$WS<nyq$`YIL-T2;?a)axDmF@X<S%%Qhn( zom$zPZVS)k{%hcN&k5=3%J+)G83Ajb>+?O6sLzK!;P5FXDV`l~rNK!c<U|(r^KNMs z(Z0cNtB0FVZJk<SW*;~G%y@j=6l4{R-1YL_4SdK5eI=(9lhG@IjrGMX>_mo5sGam( zqHi%YC~~v(*Rg+fB<yWKNVN4FB`%Ru_=MXOa63ZV&`<LujLE2ftyz?xcuJpYM<Sx8 zF}I5&!Q~+0^F~(|f3)&XAR@Jk<eD(4D`ae;y$Ec<#KywRU}p8wW11oNTkRpxaEl18 z=;DNCX?)d*7<4;`<F%i}edPU&?WBQa{e6NRsf%iRqa7Ie74OFDnI5L~tS*wZa_wwC z;Lf^>sLyf6aSS^*^ACka(IIrSOE=e3u@Z?ODqx8-*R8yeLJ|?WCLUN+G+Zdnq(A~N z_4g=Rf-;vn_KqRi+2b<zoyrI#xovcxf9D*R?Q>DvE6h^&RNQM@>cA}3M$!Dwt?t4f zXlHf2V$!^ZD;-BF3D@7F7ssPbTl<1sWR?I|b%hxsmUL(_`*b<mNj})&VgN4`V+8Hv z5QQiu&)e6;PB2<jg8lZMVu0UlVFhlGP@Rjt-2!}_SX<OmrA4Tj^Rtn(K1Jm@BR#t; zYV!6Ou||PAcedtmdonZjJlXBylKM;#5;{A7J<7#HppR^$rKtf25N}L$%FyQTsXPb< zgi=U<w^1t&kZN7+f!Vdz?irQU1U`Qpf@J^+^JSYKH4>BpE6GC@9O5^tV+coNcE<$` zmoDOY20HjS?UW@rGKsIrZpLsB;e^EF0U9s@M1Up3XztPxb~Cyh5)_GCq7ZXo3pKBM zj?Apwwi2<Fc>zt)<xT-G^cVI~5(3`&9?!x18I~psIls?06}Qwo6%4A-A$v5((2()H zQ}t`%s$qxiz&Oh~D_db>U0qZp;W$y6(8%;iub-$aKo#rjNW+fv^`k3`rnpzdaN`{z z?aUSY!wh)?>8aC2)ve9|`qyOl)K-6lYN$JgmY#;cG)T!AU^j5#^k)*JRmb+wJZq3} zItVd1T%G48DX^B>ulivH{x@UrmMQ`C1KM)zFNyNIBX&)@G|$=_MOe8FUJcXRd{H{J zf0<~@Z4%_5Q}$2g259A(Lg9ynhjnVt;y(s^s#;AlT0pN~_;N1P#N^$q5^bpEdmzD{ zAM|hd--zsO5-;|qA(2i<F!$DnOx9(LM;JPa9wZn&)bPl5CaaIa3LfK+N_H1nyTLzE z#FpjK0b`Q~Q=296cg@Ukfvqem$Jj2KCbv*?q9;irBL|Xy?-``ss8jsi!!fCD$5dRL zKOQ7r?`ZQ~U)Bg@O+zeF89ylyxb+jsr}@<{7>V@;8I6f_4oD3hEji?Jv}>O$jjnTt z4L7BRpfjk*U?mrr|168Q@JL#69r-s<v|BNorpc^U{v;7qR=lbG^?~8tCOeb2Y9vD6 zSBoCg`+s??CKyV3cW^*J{~7-ykJZW9;Q!W<|BJ^e!o+F^G*P`oB8q5kSppIdH-F8d zfC&Qxo4!Q^#Atz%U4)U-Un3^pfI{;j7_kr9^ArR`u6<*&F=Kck7Q^2J1@-uwS{4bp z<SH@Mt1Q{o3m6#~1X$Sp7IB9<_yv5wo8KLW6M++kQ|&a@DgIFvTQ>Kr>9r+Wt4Hek zz}O~n3<$*e43a=1Gu08My`<AVZ8-3#>PlUqC<%+*v)l48W{DKxX-_m#Z6?7<X4EZf zH~^^7b*lrE7596lpf-0p^`*BX7ELAPd2;>8BW5r@MhA`aAM&_JbbQC$EOg|`G14|x zGdNvOLEYs9n~EAgKZLn*<{ED48R~2380(rS)~Ee*00hNK71KM<o_lDg!+v?a-e?x5 z#9U2nU_UuYO>-8#3zV1=AaXweOf_J|@1YpFObY7j@^79g5WMNG7)t7mJWM?q0yz-i zSq>8n@^$gN$8U@~MkS6}t16-2r3pyruS8pb(XEbEzOzI-I#`D02vn=w1w-aQua&Bb zI_2lzPgP4#u5PUUMO5A~RDn=B(<Qi$j1rk4EblT^nY;vQ_>J-jeULH)CJZw^js)IM z#!rO+3{7fJRE`}0A+SvRErd*{Lc#7gKue*ftEQo)DM+DZC?L#AmALr|#d(%V6n&8L zS4g?&yNf-fIDo7|A?A}@Twwv2-;>~;V*_akFtyKK<%@y(CsLAVY2%?n*l2j4y(5@^ zA0xS@o|ZPryo$9;6-wss7Wn#_T3VgBN-|Qx5(btk;=H;=TMV(j!a}V$)GJJ`SpTm+ z6bWQvWknSwiBt;00ZXhNeLyss09!OxqQU~-QO;cuh_R8Dv3y^fcVSak$3)%C*@6Ts zTK^wZZAmUoLEv2e8D{IKag7lX5&rn$<h>yJSCH311fazZrpru!WH3%mXna~yfLw__ z5~6xA>L-{LY|P<WQN5E;c{&ZNfMc-)>84x6v&?``lJzdhhTEtQ`=(owkJC29vSL7< z!Z^O2YD1LXO2cB0)wrs!)w#!&x5!R}w1fy;0P@4p(ooZozhXQIk&>c<P_7`9hohyT zrXfG2I5N}`1>`5z7X=qIu%BE^?zg+-_f`~--aN6xJygQ)XIlC5e{)3dzi8zriS%b) zDKqZa%W2*xAS#-C*W{Jl=!`u+HJ4<y9!WFTQ!|%5gIHth&fgBMX1~lXuG}ooj}cCZ z%zn+la8U1U4X&R~4RQib@n#@7F75gHIKv*#qX#|4qxS`-;0>I|0AV;?+6-(<{DZK% zHL#4{_rO7EI7>eLA?WJr{gWz$V5lA0d&iE91;Ml=?pUgBph!)@@xznD2i@+S1j-H% zAZC6DTzmeJNxFZnBQuh|O=KUEI5|&fPPzh|(wWmA{{a*;`csM-Yz{Y0Cr%*;--E8> zDV65zDaR4V9!J*$8t0k5^$9GHQ<!X8`<lM?2xhPu6b>~`B~E4s-2<9{M&whH8S!jl zdw9h-j&X|}>8EEi7W^B^plHS+NFfAFy`%d=7`m1@;JCEUEHHF6b%kL(Zryh~Jo+@~ z+mgd>r!F_>+myp@hb}ef+mOR<1$TPXosZxbuKjMiu2!g9lL4=7cRQS0lf!PSF147K z()%6KE;Xpz>Bk+CE)1w!-T|+9_gk!6)&Z}mJ6+}-9D_+#uJvO=a*`qGKPPZ7sqsQ( z=U(~+s^?JRaC}GwOb}+cqxeQK2Pw;BVHRc!LFV2FHK|WtcFiQcr>c|SXW5_KhAv$2 zOIBW<B@6hQO4KROE4eEw8%kbWY8Ld@7TP7e2aBzeUgmO9DbJyD>r~s#cD5g-?s8!% zx*m~c$LBiRN}cUx&JJ?NJGldBc^xW?t;P2WEoC;##mR~XO0plOZFZh7CaHG1AEmB( zJ3h=C>?I!!G?Pp>lmE^w^pg$IPN_rm^Hiye>K(VkAEl0RJt`gcyH+nU`?=B|y6tus z&qs9&?d<Js<ojDIk;a=z*2~Ldgc+j9dBycMyEHG|w!2N&I5i6@A17^gWWMyNx6KdT zPP-q^-KyseK8>3%b&6bVob9(9t<8q5K8-4k%bsf%d~(?`pQ4qj|D-;<$6Ida&pH)8 zNlv@Po9us{yNAp=BrP@`wMvp-bw+&BY<5ZSv!Xx9HhUxvoaz@8Z#1eG8eVy-o^H;2 zjDI!8ReQtz+T>jwAMWNIR7vvV^w#!vwK6hdO<GJpGdexmXV$!a(9L-EDp6FtX{}Lg zc*Rkp%y`zSYC83ur<x8to}k~!N$Jqz?r3k*YquQeCfwURsMTWKTwAF?_)x7*{V>`s zRmuDM^5$d7j(V1=;=lc?Tb{_TM=demBh~iL3PU1^WxCpWhrlbX)631vEp61H)yu<e z)B)Gc&BfkfVOz%|-M(V4ju}W^-3uY98v>&*B`oV#Ffr(Gi+LHF$4K(MBFI0E%}9l8 zA{LueaQdP@t->;?n9>|>qLBYz(7>z1B)`j9lKv@oBF7U8h!jo$y397`4h-}c1>7~3 z8&e34ib`TR5kK?9+(?EOG9Uqy5&K<1g*0F<JUJmDL1A@vN#A^5dS~EJJ(EM4n0JLr zHc{5j6%m^0GWOPaB#0v2oH-Ptz$KrsU_L+^cmp>_#+7Y#z3Qk<Oit&Amf4%>;_P?q zVKUDxGn?IGl{wj|%}QX#ItHPfyBtz;U(&xl=2D)|YzEEguu+$wiBdAMsr)dqxrG7m zuK4In)x*0^kaN(L6W!+IIPu5^m+#h<b!R+JGTJ#F+q%vYCJ`HJF`acjO?xv9XZr`% zj*KW1I{|8X(^&G6&FAJZ^q<Cmtx6%u6pHjAk^caaC>Rnr{FgVyB1i@!Pxw0F6da$v zaK2`jOdin$|2$&do=$tCp_&*wIR?aNX{xAj(IBr$JYy)P!Py(pY}!Ipt3=cenU}@H zfsQR<LDeH&z4krZG*WnDVq?aUwJkjqJhJ`5whM#3!J4@{P(k{+_@4oZS%m?3_*)71 z<Lb8@Vf4@FVrFcX;_Te?`jlLtHA0rjZQe~zgUMzGd*S|&06{_xEKF4ZSss>zS<=?L zhcPp88kR;_f*D6ZGi3ju>*R1kSfv@pY@5qSNaLmHR+qzcw3g`>`p9vE=14V8E60uf zOLhz(2&z0WGQ(gjK71@ZoJ1lKo(K-oNSRaZ5t;wNJzkV32$2w25Ry@tAYe?KAOMtw zn1!+!q;o-VuQ}@}PrFJl@KJLMI`EOHZCNLq@O8|m2a?T%&tsoz+<p~=^#X$(>6+#4 z@s^4Wv+4v@byb^0G_Zz^h4t2^?lR`Ik==sAgE`&eLG~(e09hg6qwOf!7o>_zA(qse zfl?kThx1$nvVEB8Z}JOkO|0-0dhK{!(9XVh6y&?o5KJp*XeEA|LT@?L7b_lB&f?8J zGbvf>D(=PF@g!I3<M{C|fpv|S>u<*_4F|57W-zJy2woRlS8rZ*I)Tten473o7`GYJ z=N+1(6J9vCZm{=g(l~59hBs4FJ!*7r%T^VQ+{Ne^Pn=7qD+ig{qaEJu$L}<5+P2N8 zq7p|PFY}s2;wcRk3-X)JuAplkw>gz-0f%i{1LyE110PNs;hs6i0QV|qox?@+*dmF+ zDVdL14L6$_O3ZH`;g*ddES7jT;c@PiD{lHxCw<Dt2sf83ZX!bhzV%l=4=`F!H9@aw z2a7nP80r;P+fWwQXz591$L(7$gYUP`tBP^uEIak}!iMz<{NnZ2LZ<j&Awpk6-o&wX zf8>;ASC%xUvwtmLDjw7GI~EnZRyUe7NPiv%_%ks}Ov@xQ$))FHPZ-p8EW^C&HLGfm z&jVtVAT(sRKB?pvpEaKPwlM%l2CxTE?XE+byr81RO5MC({vS#*5=-d6?@Q08H){}z zYhC%lY&?~<uJj2AmV(kIEC1zDV;b6wt)QT-NF4L;r3f#D5Qs%Gu9|FjWDRTBtx#yx zkt$5Nfe5fYB;t)EF5xp`okV|AF17KYEy;mWlwKoJdEByPBm?EQ>s%|`88xSsqiKjR zLgJVD7LcCmpx_*kwl1WoV*d3nAw>ncF13t9LydUiyT(v;yk!$0#IF@ETGlEbYx4pL zeLRi`q-DnXDn#*!)eH9<IzY%9e5MdO_0xY4A3f@W4M@!uN`;59S?b9q?PLqAXJGlw zr1Uvbmxb884IVgWIy>kApD&@A@~+o|KJ%iDjLb=87X#Z3#0unZ8jfeMFmY3515)Yy zAq<8JhQ|V+^|CGMcP{;_D$s8xP(aVAmRvRjUxjcNAv9?Zzma#dea*+WI6PcyEusxx zELA5hgC?eJl0z5;@Uiu4D}+ms!NYK7PV)hkmDnBqmH7q5H~q-=VB2Rank`-fwV$`H zX~3)IDyrDvj=NGHhA!79hqoHDKOzV961yi_rCoZQf2}6wsiG+oRhtvIeT)1`S;>87 zT)v>D!tLbD-7H-1&#SSH#v@d{2-=8~rrcToBS<Rpl9&-k!cfr=iqz3Ol)%fyP7Hbh zxbGViQ3}9rYN&LFv>mMvp|2}t8_Up&yKPz$%3Mf7LW)iyFKTobdFs%vribWb4*EVW ztMi~mbetO@aB(v90N(P0%8Am!B>q{{t5}#XeB24rDS0JyO*uFXCtU)L*e=VCkE>UD z&xZzdNh#F*jJ*k(3C>LZvq&O+9i$eA_<<Gu37OTgYF^x2XkDg?EYxdejzK1)(>5>D zGyw5WK>t5b@qf2|`|LZ-X8h+oPxEj5k5JLX*!2HGMF0)@;`x6l$IR^iy9JSvA*1Pk zQI6RdS^f)0{+dv!1B$HuB%tjRpYX&?;BbO#a><O5N<c(Y#&_qN|6B5_9JE|TFS4*a zOtbRzDzcCQyY!6zAB+LM1>m4aa26I(O1&E-;_nQ-WDUOU?l+lE7KUc6xYH4-l}f81 zfwBst#SUp$%&c^fNFMuBhe;g8*B=~*3k2RZL!#CWye1GuW-|qW%3z7XB9h|up_#6| zVn%|_@y9??RJo)2dIkNx#;^l^#Me^hG6`N0VHA&dQHX~O0#&&yG9i&bktB2!AVXAE z=t~+%Zgi2UikfIS-8fLV^@?qDw=-KCzTJKMr*KasrOxynZSDPtTEjKG$CR%rq*Qdz zV!kKFAnCQ)TtDcZxu50kwZ3XKSv-$o57=j`F>Cn3xb0S(vw{463-yXU(L9lHpVDem z?sJEy1#z&qIktypUBU^nQEy?5S@+fC>RnV2$^nX-%cNcO8vF^hq35qk5(Th*+xsKB zta9s``sSSx2>~4HEU-X9rvnjPTA6qExq^EbAyUUQ>fitXWKI||LLTU(u}kl;AjFPd zq`gnjeYX#zHrRn3do0l5LFXI4R(FO($0G@SKRa|V*pPsh`&f~IJsEXWaP(B3Fc$qX z0Jfe_Tq9*|Qa!Bh>CUb@M4@}{?R4lQCbS14LV2)ay*sq*Ac4EcJzM&yeXi4K(&PFo z?!)kFdGLb++gjNb+)vaC^!s<-NBA)H8P~6m?Lz;0hq{=P_V9@6b+(~Y%)5Say;Jv7 z_Ms3fuY28qU0nDRV&^5i!OrS#nAK+@IiYR;^?wXyj5X{RUm<(XK`y*amfUj%N^uqt zVF`KSMATAg59^tsRkpCfFZ_Osjax`rK9<;z>G}G{Fw@DWTYJyNb2y1i9_(Zn-b_Fs zF+%k?LsZL43E-DD@S<0vzK_vsiPQRXhnzX0HrWSH;bJE3<)@x|=_LyGDzbX3L0Z`E zj}s_M8Tam0>`&b8OR3Ro==rt*R_u<>X+698YVYlg1Dkd!KZs?y#ge=h<aR!h(h64v z#o0J$CL(!BGCBj3%enf$`$(0F?HyF$5|TGTCeB4gy>cNL!X%D%Tu3{dWSEek9_%@7 zHECpzntXoHX<2nW#RZNUl}BXY2L_ES+rQ;XvjF<OStO(u@g!39#eF(zs2D9AgGA6r z(@>@37b~17RL(SH46mZ9kk*hw5>fgW{VGhU<zOIWR9Xlokz~jfE0UBsPLh;H(eoYU zR00qLK7AczGbz!mO>~l?RBH3WgCG-)3<>jzbP*#70FA_IsAm2BEB~@GC%6Iw%^RCT zl0NFgnBGBBj*H}^h8hSlnsZMgq><&Ive82MyTsf`zB_TGl?0f?N{EFOVFPo|Dp=km zhyiUb^cthnOj@s4&uXFyV`?c!)(A2PnlF9<I=rYJ^OorTHfz6i-%VH7bv{F<@En&b zx9!^xH##ln8dj}R8<`OsKetUas_K+kh<Qy)tDGc7$<rzoNt{C$9n3*Y^6c0s!b~7L z<9GshL^yLM+euh!_0hYTzR*c{60Y60p{%3GmWptu<5hllC0~*ytAEbz*mqcU`NZa) z72<_7kmt<$0_?&nN31MbWXEAt4MgKgyeN1Aa>bEVlva@Y(f`<sMk%YBlz*ySW;7^E zlovRyVjM*=O`=-~2QrUiFf7aIVFi#RJ#0#pMlfqH2Uzr?>sQ<TU_#$pg(;7_Uo@?3 zs+$!h&$IuneCN&k0Hdx-cl`{7i+9@f%gDNQ1YTM2YDyB9{ZA^^b&RI22QxU+j#YIP zt0L8DwBiU%!4vM`=S=BO$R$aViv-L@q&zAFiP2s<;|jKwcj4G0kKB`Rf;b8CIHvrh z3vjr<6<onLt=dm&I)ja~_SAEt*+N430(5)fUNhT<rb&tO0_S;h!vwgE-Nw$<;xl@4 zw}sc@^FMI9sU`HEBPbNx2wWBjjvqAgrOtG+CWK1307`;F5V50Wfzk-Ax#wj=vMl<) zKNOV95DFYM<ZW|{s^n=hlPGQ3;SS{}#c_7>ilt4oJb4==!{#OUaFC{6%=(U1xnGp& zWL*pU@Z$4{y*vfs&>#sfMeZ(dpcYT4ApnfUmw&bXDrQpH9Fc_r7%UoCH2GH;g<4Z+ zxr7+<Q3es9Jjjq{J51h?J*hlbVx$A8B9p{C{3!ED*hCUdg@sfCJ@Tb9r~fX*tzf6c z=IlE9FURF|m0d4=CzZZ2!@_wwhKQ0p=xz7BCbd=de*!66Mbg43y3QZ)|6L<iG3n1v z85HK0PmI-$54`NCxg5YWiY5+8<VX8Mu>0?wCeKisL@(XG-+CSe%Cq-RjM0yZ99JP_ z1c?U^EH>ujp|jB(W=t9RK8B30w8hveBONc$z?Ew-WJ+{d_gZYPu$N}#8}peNL0KQN z>-i)5c%5x6Ib`eDaCH;@$}tVH=ECFl$C)x>h-JlU72tVcj9c$D;~j^Cyp8$qyTI#T z#LZ>P@0eM|WJ@Q>s~Ar#8M}Szb;VxC9MUWaC$f?X;ZznoDQXx+7YAqU`N!harnJob z`wqBBQWHx6Vs?Q@SZWO73BaK`YG90{kE=9qyGA|lj6{%Qim15m#A^XE=tq0?_|ZPn zm(Rjs=UrY?0-K)$e!H5vN7V-Si^Lq-I;bLY$}JDc#7HYi(M4f2>-Lerq{mbhJZ#{X z`dnlV;W{?60(S&__+CLWY1e;uxUgG2czDYG>g?Oo&bxnB6*>40>}v`JgaXHi3TF^e zNM!;d(eqd55D<58Ktegog+_VLFa?R3h=?Q-i$R#t`TZGK(38p?@U0&JD1CiFf(7O@ zOi{vx`V5GmAps2G0|b!*n4pFn!A0V-$usM4Kz3J&EncXXVXv5fVjpZ`F2roIEzOmn zZ>pO5PBON-$@r6VLz<Itaj^rJNy(`Lh9Rib(;U%HKhLTuOu>m~oJnhil@elNx{>U= zHS8a7b+Cftvs}_aV**W*dv6&Fz<1CiYf5J^MyRV>W$L5OKSJj#{@N&bn|Ssxw&crv zj;*&N5C@gV_L=0t5C7CXoVtV^0DQQ@k6HZO1V}-_DIW0#)0qQ?Xc?$MAuf<=W5t>n zweaMcjC8T&%nfu|@y(4|m~zbro49i4fc0j_k`z=i97zl(3JY38#0nKlr)5;H^-|Ac z3$o#mfg~O-5lSCZkQz#J_%6E4K*UnFXw?xZZ5&cFiP(kgRvG-@h=}8Rt@f^x6Z}Y7 zq8dY?55^bRJv>hcZrfd=rc=<n!G^syzbJq80LPHc_%?y_iQhQcMbpqAtyS5kzMojB zW4n89@}A57K9>FMBKO;+D9<qn{u2WW<X|HuqcS<;P^86OH2KgDhZ0?^!_wdpkn{I^ z&2P~GTRQ;Ur9OaipP-19Y>Jkx(9J)mni!wYSz5JD98}mc;rJa{4_nx_@o%O1IQ$wv zwjj*C5yNOYROx;x%=ra(`~20Bx45FY)##DAxY|`M=mt2(vX`KK4~(*=!#T`Vq6}f1 z>h*<%ay|r5PD7JBcnXF|*|&PW4lIQ(9`YVvz#b@VazC;YIPwiHMf^MM!Ri1=pfPYQ zO>DXw#hg?&kO3AeLCHk`1tQcVA%}!0g%Hi5Q!;Q2WD)7GC1UGPLWGu%Hf-TUO03~O zA1v$O<IYN`lDOU$!WZ6Sj=S*R<Xc#Eyz*dW@jp&6C?@q$1;<Sv=GvA1K<NVN7EtIY zj}-cQ1bM4z6UO5chDfhKLfREMv%rYqH8B3teMtvg-|{&SK%tU<4vliovS_;~oxmDe zj=6%gvY4sdR^!=%U!8@O%ExeQ9pSfP<{6xMiavE@V=yn8At0F|T9d=<($_#SGdb}{ ztw5;Ejo!+wpd%P{B++nEnw5WAb%f@ilH15h?&Kn5R(7@s<tE#x*PpF2fh>S{G8j$& z@|Bw9zi3(B5SWLf+d6cVAAGOGE()N6w<=17em-hH7MSr_;Xbj*r3CSqwjTiXnyqin z5W($G+Jr>*pTbs0wg`l<@{L=ir01hlToxv$+xwT3n8d6p)*3n`<T0x6M5t@68Azu% zQ=6uBs8n?`V$l@A;t}fa{z00X6S%r$hc7RDB{onahNemc)eMB%(hlB>k1I(5EOhtJ zzY($1&L8Ul-@S~ysiq+)QW7sAV9lv69aI=^oZR9g6xabtv}$~n09p-;s!-37qt8pP zXcQpl#G+U_uQt$6dn|9&OpgGhf2upGMCpezokd_XEvxq#MznbR)2{!7%cDI;pf2Yf zG9L!*#Jr9te93=xi06mF-fD5s(6neH&aY8N@YdV*7BV);8S2*nG+@LJ@+ND{%}O;b z=K8l`()3>H<#X36B7rq&8Y``&5ez6|4rnu;%omRp*p+KhNk+;jf=?ieN+?ln>X+yZ zgAV>M_Wk*oj3*&PGiBm0-0I%k(xJ-?+pkTBDIdSeWPk)p+X#gud5q$JcPS+v0s?X( z)jYpFbQ4Ix4{3@BMw6rh)cT0OKmeJL%Kr%&J$yhJ!i!HML^U(R4!2{Pg92*~haH6u zh|E2nN-7&N#Pi{<INWlKA4by*G>lJ4jPK4QjoJQ1XR_Sl0l-mF3k0)Pv!8#GjSqnY zEqKWG<^;hAC~x;x>2L4+IX+8XLg-1T4n#R5@R+Ef&df-#_C?9KRBcxuuq3Gv(8=yq zT59&s@ekG=nt+8-kl>BXVzhFI;00xras@{AM3Pdlzz;|L3+Nnty@RQxUz%q(Of}P5 zbPt9l%fFvz;!dbpl}2z07_7!}PZmXqus;%A#tIAI`t^+VP#7>{-~_P5G8X4;6F$Jk zO*fKbQ+5{1w%**eCaId$Vv<x`B~N(+NVZw_o^|dQ{lpoKidaLsjyp<_StJ9~SRNX( z$92-?GKeG~ZI^WGv1a9+U(dSeTj=4(Evq8N6s{<^eV*8%v<8D=1l~=oE7+3Nn5%6C z0XF~Q<i0Z%D44fPaL~SbciKSlwr{vClUHp1WwV=~HpyyTIPREkIdg56(Q<KGKdE-! zpYUNWeR%D$^!`!p?0t;2rY(rC+#<#r&h35wuCOo*Z&>wjk_fR*9v~+eBjA}fJxj4? z4;`7+_Sa{Ia$``MNWFPzQDy}q!bR)RIXeQ0;kUFGB)7H+JO;YAM)U~Bcpo1``6=e+ z@VU4!;<nR$_ERc)ih?J>Nkk2=G~@wp4@J<JS`&IAF~8v}&>=oJXPSVF{!*uYYRFAW zcSJ%@A`DWy4@=}S`xQBq;)SSKdnJ(2Asy|{(y)9Z$)6GNY~BZ0anh4gM4Q$bkit#2 zcAWI(wiyeWe2la6r8QAS?623j9>9qXjl*!NQW40uu_iyJQ1;fQicg^i6=F7+``dD4 za}Z(GofiA2o7moJ;=YFI8+c~7$<HNdOm!Zi+2awC+=_Z9(=VIut7^CbhRuhQX<>T0 z&GG5Qc~;JW>+InP0;LA`i`T;}eVbt%{_<5@Sfs<BVNjy?D>7l-hsr3^KuR(vg|Y=3 zR0!zwt0Gahvw0^@;ICL-@QBYs?P`JE(Lc?-Q^(Vu_?B=bOSx$xSCdg?uI1D@W0^r_ zC@0>Cvk|Hk=mnE3?m9n~`eyYQVFap%IPk{0l?{;RaNmx}SbYUk6$$OU^T8lq!4xjL zX!^dev~%$VA+BF7o?Ex#jqEY!n@GK`pl#U^=a*;zSI#a$($c3C!N{~ZaFZ8w-(GF} zzkc0+gi%X^?{7sJ4eWZ}xm0^R$TYi5+TOBn)V-^B$z2500(rZ*H^<{Av6ifbct!&q zKZ~7n<VEc$Kg=c^l9*O|(2$pRuP6G+&xLyND#n7#n(LYJV6}N9N+FK|SHVH%_H&<x zTW(d$Y*Lw@dwG$oWClG5Y2pSBL2M{~fJ_(Jd4ULG5^Uf2l07j3NXkzcSR4iC8g#_U z7J&!~qt?o<1JsVV>K6)<i24i!@n@5P*D@s4+OFQ9fUU+y!O4`IH*U5P$}rYFQ3)jj zZJy2WI09>s`THeHNo)%!&(<af7?(|)$rC=UA=J~{oAMC@%)Cz5%MD?gP;)Lkbh8k) z6I3u!hW36=^Gnok`=Qw4kG}2^H6v)8NN+@vtFW+jVKE--+?jBXgE)<XB~kyvDyVnU zIdF3=8Ca-|poQ$K?V;mAmxh4`2hvbvC=sTmW5|zM#z@<S^zuOL<>qo$cbUO^7<p^) z@%WNR?^Q00@a%q@P-RO6Wv<_l2K~}V$%wx)+Ovp{G7cyQ)-PJTEGn<aB8cmEr-Jg4 zy@T2$>YR0E#9ag^`mLCz+g162$f4pDZVK0sf!1QuY|L@g_<jr^yM$>1Z>s}=WiG9w zwc}0QSEc3O3}5E_K;jEQsTMj--Z7H%#6rJ^qO@Ap)ov7>-BNB2tgNl61*foCCP0o) zrH20E;_zjH<9m=Q5gZ)(fbcD;0ZGs=+h9L(?dOP-+wta@&}4u9L0|e<1J9YE7ZK4h z)i&f_l${zt+BG_dJdRyU8!qCi)1L-YY+N4x#55a(VzECHh2G8=U`IX+{q#tm$8}{H zTsWf`N*^fe7s?q>KzB16USQ(@HxgxwNRYNAHGqj^s0mziItCbznVnmCT-%9w9xQGu z!21AZjG%qwI3!yUtQ_p&-jA-zyX`66wS!b!0Nx%Zsbi%VhQ?tYdA)ZV8FbDnq-73k zDSku6RJvxRd_I(%k*g6AvwD)a9MWGQqVN0`&YlNh3P`D*H%feuv4{RgddhUxiMd?` z;GAnSwSgsbnT1mo<J@5dZ6r0FgDJs++2)XnP@odWzk5P8(yRE8o5D(dzAJKuw?Ol; zjXRD*N20r8koE4wc+KqLh3E_<O_yy*Pr|EcIE=YboJOm&p+~_M>Vz&IYF8g?vU?Un z6vg1I4;EI`m9jbyXQhToieJaS%!wO%RpO*`;Gt}+${K39o@A4qsuYbB_exY$dA0tE zCd9fnu3&~N43vUTMg;wE+(X|dK(M>vBDnJu>&)V$-4CtQ4h63r7t|b`;%H*awb>K} zFuarT!}@7-Zeh(on|^%LOcA6MU$+j7tAPG5y6!wG$u;~JJ~NmKsFfKGIHZ;)Diz`g z&X$>$4ep#OrzYolNRXT|b53yz&Dz#3w_6*W#i24qM7NbGDw-miQ)159%Raw#-gVZy z&ie=duogTE*7NZFTsO~sU6<iHId3U-)o%Xp7HfnNPj{wnBU8B7M@sW%&t4*ZBNgHx z?#vS|2i<Ao+li0VkN<wbx#yRo8}L*m3ocE3rd@2jEeJOo)<0^eVfE?AefJ9>?Ex>d z!M~N`|7!bj=#i&Y=d)LPv&fLY8s%L)1er<EU4K^32xzPR0omS`VxCdDg(uhSr6-$$ zGft)44@sQ;u<epL^bK@&Dtv9Xmp@#H<%*ZMj-(e8EWrBC|K_=;pVCy4aB;BRXPaMe zNK<_H(n0%gp%zZAj%B5PkEA5!$mEdRn%w%nD&+Ye!(j3{N<{?%Kq_%o-X|>Q@Jy?+ zng*mHDQn)8mhj6g=P;*VCArBQxNE=AVe;qDo~N<bt7o(~(gfv~ff+OBZ^|?fg;^Ua z|9h?Zf7<KKo#L$%MPuIod;DJ;jC=V+{(l<mVGR?30A~<VlEr#CwhtzH;Q;^^073v^ zh|$KkKP4AOfB$Y=fAdpP^b{CC@g&u`drSQ9qyEnd_kr_es#2mCqW=GmdhOQD|Kq6N zzQwKkFPJ!;fWcs5FevQ*odo|spF!vG1_QzY0RDUYU)Rfn|Bv_jZ%Ld1g@FLZ{xJ5p z(=W7-qS1ekNHl&hsQu#6L%7yDZdSyzFN<QKE^XogA#$}HH;I66@zA`?jL@Yv(oHRo zqp!6IVq;U&Pjv`E_1Ak&Qwi7W#g?CH6Ml`}omOuxs^WT0KRrTls=wZS`mFz4W9rgV zO~S%xMTAUl(Tab2<Lsh(V^JyBIYMUibO_<&=-vn!?&$zR{pesgZ49$K=D7}w^Jm0> zO$kFGG%iL^PcFsq#sGbii(K9s><ythgl0&nYzCHKSoPFmOlLiCL6XPi^-6NNo@=lg zLP|4mUUHbr`w6Qec!tnEW2(nIf5M&<E``trF^n<ZD(r@TCLiYK?-@-Sz+{ejuENR* zh-RQblFj7_VWkA!5E>hkTMwL(JQ2W%qOmZZV-%L8PJm|=tsCPw=D7mPCL9Q%bzxHK z$pskF81Dy6)W5$8_)T(u03(vtiNT8I55tlOnoYn040_CS8Rp@SjRfNef?%)?VJMi! z#Q4<%d6G3;-V*GRKQDr&MW_y@9U(A+X@?1!!L%04@+h`ja?PI+0oEjRH3G*Z7yUgW zXc~mdM&PI<-ya)6tHUryc?&Rqf?pANNg~p>+K6U~=ac{+$<5QrixR6WY@bA+FU1!Y z;S*>C9+4ZOlS||V*%Y<}pG9IzOtL89ut1+>ADF+7t`YdFTqhe44!iBMYzY1$S4}5> zm$>9xZ3zA(_kvEIlW_D6Gz1^V+v35;@VX`BSqWQTT|;oc++8*x6z1!*Oa%AI70}5u z65T9H2&@RtDJJtJbbU!auzY-VF?m`-(^r=W{wQ~!PM(tJWMNqnSl?v>@CUhLY)TL; z4ewY?o|HiQ1{#1n<o2=wED40Kt^o~)M;BA`<wEJee7Re6GEd?a3lIo%@mVH-weibE zZFzE+=;S;(M|xYXoGqO^F0tW*_J+maIYq!+xv5@204xGuO`Cu|olM!MrFH6iOlT+X zqr{vKi9oBD>*~e&!vgV<Mbr_AVRz0pXpHXXQN5jDisQrqA3<Z>Gq*t|xGo`xOW$I> z8rcRJ|9$%cZyFl0pWDJ*gND01&Ol$|7{UZ1F0&SN>ixdEW8p3hAVS@~&fY8+Am2XB zrv{(1P(ojR!Eo%eugAaZUuYlfzPp`ZfG<PpoG?wYE4j|9xLPqor;~j5D3qiQ?v*He zluxXE=p|3c7dT!?(DEL>dHURk@TWxe1`Yd&s8j#gJ8eDPfd<!m4`3kIQZ&143&gs= z&e~n(v)pn`j7=JiHBW^`{1KEtIm~6ZOr*P!wm}BCNFj)TD~O}ci(i#xwlI3d-930) zpc6R1IN*2j3$m4^%>5buAmYu3!_upCFn)Vw&*f@9^cjv6*ETCY*o5sB=QJ@_p-*tw zxHf^fr3ZQo#E~tIYMT)^_VDCGOK_S(5L@<E3rPs2<Cw8Px~yvpa|N1*W5l*iiK}_& z@}XHcv@k&zM~Q9wCNA&6*#Nzl)ovmEfTrR|v27FL5+05lAYB|bwv8v=(nMN@y1R3x zp|0-L(@-jovjSqudPTL3i&r->m!KEjJ*T1P-AU8XbMDw_XdI3gL(Y|*Zze549o;k6 zK~1vUX67RFjJxAHs6m$1Oj?8n;V3a}BjQ|l&YOg>ealsz5zx2`j7E^D)=+H%cb~w8 zT)K}}1?Uqk!|}qQZ?vjw6AZN~-GC+gST5A!eVs1Ag+06%yxu+B7oOqJ8m$yJ;QXH9 z7rZcNm6m61!smU}Ri0tc=QmT!x<KN?=c;|6&$LKxZL@m@UtoLpa9%J&p-;51Zf$}+ zmKV_tAkMyGm$sQb#uq((pe0(GwIKGsTUDeGC|!%`3Z(CItzrg4^RyVQZBu*HF6#O~ zv$W8)3A$Po*S2qa<S%mSK=1cySCN9Csaho0wuwCw7ai+Bx>{J*Hr}3=7o<R_`vs0S z)b&EOH<YTysR1$fdAYQW@2P&l41iv|;OPxLe}Uu;J$C`?4UN;{T_)%5n}10PfI42t zd<$yY$9>84hn~6M_!iW#kM)w|4-L|yTy7iL!@a;cac;@J3BSM|^i?0FZ?InZKIPI^ zS-HOIT>3IA)c5HK{g!VH{#*(DhHp9k-(va{Yp<{RFkRI1)<>Oy?`5kQotw9>#=oY| zhN*v{53vRfWxm`0g@49=<@0oq?&xb+V%KYb-NziCGi(0@pT$0Am?N++!&BLzK2Q7T z#=iRab2IkdK9j}QL)3fe`o8VOsVw_^ybIfzC^K!JgSTTJ^Lg4u?_}-uQSYKF`UV$2 z3sQei-|u_2_;8SVCmrdlU;HdkT^BFKK4$Q5J{{t_qJJ)*UdMXn{gg?6#ex`|dr05) zY1hvgx4-C9qkk@sUdcM<t$rB)v$vCQE|<RMGf*VfZ6Af7=nW<0jM|^^d0v$2|FoVy z<#Vq{%>U_II@jk$%(L}FWBK78bHeP!Q?c&D!n2E~qTRcN(u=1e-5Z1h3#Z=TzD7M` zpL&h!kGjr273_XbD7|nh&^=n1U6k+RksWt<?a)yEaMS8m_V-h_+;7CC{(SN&-`V32 z;n}%UmAI0Y3jUL0xU#5^t4{{<Z9NXg*{wd|<dZxMg#$CEN^$h4XTm4?xS*C-o7wzR zbljB|Oa7Cdd~J{Cv8gLhKIW@=92B0NI(5~3k5GE*R0fXNGPoiA?Nl1>a7*rn^yH~z zoLr0ZhIBzb!sB4<Y|p6#TvXJvo>Q*w!z*Wbr~YsU#UAcC6_2|T^>OKm7S1K=S@$Vt z_rjIz-25%~$(Y04r($rk&5-FQP5I024KW`VpJ?DdHCwI^a8Cu}-Zewk2S!f$<6f6A z)*($ALnh=$GJ;Y{pV(S4b{!J!kU0aX(_n@q7-`g*P#47Jiy3UO8)rx}kPrv#3?#^b z6azBQhztP{Gzv_p^I})e0AdnOXhfP&zl#kOGk!u|Xk0R(&WU|4#{Ps<Y8aSM3uP*Z zz(SdVQpPHzLgRo5RUq0H4v0>`Yi!7~v=bj5*n{8idJ*{Gei!A?vN4q|6I#k(i5Z-! zjso?{c$ET#5HE+oC{T}#b17v7lB1Dn+*TlCSxRDwwHE_cAQ>8g#^i5e2hLPSg5Jrf zl>&Z1k~MUV$rEDCV#+dvrm+zWYLkg1lJjK(iER&MAf==pF~FJ3X-K>VGZ@q=<4UCF z$v6{%c{27yYOc&`31tc5<WL;}YL+1p$zx*64uKJ%Mj2xwc~nf`pc?_Im+344EJ6Y_ z0*%Nch-e$8QNpOysvLC@v2owC4-ss`@P$NKQ;a~ys&)8;5vidEOg3WfJ|i3wYOU)F z3ART2LSCs-@CgLfKzzbisjdgua7eYPA3ouWRNVtgFX9gyreVS-smceQy@<0m6vKpn zr7Gpfg;EST>MY`<4aqQ}Un=DRHWb28HNYqINku+jvJi$gjy{lL)ggH*AE9qUA%gT( z>*T4^h$A*6V!}r$+XtR3go+K;2Xe(4Kuq``W$=Iz1i7zzNuD~1khY;1By>m}c)$pR zcv_PT656C<<)}PF(|tw{qVB$D4+3Pv@P<%SyYv%Ur99=R<A|#J%x=W<`;>0P)BB`u z#FjOakf5nLgrSZh7%cD4=f#q+0gy=5It+CbQF@=@4+&K*z)-n}NoxQh;jL6%I%5Ic zti=1pT!e4MlGeeorqwgxdL@pKYOKWk1t>*vH<=6YTV|d!;4o9iZJ?o2fe=VkN)ZBw zQOr$d5vp#JIS=<TLvI6zP_H(b-{G!iOg{LflIJhx9NgKgnh$=aMEb>?g%8GJd*Pf| z<|_D!680BU0Jk(lZvi=|;!WlZ+}O;M4=z#C6av|(TX#r8FkOkc1E8Z^?=V-uc}k2O z<`i7bOqUPNQbG$wEubhn%x`dcGtLI^Jxcoy=?6GfiL}F<fJ>M;ZUA+auscj1yd{>j z40bo=OoLrbtEa(KCC&<viSpWHj>D^CnM>e{rk>N_^QNR}@HtcLG&oL)w@u1L&Bu|J zz>cPw>%b-yH;%aoK4a>*4s1ZN;z*0&ASKE+a|F&c<-DPeNiK^Z3XVI$Xat&KhH9x? zNx@fAsU*)I&<9(*#R~_&!Bp2$4KbCYfD%d8S4y#D=U2c2jCYII3*+AM3<uX>Qbqyu zu;E+0FmM&dvzGc<vYPGv{#|0i_vZpz+cfGY$x46EUf5Xzz#CkNF&L#3N>+RY6iOEO zGeW@?m;<8}0n8j1*a+lE7Jp^Vz>Eo=KHw6JW-X8{dCQ*^0;Xe_TmW6t)t?y*&ciUc z%qf@}LDvVIg+bR+bukn!^BYW_z^Mbim(=zr1%XpBBrbCTCP8ql1L|V1TqY0Ja*GrQ zc0a-K2D_f9_6AcioU4H483`}t<EMou;oYUO;CKwPhH@Hw2Uc~Ux`u+Q#Z*fBvhyz< z;JgGL!4%X04`T!)fN@y)EzbaOG=@?`ZIa~O=J|ueF_AUY21(9sPk(SQCS?S`g^iuy zy`jDlUv;OfgBoQ8A;3}b<$7kR_>?=T54z$CSO-Pw1kQlo%2qd1O2h~2NefVct0x;e z<%(rP{cwO8P=F4P52}^TY$nY^J#id9=x^D;W<ZhnD|gCI&|k8S&CEja3U|Oy(4Vr7 zA=G2Cq!8f0;<@gWRnTKubTdf+CE)`3phvRHO%%F#s5^rNHNaIz0ei*0+yO$6mrh_5 zut(h4ow5STk<Dyk7KmHAlUUGpSHKD=LpHF9^bL9dR~-p_C$8oW_yJ0m)omh8K$)(T zWe`nvBN*5w9$8Py7Z0pwJ`{(zlX{>4T;?<=UX~dQY!!E{r{sw{*8}pz?dvJI;;U|y zC6JR&bp)_koK#O5gD&d?MgSYdjq6FHP=Sta1h8Jb(+#i)3XlzKB#l6#HJC=!QL$Az zWf8Jr@7V_l)?oO8qSPryKx3IYJat4g8-mG(%-J)-L80opzMx=rv@htD3<Xam$OPi4 zU&Xo{u;HL;89zMri&&ilr5Eyt2GfxGNvzVrvlnt!gJMYiSFDmwDimYTDYKB18YDw% zzgUU`HWb8=F~C#%#3CJ-EQq0oqYtQ9W~i9Lhv;iih(LXrx?;*S<cJ1|Nc|{g>)^?P zsAyn)Kv&cOMCu1I0|!PB=)TOQV#*{$T7zOh?GQWQzz76+s*?<;ZDO%>3J=m`&**{F z*?aatKpG5h5Jjd-pV}(sNvDiMs_dEFkmvT4Zpc%6Qa5Bvok^f-$_y1z#vlxPYyc=y zrml!G3MsW`_=7@a3W_LP$fP=eKz%D#cbc&PY)0|^yUMqWN$bE^#p)SgJ&Gfw7^9eR zfKoU&in##3rQ|sS3{!O61{k6Wga9HcMF<#%GozSA@VY4GJlIPKy$u+Gzlvgh2fHdU z`M{Sb&p75B*jcHX4}69q#W82WgT~liFvpm=3VebR-QXhtTPmTq033L66mte_tmMfD zmY_6+05<%VDM<*VqnNP(I^5NixdO~XF=ClhU^OLOJ}?V~7E*Lklvw6Bu)Gpy1MnWM zZA$t9Ohu7mnG;|MCC3ebE(#mV<bhj^Ny|WYMb0$PRk3;+NJVj008F@76muM0ZOmK( zUR3m)2A)?WO#{y<VyA&|C|(RH7d~%7S^_#MX08L8;9L{tBJhl&<2s-L&N3k_0)tSL z80H9=tH@bv?%Qr0p9y=^x3tOqB8*a8|FKij8aMJ*7}l~jyHlJm3@Tll*eQw-*5bDR zL2cjO{pt3o_pRyozgy=1-W;^~_3uUDb;aJIJF_{vg0wpV+AjTfY#%{b;=w1z3y+%# z^&YW2TBa|WPM>L+%Gm6Z6<$$XQ5ye&dGtfp<A;pW57hXwxDrcdmlq$^w!Y$4+7qzJ z{IWuMH2qt#XZu&)+m-XB-Djc>kFUonO|QEzmBo&I`!zc9E9dW+hDR~=88LP7qJ8a` zNlTze+3H4$sW`_C@CZ8ZLRti^YGeCAfwB}|V8s5wM!*Pk$c0n_9n_()L40iz8#Jj+ z2?qx5U-kj|@7HYve1&%E0K$Q{_b)d9zCf#8NZ&!1WUCthpP(;XNOK@Z*}w+CadF#P zz%g-MH_|M~R#vwG&=0+<0|*8B?q9A4^g#<;NHd^rZAu8RNSxzJ;)8T$Nj|`Q@oHDn zG)Plcw;u2jdf$aK1?tqsvOrkb<vPFz=rJ8i5HL;L(Umj_Ldyo$0Xm?2bpR|7LRPnq zf)hu(Qu3jpE`WUKEf*3G^hz5L2z1%M{1%`szI>UP2fgG%%7Z$(Fms`{E~IhL#(uOn zFh-nn8ITK|ItmB?Mu=BmX68T#k5c@BA>x^rNn8+b|MDBaTj(nV#(yWrkO}D#Sn%NW zr$eL@fJfkYqUiismB;o015uP2Km;-{1~391B9cnLgNhV3kS|YS11IGvYk)xHG9TcN z)Qtgr1$Qa})&RGW%h7-@9Zik}RPV&sT@CuD%EI>XG?NFiD1ci?PBh>%_=O_rC*USB zGa4`mE><M<0te&)y+C`EV>Dm@d|#2YDr#>IFk#Zcv5HI~zz4~RqUghU#-c0n80zLf z=T%93pe~BU2js)6jYTKCCQ3I7@DY5UNSXq6+V6juu;+fy{CDW*ey6jSWX1QoUL1d{ zTKz&753~WZoT~vAS3>U|YmlBjS*S%nQ3a_#J9YA&--R02REdH-`HRD@huJ4zYPGq1 z3^~Nk>wYnK_-W{&FL~}4Z`2OVpRCb(_rS%j_vD{iWmUNiXY=zeUHrqu)voVky;ht{ zZFX@U;^NiX>>CRw%d~=A#6k{n^3*RzyQb>(oup~WRjJiUm*%~B;p}^8IM4KAL+!xA z$?yw?wb=_NtF<Pooa+asPo`#TnYg5O+XXocCz{NLKhf5hE<HA*Hj=YgTrpG8W1<Bt z^_o!|&8sSQjyg-vxq2qsZPx!#W8UtCdzbBcPKIhVzufD6sI?)lx_A|KvHRrt3ww;k z`c9s6c<%PG+b+%_IAoyU*k2mWr4>=x^c+P@Tk)}|Na;ztRE>V(^&e{QbL7t?7^g0) zF>^YL_eNz;*(GZ9Rvn8N5ZIM#P)kGk6@59zXXd7iuM5=-G`xvoLN$F2m(r=|v)@k! zYc0I2cq^Tox6)9o7CDfgqkl%<M6B2DhJ&Gr*w2dN8d;^C(PwAvZs+nGvTL(P?3y*E ziK$<fD%x^(9ae&+^K;(#5$%3d)Ms6AIrBU?n`;-X@v~$vVW2o`=>Al6c3##WHr)!> zBPvWZekebYyS`S@khO8YB1SqdYfG)a1mdmsDQl&xbk$4ESi_EZz1y}~qb=RpSB;?h zE($MQoKtn*(rBPCE6}D~cp`hjwn@WE?pd#0q-tAfE@5E7Hp2Rz$;aCj!#!E-`>VvW z^eh9LVR^fd3RYIv{kz1oGqz7vqaN%v%of-_RxNvwYbY(S%~5rFphi49ZJVW<DEE<N zd&629FI|}R{=OG6yEMyzP%~P|8fRvBnFR}_iqj1V`n6dz){m5W@7$evOi+4v2eO@& zpRsGYwbIYCNfwRV-RTN^j4xF3zH_%et1zqb{#`<LZu&sV*jGfa_0u|~kDHZ0RShvz z|Gf=Pv(}HpOlyQ$3pQ_6f8MrikeaaxRq9Qj@_RK?*<%x_G(8*lYTYUKZN{Q$;fhpV z#`9RO>Bm}1n?K`T(PtiWGuC1|XH=OPHL+?NPSZBIN~iBQulMI<%v&ey*mm2bDjmM_ zYG@<tn@xri`Oe*qtbz;`vxC<v45cS+Q&kT?@G_A8W*dZg`BgJabujCoO|<;=po;c% zS3<P>;Q&<=TSw~^{ef}oxBe#zM{UC^-ehsDqcLLsj|r-?af2}xM%i5Jzf}9vo!|Cr zE!i|G{gj*iXdAA2TJCU<ZL)0$ChMypShXj^!R)NisW5{R>($^iZT&#?N1XGP)U3^8 zB^Q4P{Mnvqn_{Izl`y%~9^1>-d$=hlx@}{W%I@TD^%rJ1n-$`-^U^H|-CWy{$EQDM zEn0)*?Ru;W(&B4(!gfX~H}V4?x29L`9NoFOvA+CwZtI<Rxz^_RqrXq?ng}C$JU+MH zbR^Uy@c*72*NG?pcG!KggIIlxkN>#y?%2w9qwnLoR_EQPbm3cJ0DoLRe)CoZRA>F~ z(sbs|yMgsDJ>!F|MGf&CJBd5nm$u^mebYZ8`T`F7=Wcvqj}N!Li67ZX|J5_SB=FF~ zIDM@=IXV7s>+|@F1j9qWPR#_Aq(8;_ht_3HSo>q5^o~gU8rfQ3{JSW<>Xz5K>>6%n zTrb}3_k~?={PxA=^3Q3PaDO!0{!|%EGsm3`aVkvZ?u-_7g{cgs&e?nUmK({m(FMI$ ze!DHd61Oqy7Z;bf9t|4t3p$fcDgG*^GP8^BkcfV+WsrJwN#*H&fmMZUp1aqUY_~^q zS}1NVq~AXv>t<1!r#G&!*_Le;asw9~k~M9WFPl}55UTX1oxqhhRkEx~WTV_yqp}26 zj5o5Q?xFCvY^#`I9YY~u38(ll4S_q@B<QgUcrDXU_hVam>Z~+szFsM3rLkPSX3C9a zf7IJ{TSZy^75}(SxjQ6l#Ok)pPY1Obm9|uWjhds`MVb*RCRRZ*KO8!f{K8efrZHTd z2~P9-dQ^0*Zm}av-E+lfZGFmdGGY#1-sNV@)HiAl$84PwgUb7KTq^CSo&>5`bfzL+ z+gEIGN>jIzB2&33lLGbUMS@hWWq{1<(~xz}qT{1fhJ6L0f6UV9sl8VOMb{z(MAE5< zqI>`wC^CKk?!zw`Qzn7ZC`u%y1AIV{u?+A;k|HT>;8-Gs2W*mO^Z@JRJ$ry46k{4d zfp<ysaDaz^JMg+-fEK(m7;pq$5DYjB7Z?G?f#vd^ILa81A&*@GM8fNgD5JnqdB!3j z6kcFN;Q}W?%%i#WXg+WZ$qT2v$&0=?>2^KhiK*6XRj2P06Y)g4c*B&qN(h=yro*o* z?v3V*kOSfG6r5+UZ)uCjZka73KZicW(NSt7e9okD0o#<ghbHh$MBhxdMNL8_CPN(m zI(QULJsjdVL-s+g7z>09Je;cdDk@Vzehkl2^ol}zPLZ!6vyBBm7~QmksKQ*Mlz|^u z9e5bAtB0Hbk0bgmW7}yU)Dh#ZK!!0~Sur;<bCR3}*Hcu4SS?|-;0p?!5srDZa^2F; zcKf_L?mhQDCEG^U1w(HHhe!V*HacP{@0X4-$PT%&#C=b+hsa+`hQB+?4ktwH+i!n3 z;*g2fw2N58A!Dskm)Y<`BYE?p+<Y>eCwOtp_YhI*ol9!?Ap@;umyf+CYqdUB?QN8v zKY9B?wA;t9LnpLeS9LZF%$<C$_0r{V*rCBZhl^)x&lcu!UU)T}ojqBhm0qRRa8_`V zp;h8C8*->GkM&}({wzJuK$QM=Ax~I&x))VyMPd9<>wJ}E{lL`8Y^`*c!@-C2v=Uv! zf}ea4G2w=5YS5E+d3!IObQKGF(vc@|@rbJ!>*QT6$*NWFLv49YF9y92>1f?{IUIQC zs1~p)*ZWCpUiFJ9(RQ%B7cZQ>4;|4u?PAw`GEVD<D6pS2=dE5ys5v`!GE!^wrPtem zoV>XU<(IGfAF9urypV8t_V%H-dBYBKCaLQcqd8l}qR@MkvsRoNlU<s#QhYZ?x>V$Y z(3tE6yKsl+A!irtLLCZ2vWs&ji!EbB$v0L!#jp69<8|hqiP&1j2~qk_MN1du{Bh>0 z$@QOVpK?AI=SEA<+5M^UjCi=$&d)*L<k_m)K#uhpX_LdNYMdN)@oH4|jGd3eobfZ3 zU9rY(ksi+S?Fuxqh_fqdJvm3tlp9}PQTv$l{y#Gm<g^z<q6WU%J<vE_+8H@8X?IWK zQ0d*sfeE`LjeVsMzFK<@?95hhHqXx8VeWtBe(~PO?1wpkGu^=h<93%ER*Yu5?JhVx z50=i$d0MPCUD1-W;czc_U`!+eGV$<|T2s!VLyghwN4qeM{*q%6A}R!Fw3V!ei%@Y} z_2+|5qk%=+IO_q0)IQr7>uv?RKHDhkb_KCMQOGwad<<7JQvD?NEL_b{wO7uLZ5w1= zt{}#?4X_Tz56s)vsJ?puF*;k6<!%$KklJheQuUt)uMD&2Y;RhhR1gcR_&00tey)$& zF;)5lXG7^(kp@ylED&kH*Lq9-V~84FHSB@2j~YAcgw25b;Sja|{18gap0>>sY2g?# zd&>5j^*wnzmhBbm1o_z@wGX2Fyei61wRc(4Hs<oPfodIDdu%{>=?Pn!YMh*1pc+o~ ze}|kX!fYw3-g2`6YTBxs>7m|gTB_&eQoC)>S!e5?6?IrwwUWCYpw^tlxSvaq9<z;5 z{hGe&C32F$x)5XMUs0FE6XlRdId3we%_e{Otv@zZn!VFG^Vmdb`cB2nV`HU>|7>EU zG<wHtJF6sPF4lQlsw9ISt2Xo4K&k%@<UhC6Z~CpNe$BXQmhh{x*XD1f`a7Nc$DcDU znf>w0_UGf{N>A?G-RhsUxnX)zC{>tYZ+7HY%If3(3`?^;zy6C*rMNp&n_2V>L$f17 zky?tBuHVVsl;YcXnKtZntvv3|&@?ODv0Ztrr(|_UjsI9z1d!GLB$BSAcjwhc)}#n0 z%6CFHvL<X2m8hF3%a7YKT11KwNva{XbNca7CFq@s>HkHW)pe<d89Sy8D_Q^f$8!4d zVI{lG$|cp7jPlr5>sezqj;7t)h^5Dk8S|#mD^8>T2`Wx)-HB@xsMH)cwdOQp-HiF+ z|EkgHQF<t0vbMi8J(w_1>r|Q^NNBI^FG=?o39pN79d_dRXbRgp<V1F@Q*pW<A)&Uv zD4l!jSYy__^*@+4|3RO}Uqm*#TI=-P`UU2te?>!oVftBu`KTaN^*H9q|I!^M&)>44 zUtnF1N%V&_^wZN#2`k(#mURgx?`ulP<KFaRBFZ2_RP`}#{$BMW4&`D_`>WOW7o@8Y zq-&iD(y@eSZdcIb_vr@+e{gMs9(Sgr35Hw|DBIJSw=C=WdDb2$q`6%^)@~;zYx*Ci zg9rmPQao#y6I&yQ9_u?8x368@*5^-@*YxM6SKJzWD>ZKIbYghKHb7MqGkyE++x}7O zaE!^<N`KXcbpDC#5lw&9y7aLV!6TIm0ZSfZt&Q>9JD+~_%q*3**2n+c=@rVZ<4Rj2 zcE@&K|GFtMP3!CU*_{&MMfSKs{Po}Mo7?=qi&|^ry??*oP5d>&U;6IxrS;bCn_cf; zjawIgE)}*ui+B26x_e$o==C@jfBN^s-9x{Owl1y)2s}8gzPopJf9~Am2h51<Mf~l* z`)Q|WQ&xzhx8{oocR_?Tqs@yeO9ic2@$Ysdg|aJ4-#k9FrpL?u*4aJ3Q?w!bV`;*p zqct(UZRgOA(Z+g@M_a4=Zuq8%WDi@Z@vnEFJ4Mq=;~v`azjoa>Z%zl~iL~disWlxi z?$Offw7dB0)3*3@z?er<Yef9QuIc9G_5A-}Ro@yEKOvG<*!D=c(~?z_?1KB?jLK-* zhU=@Da&FqHYwnB+H*MK9bf$bH?G~;k#Hl3h2Ch7$zc_8mb?=PIa2n5bm9IjO?R8g+ zahkWPmVI3>_^I+GZOC;nTI##iU$W2KU-8Qa(;RVz&9=Q(*LBQ8vSzKG$Y!}8ix%Ol zOqS{%$}jIrGsfwMIL%mji<Ia0pVIVk?M*2xk=k6`ouj0tt#V}T+>i0gyV5#c_wrS` z(iCyQO_e`X-ly%yoo&+mq0*U##OXIxF01IuO1U44?9Wew;8ud2^3&>EUrm=Y(_Xnk zBAp(l?dr4#XN_B3)Ts$}%1f(sJvOa!SoWt|XM|I3+M3QlBSNG)*$KDMh^$eoGdj;3 zQx?nX)24LpH6j+v-==YOZWL8UsEnnCYs}%Z7A<4dhw)B}meK0nc&SCpNc9GM|AOTk znXmLpw&nlG(K1;59$sp}GEhAlpH-CVqmf;r87`9Uu>GoG)_2QW>NiSK!pc9TI&1ua zcbc=Tlqqqj@F_ngQ%3I!Egwj=)i_vU8(PjuC21Jq`)4dmW$5(EkaB&QAct4PEWRaO z=8A)*PkB$Ow#M_~l;HA@scISr@lI2gSJn66rKT)1WQY!f22$TF(_{`i<Qhm#S|-cL zIXD|g6{I3G4i*b~EE8m+=#@Q|uIj`3PCUy$)Ir6XJ(lq@SLj^<<ytZ>^vZ5aXZ1q; ztlZQs^~oa5Zp#>%+0zj3@}|^f^@gG@|8fnPPp2&jB98~lygLmc^p9Bj%e*!j+(s{1 zG@^b+*{&;_q86ew*Og6B(@}_ZWn<K6lweJHBzfN0d8TYQSzvr@Mwy6u7nQQ6Y=CNx z>gu(qMSV2gyN#Z=xUCo+*Y#8R1nRYE=N4zq;yLPNl;%(6!DI)evqHzhWR9`dmgB5N z1uETCZOc($!9bNn353dh$t>f+O-FjNfzoiSt+0%htgECV<V;&UM4dOa+~iDIWTVof zG*^`MP>E59m9h`X@=7;iQ+|}aOWvz=G8XZptRq=M=}0VsWpNiJX}UVC+?L#AJUFea zgSs81xvYE?1vJf_E^AG$HlAAN<R!l_cAi!~f;t^#+iekt`eCwnx~w^QRWV`3am*qT zHEQCu&dEuhQ!J11TU4%3o>WYT5iBUbO&;Dq=av#tHk!DlUD1esl(?py+n8CJxT1Zx z5nY<Ns2$pvxnLf?|9P$Bf_dov!rIK@#7S+-Ms#tasGEXM+1Erb*?Vq?@Uj!)%{o&J z=%U0wWUspUg(-hZ{H&eZfSxn|Q~a5WX0N&5etoyfQ00L{YguVG%}`}dB3pa4K6A$0 zXaAgQCCj{6{I*U-y(8beKs?Ju5Ukvjctp0`)h}52W8!=5z4e&|iS62udd@fV2ja(d zI_o%-=J&)8>D;a3OqeH$@6&<!D7PoVWVdQEdFJl>=W5XTiEp&`)@43S1ju&RaK_Cq z?O(Yp=r+Hw|9K5MFY&3ins-@C;>P}aHJmZ?XmPTOW`J^2;^O|A%Yu*QVdDKqkG;+0 zng@xu9bJ8sIbwbr{!_6thO<bHLk^gv^pRtb-6pnu<S1mj38If2fow48TEiN_KM^a} zu!itnqAi;oge*5fu*m_);1JF{xd#4D5fbBAL~}<4o22xTU&8-Ud=;HJN4|+XX@dC4 z_?I>)pUcM{gVPnAqtUaXY9duspP&U~U*wi?mk^7GhbcPqv25B2)PS+35X+)<$%jT| zPLuQCPKw8(GXJYO?it&%$XAdFqT&PlK~#KPHTL^~eMgf<nHvk1u^qHMC{PG`f=m-t zA-2m{oTw&A=^<Z6?lHFQAyeSqM8OhP8@{O!IxQ+g&J$C*$>)&S!H(nPShy9@Pc+1g z#*ojAK#!3l;9nJ1rx*=10kY7@c9Bs>;~}Gsx)vC3sL}9A1-}S}DR>sy>B}$yPa`XQ z8OGoV<XvBe5qK2oWt3S$os)1jLYGka5^BB-18_eQ;>#d_>*f5yv0tfI;Ry<ry`;av z^~g>i#%Jm!_#X<kVT|M8C&;^ooLSNh*hxIPkZKP<qL32G=%-r3_b4Dj8F+9Ua*CKq zry9bK;L$UrBJg!&E)mTqdBGawyMh_rR84rHylpT;4{U{0^I_<M$;efM%x|Q0upaW2 zLFObW6|9U5HOQPGC4#APDS?bOYKw%LL1sR+L88-}aTE+iR(NBX)EbFZ0{S6!2iBmU znMZvtVd>2{47QW248XQf%OzeBGRH`cux<<@fYC^uhehi<j*>#by^?AKN3Q7q!)D2; z6OJQh&A*p-Yq!rliVyugA?z!S5B}XRJX0DU_`6NmR}%04`=4JQ*k)n7&weGd%|dpw zglCH5{eDx0eMRxy9sG92yxBj$nY;h;AAN~;{e4Av=DXR8-!FC_Z}k<%pZ!h#HM6R8 z{CCAByw~h$h?K(Vp<jo8C9gi}kGK3S_6xrH2>&~F_uFO$J>Ky5VIgY9tmwDjZq6o( zZ|1dIzw=?`QFpxNZ~BhS$|Jqsmb<F_N4mdByTZCJW)C8M{kwtsW|sC_b2n!LHEEXo z`@rtGjZbEtyUIJh%a3rs5xd`}AL;xK-1O}+qyF~WgfBnR{=M^yHm%eeU$t{>`q7c! zj+?&SW@mS2x09D1X^O^RJYGlTK5B|z-mTyMu=q5-bm!bUYSb*`ch@hObrjbu;CJ1x zf8XR3$usTUYqE3hd%gK`!RYp@j9XJGGErTgB(J;jUfAD5A58c^9)uNNlOG>??_1<{ z?O@-f_j)=-w+>_+4Ije6M&5bEj80b7`~0}~_CnCT*X)De|8k1GDwAK(sk%QXg}ieG z6;aTE`5c&}7klNezn%`apF5=xmRR<5`Co@Km)fP0mT3yyP?}tmCluctnjjVH36?Ej zg6{y~mu4%nOos(aRx&29IAn0I_rtI-b8&607w;ard=b;#3wv<qMm(>De6sFp73EH9 z(!<JN!6l<|@aYd(a6eQ6g!qF8f@w*h2BMdjgVgM#R4$^PhBCg^T~Y0YeWs}z4h*JH zOi22jHfSX;WrXIFH)2(HYC-38l&7WR@_CJxxu@pc%$KAcb+RJFnoe=u+83qI=r~1) zHJoC(nJ-EQ<x?6vM-Fjy`cI^e*)2O<jgXF0FEbJ|%^AX{a_t0k%Tha@1E^1J(S9;q z`b|zXKGiU%vIJ6M$D-dYw(F!r7Swq5lf7zO`>Wy7H909Ika@LX`^hlrsvJ*z>Sw!Z zhpS=I&vP!}QwQxB4wIqMH`KFyr2W*d7^V)`Wjb69l`hXglt2V(Z2QR&QMIj$Pi5QX z(jhZyCp5~4sVqBBhXj_Ij>Z+DXzkw7;cBpScFqBOYL{IK-Lk-r<S-c|{UFD$81hYR zzebrsYNs96VKPuUDMzyyQecO6xEd(!p{{HohRYG?i|OPH>8CR7{OAy#T8;f=fb=Ex z2|}t?PPKmOksOA8>fxMB{nQq_<<lzNYHR9c1ToE=t|G{o+M@auLaIhiWf5dljjygu zNUgJDo}Rod?VsZpW4WXnsr1iwifINX4)VxmKFV@Yb=6p<Pc=}9IwKXK7_^NTu^Ec8 zEU_6hO<=3?jm_Drlg0^aQh|y;_)`9gdfSMvHl3!RHL2T*KeiBGY^tLyzpGwS`ezIA z$>v3r<(#UcQqUISc!sSIaV$eO&T>}OR!MIQ(Qk9t6tpVktN3FR(PvW-WjUkTZJZ#K zDiW>gTJlvz#T{QNKciYyoT+Lm>DA}SUA&OCU&Gmz9+&vU(eMvl@4HhedY(QWxay<_ ziV_b}V(%8%+>L@vsVXW>Y^1!m(KWsLL+W0JZ7gI`6{&P(Bc<Jj5(Sx1g(>}*mP*K2 zUP;l(;H{(_%|OK7eP{zPRq0XPRisW!-N~q1L1<-Et{{$N6s#Z)X9!{-<ErJxSC^!s zGbk%5O*TA}$wjH~jL4Og1{;pa)kUe`jFcD%S9MHrVlCy3)v8WHgj8dipcXM|wd~Sa zYBi-}-lwvn4T_M8+#lpC^){{A?QV(Hpo`^#ia`4+TV+aHg{|Tz3-px=*gxSTRhyRS zW;w6oDckQO^><pJ8>GnUl}<vK)L&_iZk>fz6*{0WsXx;kYg3M;k!lhDTIK2_gi1Y5 zL%UfDR7kQxK2ncFWyD>&Rj5umOT|F;pL#^Em6r}EM9OP_P(7l@%2_8NSSlwi)3vj} z%2LOirP8hq3YN-93v{*orgA{`pE|@lD>WTZkW_M-uB+vQ3R62FP>PneQG;l+igdBe zw+eLWd}syHG4D|U$Yyy<#iucA5Up0OE_d^+oLwM!R`xD;bFEg7CIm=1?f>U3qS=b% zVmYR=yg%qIqS4CO#d1_duwU;jqTZ_WD9B$bAT98+<%n`Lsx2mE)MAx*cTssm{%W6c zFsf_@9fhREAdHjiLQ+O7h7{Y`%5(DNYv@p<-V8bzDK&$Bl}rgqAtVQeq<ppLQdC(( zS10?0q<pccQ%vYp{sYw(o$|?|Qt@i9@>vu$I^|!BN}^?<1%r5ZR{11~9G%i{k)o)w zie@AmgrxLYL@Ktklnqf%d~|X0knvr<vObC$h0sr~Grs$uI>}KfA1!PZud<X?P|AGt z6(le!<%5NRV)+mB{p3r=cPEvlQPjwk4vPbd<;!SKBsnsr%_5d~m#5q$U*4l!Coh_M z3PP1lqbbQ<!6~g4p2WN3%2o31-OA796S|e3%A0p9Zz0<vQZ$o?jP8ypGvrm4(2>b? zMt4V*OXbTK(V@u&Mt8Z&lSp7h%3F&%h4S0zW*SeSa}m2GVIF~wh5h4;uBUPEDaJIW z0;H78mFrx<-hyB8MTfzhj1Y#j0z869OTi<C$xOM<B66Kv=RDR6E@gxmBEORB{El^n zxA~x7(mWM9=djN3e|*r-Xe5QsS?r*MN-vfp(H@F^Lc=O_3b2-NDMJK@TrAf)gEfX< z@j;i+H1Q$`-a?v(py@QGJcLemMYac{^F*HNoWiQX^?cA-G&DX%mqwBA{Dzf>_ZuMI zleLlNLFiN(NxpLeD*<;hK<Lu2@|`?vi-dU~+8x&Kjdq3o<Bg`$IQj@C*-Nf-99u2X z9)P|GyW)*L4<mb{&%u<v(Qz~$#xj>YFKHftc7$aS5KUyRWV=8549tmuXdtsB&Hd3q zGzzA31j~i>uO*L}E$?1gN5$<jw&AAnL&9XPnPAhR)Qq<a>{D9YnOH-;iLVwW8^%}u z0+*PvHfhCXotxkVCEm_NuM&6X${MOBKIIp9UTJt|;wP#q-cy+T*{pi^%1_ku_)Eg% zK{Lkg#475>ZYCe)x9ho;JYbf&du0_>9*_717AUcICWNTccwM1LKDnFV8Ko1y8Jo#0 zkuj(&C7s`%o5|f~j=NV@P}%VZgvniIDVr7rW~AMTAE*cMemmfAO8b8^Hj+Edu)7n> zsHAw!9dLmediTmQ%3~M10msD)R^U4ELo3NlGrvtRPpM{SVhMF=m$#m*6<@uQd?cQ+ zl6*Kmb0xXOZ26aRx6;}!V;!y;-?a@MQ(D~hTu;`BuiOTYD)D!*>&bOy%wH1=DF1lB z5sM|o$o1;RWYZYVDEN`d{8x)b#npM`KE=RwiZ3c+EwB+jVlwpAqQqoyk;+!&&y(4T zlk?PYRN&gO56XW{w-Nr;q;nA%j=H_J+yMV#QvKE9yW*ww>IV2HlNVnt=0vxj1UA5r z$Jo}wkHzSYTFffiuIo0y`%UgH0z*;0Ys>ZUK9hp47Bh<7^VASjQ4EJ`!B^B>C;6cA zW2(6p(~6qwy7lmnCilNuOeuEGE3*`_>&tcU4<^SJsX?f;7)P$fq#}Afunyi~vUd^4 zQberl)+OU&&|F%+N$6K_zR9hx7CgmQ^P)Q&T-KJ~!nI?TM>_IME`7DgGjaUdk!xc6 z)nZ(6V-4+%iizQjfOAcz7Jvb$h?we;jvSN01*$(PBqnpjf~&||TYdw7Yw~KeY#rGY zG1P4F$Vf0o?NeCeDz77>7c*y&brH;vWTS|>X4-<nJhzOkaAS!ygA7^3&LD#pNilGP zh{zDpj<|wm+PuQmB|uE_iHOK%+INK^ZrM-di-=3jv^j;(T;-q0$_RsITA@)zJ-E=Q zV61EvSrKudnI=#$UjjrY<0CejXfp~dZkZ72y~vD8?ltloQx+l%BCsLJJw}(t%2trM z5d}@ODTVi3<rU=BMKm9o84=k;`=)Ski5Z#v&Pa1i`3Ev3!k~#Zp|EEOz(?MVIMCFQ zZ-f|Y>rrUnQhOBMaFv&le=GtbleHr@8o>{ZHby796<%=5x)mz9SGpA{xMfSo*oc(i z<YptmD6m^$Wswq*+-Nj3I`L6qZjls`TyNAhs=SB{jEHQcjVKKBIY#g?;yk;oPd;>p z>5GivXBxpxLb~v9E|Ev?C?Rs$ZQ;mpzN0VlbqE8WObp4yi+;ixCE#LW6P;E>WU<NN z$bUj8B^E`*CU#pG@)n<C2>(oc!6t_xZ}Kw@;e*6tHn~@RfCcQ8x1Vt|gbxt!v&o^z zvJgN?2b~zpZVN&B@Hxa}{SaPp2Vef!OePV|5>;Ov)AHIgjzoAj(Vk5XMqcBiiEzCT z1RmZ+G-kI2A=5)Vi#sOe_s(P*z&nZZ?6yE;Vh8{aZzoEyCwk-|Gdypk8=vWoyv#=% zz>kIiiY*=zcY1+6@;m%MZ{!8Oqc_r-uj`FG%jXc_EksLt$C&&oAK;CQ3Sky?jLOgR zm%Wf-A&jC9uKW}qO@P-Cn|j-BBX0)?;=oIo@Nv$JWJ3T?m}C;r6-O&2OhthgG0RO8 zY>fZ7=QhkJpi-DLOyERy6cf6lEQ$%779bll*3{OE@fpW%Ck+vpEoHqJ_i^49>_mWH z9QZrt!Z>3q>3D!`9C!}nFwWphULT|IC7%Xp#(`%s*5lZ%Bo2YnGQq-_j`KEQ_y9qy z1)UJsaz!Xv7|<09=3}~=CRQXL22{p^r!nf|*v%xpfRtET0pV^GcnYI9&f7?OPta|- z@<Z}ofNd;z5`!G~+(>FCP@=#S7})sov}8iS@=B6U0B<GfXaFLX_K*N*QSQO)j!~v1 z?*!DXz_bD?S71j13RYl;0|YVPaZGvBl_kmO0Ln^I6M@$}u_zfH5V?}nK;SfAS(FS8 zNQnV+F=J!AwWK%4SF5NIl8rY7wXo6S%Pt+I$ET{ueFs-w03#$LKL`3szP(xPMk_f! z=wh*OQ1Idk`{2|IW%fb8F92W3fX_T1$=aKlZWi+gJ-={#B>%n{=msu2{;G-^Ci&M* zN4Ji`;}uoFFv&k}I@Tr~yGg2r{d+vOiW(~U_$J!TLU54uCD2Fm(amL78vS@^RT=A` z!I$cKSnqMKDqx7D*XO``SkH0iDr&G~&dp5Mj)LQsRb<w|_7}il$&8zUt`^@89{5sS z2YYv1tqK?<nS4{%)neix^940fl6G^W2G({w(#0bGc%Vzi!{d-Da?e4)mrQTT_?yfc zSnF|D7h2wNXBTkZaeEh9?(x-^)Bs7R&(&{X&BsYD7GnpOKL@^rH6Ay1u^2rl_^kUD zR)4(nCD30o;AY@uixIi#K4whPD1MblTa?=<zS1Wb+{c)ah+<P>V8*_6AxR_nq0%<C z++1<lnnWmDcSa(Zjh>Ns<x2@kBKQV|Bz?tql`5}ERQvjcBz?ixl~Q}<{^(;yCw;<K zmR{+VJKINzPWl&LNwg@$Gl;ZVxs!dQ=%jvpN~!Xy1jE-LB&iP{S=z>uGwgHZOBDMK z8PoW3`hAorn7(hFF>PAzNFOOG=_B5@^a@K(r4P%OxWWcRC4Imfl$QOFxbJ((m^LXV z-A9Q`>cAf;EnAlGWRoJ3+VHVN8c(jNxU5I6uJ}ri9H@^mEkW_^3QlUpdlG5ma#h7` z-Ez;1soio<i^<(`TWn@TlBVyF5p7J4QLOymhQvA}+NfM<aoM6osBeK0jVm|F21F#i z#n+XT-Ii#+##886Jg{}293c_=zS>u!{u&3LWPFXO04~+z%5^Lpxb?x)S0e1aqY=#T zS^*wLyq1E84eK%GI*Rn_<T~aLczr+{!G`o+$#r}`;QE2-Bk}T@r$Wcv0p}0Z{IbVz z+IhyYKS|GAd9*+F!NH>kmKXPz!M^LhlDpt?)m8yKcfg^QGV}bj%dqv}7aZ%k)Du+= zwm{W~&OR0@ySh_)slT3?$T@Oeaz3%q!uYSR;+N<Cz)iVT7li=#JR}mHUwBbff8n3M z9y<r!b9i!rzHdvnQqH1Kk0D2!J#g{^$uOy3FXe&qUa;T*+jB#L)+R%v>xDijV>wjq z|Lk0S*{jp2Nq-|zJkPzn@)Kj9^3anfpGMBVaIA2A;`e-tBxZj7!b^u{bE*AE?@Rl{ zwOqV!4D3mh{p;w3_;X&*S@y?|I4GEh{qgg(@(pu3-Ej0?z@FD9`?U66{Zy!%bs_Ni zz4H(EOO!PkI8X~OOgwvj&D-}-#O1`NwQuHL?a2+e_vT*co(KE(62-Hx)$w}gTntwK znyh%)&Hd}(Yfme5#7X;okDh&CKOa48Egs+${b%IMOA{tfjPgdF+;cep@{@uN<NSWT z?5y<rv5DuCQtq7#Kc9BHsPOUmIH!E)i08YN;n2V4__rSGhCCDGp0!>OQ}reqK07eI z$EN#1-ig5D7xrY{+y7vG|FdI%mOp>ybh#XTZdx#RWGeMux%!;=KFssaL+77gJfj=n zH_b^ke4&duZu>gv<fpJV3D13@PS0(hpE{zKv(Jn0c&oD8v8+r*#%kIQI<_Zr)$*vR z&&RYI9ZcfBZ~Js;2Tn9@9RGZkBX6M&W9enK1rlMWzJ9rE66JXAR2xkDYNb4Q`ha@! zJrjjJ`}RD%=R4<k=klu;)VYooxNoI#W&LG0&fzWx<=(wM<Mzs7VS8Ryor^3n$9z8g zf?U#0KU|qwVlMDs+B=LOD*fp=JCtJ8?J={LW#1<3ktsgBI@{d;v+QW+=#E{)9+xS> z?%uz)DiVAD`uE7QbBc#^Q?H?01J44Bug(mnC6j|CuDwSSVS3jPcvzR7@q;!gi*E-G ze5f{nz0*^B01Wzbn0NpgrFY<}raZVn5B=au;Gd&<vGs|^WUfcq+1juj>XJ$iKtAxi zCEVUKp%NVr^&k((JqG|EGQBl>^>)&MJ&qaImSaw?9Ome`%F*)loaMlIdiHX(T)kCE zXc`q|k*hbKP7csIa)3d)QorX@yK+*K9?xa-N?nI%^2EmjbMHxnq<X!sbY*{uz-y5h z+Q@-nomJB((bR|^?TfNovE+5oBi#I^`J(J<ta6`hpy=8Xk0{;RX<v}N)#^DT9@gTx z4K?&A5JHI_DMIKlj=9-hgsa<ZpO^J&MQ=lgaIZGozstI|GWp^!Jv@K4&&fKsR`bQ5 zd60g!&&m$QD)-8AV%t{5pLk$@wF_h|ThUuk4z75!eMZ)})srt?;-M*ovT?WWkcHxO z59SVtj&r@!wj!SA!Pse^6170p<%?%|poNLL9+aK-Z?f{OoDJxEoc0~^5AjqF(oXw? ztVFBh22|GryVK5-ZHXl>i@UdQro~-bs;9-N9-I{@6X&(rJ}z4w+qNWrvBh&*{Co>( zTKrrKc3M2ngSTy-i<^%lFNr(0WUfP-aNM}IMe#E&j_c3{94n6e|4?)#{!F-kyp#wj z<(e;*`j%s}Fy&TAI^>#bnK?IwT*F)?cOjHx)*Mmp+hWG#YGj+C+(xc#&gG_(@6Yc) zcwW!({yd-Oc^_OsKgSn;IwmBTpZgoEf7+1kSG|Ya4|yzmUiI$f%*rjV40j;2a?R^7 z%zC2Ii&#gn&Z+bu77&KAE9bq$+=1hj(_Y&spt^nUA(Pd5#iqu-erzcaI8r(4HPj@w zY*TJu>Rpd2AFMPdYE9nnwRzHDK*(6MDYSp%eO;jIet@E8d4J_Cq84F@W0Px-8!JPX ztJ?cLdWx8-9$ZYyU|R*+;It`=oy}?GVr<hA8@GlgCe^>3S}9L_$Gq=fu441X{?(Ww z-6qvu*PC@${#Oj8Y#?F4V_i-MNXcKZyYfi3>#s$~{ZCr^PZBa_Y+~&r^S==;F+KX1 z5;L3>JhBGLGO)#p#cOtfV+k}U3F^@>US~aR^Qhtoky<zO!^W;+zoDrvBcrm+%LrMn zU}M>^G?Cy_E^oj3v`{@(YmhxyAtqX`BX6Q=KXd9(ZA*OuC~q!4(`$nC*7q$7kpEh_ z(hyo_J!unQ-~05+uIQqTpIM0B^(HZ<jgLL)X}f><4SK1$o&vPMb{j#lueTrKlzu7J zDi5fhMGxf`gY(X!t-02rWg9x%!D3u!lI;v>$#iJd9BaRziVV$IwGOH(gQT;p>um>0 z-)&kgL%pirqOG&@C94Z6qX@h@g68iV_z~M|MRj|PPpOY1t2}lT$I4yGoyy0pENxm4 ztWYt0*>JgC^`jh1nS?FHAthxkn@ZfH7DX|EAi-4Y65AX^A-lA*Bmbc2_v6z0Xt8(Y zCV7v-mv$anFIs=JeQom!Ar>mOV2;SuLJ#5c_saCjb@HX+wQfr*t}8-p0}<A2MMhuw zjp}dAC`W6yc#YeDWo(ETt{hxlM=sk?q?YyMi&noSd(f@l*uEku(ydc%brGy!>pANr zTO~wcu=T9<OIsNPGT3^?+NtVNy8pAKSF4u92a}~z@cS6*!vsGnx1^_E^<AOay$}y7 z)T&B{wQH48yp;JCvfYqAeNoczwpRFISfINCv-o!rcVjDee6IYrf5x;mqH4)s3|rm+ z7YokFEI*RcK~|($N7y=(s6WeqwlGo|)!M4+oqtAp`R}UPW<{!XnC+qiFRP4PzE;)L zOy!oz*$z1vVTPF2{<ahcUd&;!^tP>kIHWE1)2bP|jk}Lg)Pt_#6fugrP!>)Aqo`wr z$@Eys@XuZfQk*DVF|l?pd!(bN1?|m$>)Mo_UC6DfOveviVO!!JJn;uxqe?CN3iYsB z=bF+NEI*_@b#ec3dw`k`<ulD5gy-aVl_>)8!-b=u*rHy`ng^8M78G1UP>_Q9gO-!W z|3z981{W<$JTomFJTe3rmY*I3+KgK_8g8=%%9F(`F+;bFN^9g4Rqn3~N@w6pQN?d_ z6oV8;OUI=tcek}Nmc?8?T%;uByFjd$ttz0EXP<wzEVZmu_;_FU-2GUsp+zf?qWciB zccmu9ia7}ZWdo(R@0A)9^Au{K)6WmdWpJ!eMN8RbbgOLWQ`16CYqnJu^bO9EB{0OY z%7j{<AHwkJcopoKm&#W={j)7uA56V}T{C0e%C#sV`##;uxhQI%raCldm25nDl>Kl> zLu}3}5vpLCp&>SF6%PfNT5E`9mGT!$WiQdJqM#wTGMW{<Xk68L+UijePqre>Dgx?^ zqxzHqk)S;D;yRbOGOCqTNs-AFWZ7rAZ>4)B1!{Wwdap{!AICp@{Py9a;ay&&+?0-0 z2z2IQpn8VPP+I9yjrqXpzcBqi-!f9pnbP@^*&vUPQTU~&ip0|KhW=*WDWw(f{66u3 zTK-4Vgjiksuai-^O7mkpT=6xp8HTtw(W#kN+rESlJFe6}){(9Bb<7}Jso(o3Lww4s zy;mGXyx1H&s?_G4-z$!YSel4hHgAAagP%Wgf(%y14lC7cSRC_k-j*{hK@=kd%fyF; zVmS&w@A;I#b;T`-FPdV%E0v5Hyi<BV7PTmTpLnY&c0lRn^JlNF*nK+F#M`fwJ=Vby z*C9Hg1as`=3A_v?FK>OcAkMyx$%9jZd$;=wX4t=HcFc?aOH@Y5k15^u)~Abicpdc@ zOtJT68qA4H5^EZHDN6r(AN3QAw^wEw%!s#nMfwUx+wax!wkrjX8DN!s$2u~Vj(h9V z#E%eDk%AHSZFRh@O7O9$3?-{Eo(v_Ev8Z&Vt*4Pbf)))mL|$D%^M+I+FIkECwB1|K ztihbf+oVK)s_!l6Xa8cNV?vzS(7$8#6G}E))f;jz0h%r9Q8=Z#W-PrzPN|OBj9x6K zRND|1vb3Wxk^e~t>0ZWw)|(B4crc*;ac@-z(@QnX2K0D2rRrv+5RV;&vC>h6(b5}c zEqW~Ko+!TfQJ#y8Vz2q*+((CKr!Z7JtdsFubO~B)RvMz%3w@lc`t1LpU3@p*GTdWJ zVW8L`k4I+7PrmyQ(l#~*am9M^kHV>&3J}9LA!VDTs%CzA^}o{DP@I{wo)Np0Rt(B3 z3s2Z6?J52@{+qPoW~qvqkuXwIlnr&s6@Uz~ps8lMdaOOGIcSoZl3wAS)hzU-nT#G% z!-@lqHN=Go(4jGAr}Va23hl*YogtP2*z9SD;+lez*-^a=R%vT-%^|oAW)xTGShExq z%^rr_r$V2Zt?P=hN?VGza-%k^rl7%Qle);=!L;I~+=4I<t^%oeHa9A4X;pz(Jf6Aa zkbo(jEc^{BY!=Nc+yP}Yr{@-KfMT0Pa|^kkz~=N-%b?6Mg4L>JK;}EbAtcR$jG9HW z3#ULVROwiu8#ci~AgJ`lgBCEWNfclB2<zhD5vVX!I1I{Y5?!(^eozWi?6rKHsp?P` zpzy8m4)&~rVt_(_Ap^8cO6ORjGMC_Gbj$1qPr-#GE4F3UgEz1xe+62hBDMhT;jhqB z*aZ?JrDqj(fOtuRbC$^uu7fF!gR_<i53Yb?8wY1B;~t2Cc~J@-g(tAT>(Zw!5t&PM zqS(R)kXU1SX5kSmwQlf-rCsKR{SwvECbO(gG^4NxbOl-Zxo|Htp>A->GW3ByOwmVy zT*%F=vtR15416%4be)*awDfz>u5?&1CM=&CZ=a`x4RS4>C4bXR=(7k*rt04Bvj|D< z&=u&jz$7>6Qg`IFjfXVLcI35;do}MfEc}uSbOjg|KFR**!4-=-W6F8nFf05AM2f#| zLa#-oaqao~(DWq>&*b~M0^23uKMYD|u;tZ^ap$c=MHekT7{59%7Am@6;hy|khq@^b zF%CR$&6a0;xRLTrM{!f0{^6^1U`RU4BE#6?{PmFZc?;L%1fBbI3+Lo0ouzg8?hjHa zE;=6T@{|u}Qw(&L*5o@s2&C|!MQ1Fcjh|`WUz5LP9H^N<v#?JV(78{ucwvmxT>2>w zGX5nK$dU&dTWcmzEuhJ7{jGjjgc}=adi<1c`S4yk10y<RfiWJF*`6<H`mm7vPWwK$ zr18Uaa;P?SwWI;Kg^1i0XtrHI^G*WSNFBMrd4ztS*arOQu0U{=FGqlAThkJi0~{n7 ztcoqbof%^Da9M`f<LV<E0iUXAwgAC4wZ&jX%&EGcEl_Ri+ronfenLcU3zXZMw{*M% zencGI7AUqgN5`t!9{P}c2c{z;w*)@eo@p^y5W7|F%NEG9T_Z=~fB}enx|l|FO$cu< z&<$~PQ^2jtH-wi4v_eE~2&CDjk~^}1w-Neuu@3mr4S^I}U$ViRm}GTLFfT>mmF+F& zqDgEgP!f?}!;=L(gK%CGaICr*%zMpt!JijwJGznP8rueZj3e_*n_;#6Y`*%(-Zbfz zGl75CXtr&uOIv=z+X{q}qB4M1rMFlD(5j0pfoG{=dqTk5q>d@m*KftPo?ogsQfP4K z@$x*>Keib-`ZpcJ5-_VW$M7}*=??l_0YBRp%^eeFPF2%8-<M6F=5iH3>2C{Dv<JE7 z&z=j!ev?V)Gjs3%com!}T<>1O%-<5qaF=HuK8pmpmrUdp2q9@>Cz0+YT82ZyM(&4? zGCcrAhWRJMc1^FgON01)rqFfm^cC}3!*<~zRLMv_Jl+LjwQOEtSSehnHTW*yGTuOD zDM0?ZVIe@E*SyFuL)b`baKXI5FjkmXYY>;O7r!A*rJLs%W&je<CB6A-@l<I6w7jaJ z!y&d=vCPvA9|~X59L&nU6n_?Cm6d-nK2)0OSJIU)8UIN7zF*0g{4?=d(p2A)j{G(u zBaOjnbH})|(o~wcL)@(DU}io~{5Mt6X>(ZI?|%en=Fbfs092~EbzH$AoacWO8d4Yi zVQvvO{?C0Md3i(DsaW;FN%J5>T|k+)d{aI<?(IK{-tvw4Q*r+Plsy$_fllXia7BNc z=wk%Ji)!2jNYH*rtPZp-hbLEoiR)Mu^(uC97YHme*XGrNW<hv0p)Vo4;|guK4!lAm zu46^it@w;K@2J8jT*tB~ytoY|PziO)=~xoADy~5ZltORibS#PvnjCiE{U+@J0)^0v zIUNh4w~Nnc@%AfZ<2pE^I>k;XfgGs(Va%I?m#IEl00(W$=D{hzP22qiGNA9XJLX0I zEmlSeyn&v9#41ByWOvMoN*DKQ@OCMHO!fT)UO{hVcg%<i6`O1DDnl=3cT9_ZHqrML zKos>O1>i+BNP$RbzbbE=f*Y>mhiHvSyN`fvkrPtDy66^C09terDew$BjW<YFSb3=L zBVb;Xs?JMRU_Na37BDL^SLba~pg+|27Vv|<z;{fDGK>0mpg+yXH&+h^IdYSEd;6a_ z#mu~|{dA5TGjDA_fKxn?=M_=63C+p#h$z_no}D+pFUpY{&zs)gV#}%D>^=DR4|K(> z=4Sn`#cjEfywUx^-{+Ri%5Rn)d}0?5=9x!m{k_*~_T;(2X4;}z;mtP(YQNJK%<^wW z9t5z9`|@-mR5zg<GvxEx{U@7py?Lq;9s957X4sps1FK)>SY~NA?;WVIi@)Z5*%xKY zea$-`;lE$HF4vVO9$~q!urBu{PdGw#zjRGb`KHK$+TM3;-tmZy4JbCRasLymxGnF~ z{_#C%X5PW`j*YY*X134kHlP`KCHrbDIfa|sf4}TP)AM$ofBSRtP^Elx=5N4m+N7D; z^Rhp$xW%Nr`R56LPI8NhdCcb?6Qvlrshl9p65&w75FRv6fO1VkgQ$daT+`s7Cc^hs z(+2Iau~LR<z4pM^6NagOPy*rHs;O^KC?O4>gTlO>R0xtA%^6?WYD!x+^$PNsd=*$c zlw*Z?M1U@tmT2d2Kcb4&wDZS$0*b%o+{H*u-U}%1&$)%sB7EnV=4#`{O3}rt+J4+m zq%^iEPTQG#8&yop0b$CRul$R9a{k3g5uo#?E<pl>bMvMt+M3+K#&dI~iP{R>^u}|u zrt#VUu2ti?tQ>xf6myYg8l@cqh?BqqMqYflYzu~bxcsrUs=DCvW#wY9w%^zjnrWo= zld+S&#USm!t1(Eq)|{#pH)OG*w#C>Js;Onr(!?vDVtMV=)sMt;={Xxg9TSV*a?Lrp zD^|pFlcs3xo>fueIi{(PHfeQmCuT}+P0xAv<g?uOe~#;!jc&#;<rYE=a^<G=j`p47 z>dfq%Y%r_YjL|YHdB&3?M-Pe0mirRIvwCh?XQuZYQ^$GdWS!Z|XFMzC#&u@4Pga>Z zZN?1C)#y2IpDZ)8+l(2Md#^XMb<!g@m3{JYuG8<BZ*r-6&RZu7%=n-2ESzKL%xs>_ zHB;V<VaTP2@NmxE$b0`QhA!u%7fC+{&U5+|LzOevbKW@l)=Y9U=BwPx5Q8kaTY59= zCzH({hx5#x6VH3U7xP8#qTbBf$v89laGor=GkVTzCmnMy?j65owy<#=Y&N<P(<b*g zglGC(ozBe9lXkh&yD>nsnvEDmv-cY@3TCMrF`wnubY-dMc5)AWhUCq@hVe|D<K{Z; z#>knKgz-$CW9MGnjX880(w$j7>23Dd{*YD`jIC*o(R<MEz>{}n1$M}%+5*Y;o%O}O za6gI3^ld&qab*-{kaJ}a9LYG#2I(`-9_C2~o%GFIL!I=_RBk>#cI6BBXwb>0nQKkQ zN3PVs43^K@VQZR>4_&E%87!SO$NC;7!#=o6IIi|U*}-7(>|LyK)A4~TG2o*CC*3pG zNXPrGWWfwLXQ`k_^hx}Kez*bqtTOf%>Lm6-4cvfrRvxQNI^J_731%>V_6tase)b}E zt?_vG6*X|A-^s)W=5T}AvuCirjmJB$h=PyO&+=oH8)L3LI0KKuUI~ElV6S+=45rV1 z0v+`|3Cmm~9tS;Gv+u~bVh1zGxMB|LNWXFyX7J<eUgjC($*>3g_B`oV=9P~6oWwk+ zvF}K`GN=^keG>g3)!u-4b~<yd;W+WiCz<?RezNhXu0h`Ah4YbpB0JKuyZoWasT}@B z<2H1RwsE6w)T+pebUs7GBjpx{ADw)W!|#`TE9|(2aWMM0x^b3n)QX5p%8{^`8^*!9 zQOhEu()ru`6~=bDQA;Aj(z4t9CB_=MQSUB))Z}@0IqQ7>7XL?MN!_Rg5rdQ?p)nBS zJ)J0y2wggVlOLJf7828Y`SE$#P5vz7i|82IWxMnF8~o|USvpbkB3;t58~iTGXW0Cy z#=$yKb0ShHZNV{=%kt-C*ZE%>Yv@GHhzO({Ve`irOX_rBFY}*or-?L4N76(Zq-EFm zA0;0NjsY3(Y4c=W-jkW3id0DFQ$<Roov9)prSpICha122k7>EQAaj%|vXT4(6VrTo zRA#0}WGVR;CWdtRtBfp{-`6-;`_PYRJg#5+_>}C5aDLw*jit?<KP)a)`?#**SIBXu z>@=VwN0uqv9>gCMXYS5lZ}=V(qiL85iP128FT;~9O9n*YW$D8DLHxCbFJuhxvSi`* zKz^^dey!ufvK7Mmf&89vsanSeWwV9#d(V6mI@){2B;H)>_&3=kVf_I9e8VF$9XQ!= z;dV4XDz0BMM%8dyx`Ta2Ek0HAI9)as(7`$biZ|ChPL(wg*7xUkjXR@xT*Z(da{Q~T zj&Qpl|7$}h>5kbmqVcI3$G^x*3%C37#~L1i9Pf}75}u)*IUYZa<adZ`L-O0lozXb1 zWOzi{AX9e#)KS`*{WxDFzfGJulHV#$8Od*X_)&WNv+Qj^$JCjvxFbmZ5W}{AIwsGo z#I3pU2O7Trr-ONBKJJYA@kUwlsrIM*Pj4>#J^J%>P((k6uj%IWX0-0juYV(RFU<cs z%00cdKg~EKW;p#hrhT(yGkW|&|F8D!3txZfXJ6<)IKntRwcplz8WnNzPxR=8wuAS* zrx6j;zmMIx`S|bA<<mA1?|(;Mzj^oX(WTR-5%1Z2PoBSE^A+8c|9f=t^qq){zoYvv zykH>Nh4qC<@!`P00MT32XvodI{YcJf`u_V(KIHSZU(vl69v@uX<jayLHzX%lbaWxI zobPIFw8hd-gCm@NMN==BA2@CBy$yGDaFjZq^h<yK^q2jM^wSq3*7lBdUr;-EvCfxx z(|lil_Vk$u-@Rj<7eo(^&`<M6DDOpIO9_02tNj{!aqrl*n+qGqz&A%XqT4P!{&jTv zblv{+Pd>Zn)4S2Yn>8EJiZ|bHL@V4(-H85tVeRil>gk>5?{|;MyY?H13?sXumZ=L1 zv4>-s|9j*oALeGwpZ2s1gSzkkv@=i72OW;G@zDEfdX>-n=HrQWj&P{%pP<ynV^cCK z-1mLL0hkx0V|v<I%%gL{J1ZA4e4#<9?uVM(HbS(vcH?B^s_;t8Smd0r5XSr~mK3d3 z@AHtT?K`3WPcGhfdxiAM?7|d26jMWtCSBDGSYo<yiZlw<aYawi=T;up64Xf+=tGT% zr?;gpvPEILXc#|Ao>J4Y>!Lr*&C6F;@}8={&YGG067t;*%6gdT=G{S7An5y?V?BJM z{+e`Bls5I_VT^jLNDMg=XV%}ZSs$dTdENNI0JGr@B~4D<h9PsJF?45P<HlpOYd;_L z{12hE8q)U{wZ11vaj)LG7AfC4CdbGg@3-hDHj)4@&#TH?M>iE6E%?Xoi;>DJ{5xD) zj_|^Y6GM1@<sw7)G3JOnpHI*<ijSb3I;p=R?1bq@@l|X4P9DX}eB!<c<SW-UpKO08 z^O1Wbkgr(VoDi+1eT#7HyG%OwMF8Ii?K6RAnvV6$WRD$Avkt}y#h0TkPdLVq@#5Y> z^Wn7Hm`8CkaBiDFUxxO3X8XMGzZhi{-y7{SglOeM;dA?(ur#K>@mQA(h<nSA@0Io~ zX8X+lC%*BRvi3!0`?T=qm0P}ih@gHXA3UfA$rq{JUw5oc#%-+qhj7hGn-8CDkQ0*6 zI_MUX4;pk4$@ffqdO|;4W@Yu351)BZD)AUuhPm42&1V*5PCV8mLtnk+&F826VxoOQ zm>JX`1pWDttiC!m$hkU+-(!B_6fyBz%yiCGCVq_>z$u!*d->F%p*eUDp91vvZ2UY^ zlyh|)Kh4}?UsYG{o%%Nny7I6_y`HqVeRTvs${Y+mxBReNy>#jmyJ!$^?xWRmulL~- zZv%AN;=@AqH&be%X$ueY)gz|@*hPJK9UoORl=BejJxhMFd9@d>>eE4fMSqA@hfP_9 z9PWoS^?Orl?4qyuFHBMP)vx&TKK|s=^{ZWYaUV;v!ur)Ocwrw^a_QPtWp$A$wczhq zl+O(`VQd9eho^X-Xolf?5$fp4q+V1dzSg^;3AcpuyzyXCD3I{IchD;n1yRG}ylt8Q zi>MEW&X3|vfCZHMjXz8}8Un!wdfT8NjNTjS-<cpZgx>qrD~N<+p)&9m-Z%bl5$Sp( zk!eClIp2t8uJ}Q^d!^J}nO=SnO7B^9L*|Mvq_bB*{TKl-gNnvK8#D2RT*C*BCDBm! zHw2j`G}H?`a%{y10>b}#8ia%Z@z!HWR21|^S{>{MDjaV#=H&xv>3#2&Nd!!xF!-^j zJ8p!g-h~_Z2@`KZWAF5hunGE8LWAcPB6?S<d1L{7YSMF!)RpTwk1*`Jz5zeBD-~Sj z$B`nA)U?Fqcn*^8tX^M$yE3lN!!I+gKdwH?k@BgUVM`H4Qd{n<Tz9G-U`thx__mzD zdwxPhZ%dVrn74Gj^ZbZ7wk=gWVvc^THgXGn>bqw;B6>^e!^oMII}6uuRr|4}@<!Ik zaX8Na#0UCyjq2KvQ@x&Uh+~^lZdHCEr)Zv5i0BQew2@SDSC;2(gdzQU2mIKERLY1i z`Oe&R$?DqRQxwmC5y#f0;zyLpcV@1)!K2rtqDS`pPqljnlkQ+WeMw!Jp2rb}wChKz z->{@2M%w&OwR*xyaT%Ugq!SsQCZxD@&n<`OpHdc8wU|>ap0`MMrmnA5`Td{zqC>hf zd3~Wu1#^nz`NiQFSITF^xB1S5T4-^*_Up+fTevu`+MbDPpPGMhzPnUNQKa@Mo$rm1 z*Aq`hO*<KCOC}$Jqymam+@<`BMBJr5eSZOYt^VB?@_OvaSJTTuQZ?TnLtc+OX*7-Q zReMz2uJwB8Nr|azubO3Xq}J>2PfBoi-aUDbi(6E?U#ze7df>@R)5`%;@4st6UiUo- zHtnRVX%$<bq_V${X2-GBREr}uPpN)y%#LHJDHiK%zV3N)*VL7+cBxnvCFNXnRP%NB z6AjZ3eo{%_?Xu%$)y@`2YP{}zB5C@;SIVhKU*mQAlW<(zv>Msu15K^b#FeJTQ=E^K zdhz|M>g(1gPPn)qYE>qkRJAgbXsTL~i6K?(cTv0gYx(b^__!&x_a>Kpq=LUU;^QXO za!o#XO9gz-!pAYyW{Zxhza~CueE4A%(9$>ktcwfztz)<g2+ytM07!lPn@Ku-ZO=~R zx-&z%Rv}(_&Ky8suEifAt-h>HA<e#*n?mF6Z6RHF_r{Q}6^L7&$RD9m_fH{R%Mf^8 zI~!2h=k%;=31XF3%LbJ8-Fnuw2pQD5+zaW~>D&So_Fa6|wE(%DC-PgU-#t5|iv!Wg zb7li_`s6o-817zrhMNFfUt9PIoI6~va|4jk_ddL99`bLV3LEgI@62YBa^H*at~rQw z-oTzvmpe$$a2@cf?^bx%3`8i;Voyl9?_zk@G~~06;TiyuJHP_Kb8A_E$iDs!p*DB7 zkggw)8lBFc0NY$=7Qi}Jp9O&C%CZ2@`liF~q`R-^8vX>B=f2q$BD*tnJGlU}T#H?y zCU?57As67+_adxo0>aE4Xh@nuu7O=KfM=Qc%|d##Q9=?Exd6M9i<|}@>r>@|=7InX zv>HN^7OlkLL=KV;i_1oSft^@Yod(VIsxm>YL4Z2iONSFHs^g%!KtL7EiI6mmtO2_Q z0?KH1grq^_d+=NUz$5bw3h+47xhd%zG8OC^04SjGJDgZhWgG@g0di@|gd_$s9d?4F zdIOtJN}?m3z|nM7FxHurL`9l|UHt)XX_ADbugI6MJ6XtE;5k1)GVL+^#GI-)Hor0H z3-Tg(&KD3zlZT(kLY@J;`T`s?WgCUA(H822z_ii2q&DPZ*okS?I?$XCz%Fx!m;|KN z)Fmm>-q$55&{FG?J|owZE>l%^GV_T-^0cq^C#F=nna;!{Ia-PRiAhy<rYte35!t3R z_Y~kwd#ruur%G^2ZCH|Ccfan5Jl7S?J6x45>C1g8zA2F$Nlda|n9zjlsOFs<*TM7A z3>CJtAwy+WI(kRaH+h{c>7A?+CN$>y<@~W7$*0Ndp+Y0BHJW#pRqRq~LxqN1D>Uyc zshFqug$iBozKa%8>sHpiv#4@6MI}^dz%}Olu`NmW<n<7tKG!VGI~*0Nbo8bqzPn%N z4qHVzMV~E+?XJ<e!%~q?Q3(<1aZS>^Gq3VR`Z8VRV#<23P`9hv`RH}Y!)#5RJF_Zh zQv8C2I$cH2AET@Ar>F!cUF$xh6NhyT&^&>4_0qgEt@26w*qS6Pc^xAJ>R!|C%5b&Q zyp!Q-uGy9DdROz#50$-S5td|Fcfa<Dbk}*AV?QM^-8I@>X|97Z(OgM%cdGUsCd}T} z@yBI|1{ho`ZeV=7#V362F6D)G*Mtf)c_0Y(6G_IdB8EAtle9f}1E-Ej+k$6uRGGB3 zB>85tFvkw`R9e#(i2*ma(05d|u|uSa9aSxCFUf>~^aFor5n{OcdGvUr<(3Vv8dt3J zBE7-O*)1kJb%V6w+lXPdDvf5)4Hpj^yF7-cIbEB`Ca+wlBkjO~^RukFYw@V76FEuh z>viq43bI2{Fm3^9z5`DDh8atz9lus?;PEbwihS+_2D*8n6Y<SSTvaknTJA#*h~ehH zk~-PWG8~@xeKK?`qsPvnXWZlj4W%j%`z-mb-Whp$oX>E06J19i9qd_(%TG{Gg6lmS zHn~xTs#ANBo%Zx(te-Y)(XA*g9{_<}yehk}G^Ok%=Q$UhQ$bfo{pdFhQWw$;xVkKT z2UwM>o7O@y%~Vg)NYj0FY-OIFc1-Mgy$gF)(0N8#Xq_v3P7EN@dHeni8-&XV?+~xk zxTojUh;B_mSI>#`Y?)+oYR4d<Ca1a;pI&y*Bqi~<j6RYrLf)8OcwAS}i>$_y5MAuL zVVd1F`xcY!y6f0NL|o|DBGLo=01bFYGr0zAN!qF#pxwSE*dnx5cbGAaV29vvG_7ku zG=PJ|W1k>0LjY`~8@QQF->9R~<gek$CL47sSR=$$cAYX-AF&gRn?t_FsvsJIakI!* zSXo3+Fm47Ji;W~DtsTz(XZY1%9G2DuKa7=E!tx@DSgLKbI`|F-kV)GIH~Zr<Xl3v_ ztU3kkJyOL_)z7p7cmoDEbqEf$W}%;T&9oJ8m_KY18G!9|xQc->k-pd#2X+u_!mTB3 z{qX$`me&&?HwPKZ?eUlU4uR$N_{w!c#&UYR<p?=+hFjp(@|+}w8~SP*1eV?NSS}he zhVNmT+|b6YxYee$n+~JuMtb0Kt`OL=TSZ!>X_400yB<q9!<>}>)$3`6IHBIdh0HL$ zqcyhRR*)8JdO~Xq*P|!5nN6p=<)mfclF)U%J!*2aY$3F&YMO&7N)yI%OHX@fdR22Q ztLKv3IS4GP=b~I#Hr=nTt4C7KKHJ2v?n}=ZIqht^Z(T=Eo5>xGv1vEQtLL)mG&hH< zbE;#RJv?&XRRPm(u&aOYLNvGMX%09#)y?|q2i39ko{uKO>VO|^7FQ?mCO)e2X{?8F z>SL2`L20_U3UAe>9`@BVJjh$Mv1jTk8ej2LvZZrcri-imTgVV286H>bE=lU_ha~BA zw#l5xb!Gy(R+YWto!un^<1Dm=v^ujOLYkc~AwuKMZGbMkb0eT@McFN0L|bUo`4gaP zSs5PRj*_hGbdu>>Qnre(MM;)+-jeBBR2~$%+^gI#)EOXI*m+T=YeD&Tyoi=izjHRA zi=(U)?~Ibn>6C{EF`T`G4bhUg&Nk^2IA^$Ur@v%I=X>d{dF6lORZx;|I?q6olsjKY zcg-nF#}8--bvc8C4gDlvb>5QhIy?{Y78*jzofoCMrj<Vn8Tv{h;s%hC@VHu}WMpT* zs!*G=8=&ila*a@@kECs!Gg8tzP9G@=jgv)6KI@$R=T5rw$|*x1N%Ocj>Oy2^=BZ9^ zNwYW$b)hC_`YA(iNx#k)|8z|#Gvfw!?0&kEQ&#ncIVzLgdpZrAT4wi_P9{f%*}bL{ z#HpR=_PRjWw9D!CxbR_fG`o9VN1UTF-aW0e!&XsG>D9aX$8N>7CZ#@PWm{#WdsJum zx8$;Gc}l5X1G{#x+x&v|Gm~D|C+7_}vld+oQ{L#^_?@-jnx7J>7sRgZ>(;pd*|g)h zBG1o-du^)pcB@|K3{RrFVpCvxHoqiUu4yUv^lq?gzjlAo5ofD>?LL129bU1n($y_~ z0U8ckSNYN{d;t<(v36KBMD%X#jbgixU)bER!*)07G_Y#hx<BchXtW)*5v&G({P5vA z+}QZulP{(gBQHxAFMHf!WaW6y;}O<2H<I7J4mo_7>9Ncj0p5{Nydi<#wS6r4vT!J+ zFHItJfr;4Kh@<pQ;y#SuVc<IM-n;)m6^BpFdj>l${cQCMEa`^Nig&GA3h8yd?g?YL zxHz*sioem!^y2kro1+tUldfi_7S=G$e<aYN&}_KQ?HEz$-WBsy!3;_nyfn<feWKhR z2zU~YhN5jmx1bD3t(5ATy6D<C2VoIXc9h>_UAG)>idhEm`Lm7{|6eha1F`ipnk%bD zKNBa%w9D%28q2GFmcpYF-o7h>d94kmU8CYU2K3s1H4-pqq<jaD^fMm{6|_ULE4s4a zY9>DCP_e7h+&!x$aqb!YsS34w{yfb-DU;z6C{Az?Vk|DX6orbT9Zb}NYR7aF?}1T` zS#vJV;t!<%OcWH-ToC6w>+CYR_s=(PWMxn)bZl5EiYX66OsKBUQr30FS+y<QTj!$< zJUp5`IXlAMivMW2O3K{Sm!QbI+}j6MkiV>KAXCnN)vd+^2KlwrdoPji*2n0~VOa_3 zw>wP9A1=$PxQ3+6=mza(9nReO@~|XsE$MKKiD5$AT4Fczyr+EyMrDc;B(Y4$;<|*3 zPY~?5E}`Nyf+W`^SiG4qy6V!9I0ma=xYQ>Oz`PhP{^E%Q$yFC$@i0Obo`RA{a{vXY zj8euyJ55>3E?(lE4oQKvLli3sdxG7POG#o5xCm9NmY5Ht2h@I}+?Dvp!6cxzpK?n= zn=s08$xXz;D$up6iGJV)QWo0<m*@<>gQ}%bKoaHfB>&nT%D)o-5bWk%T*L(llJhPp ziJIWyM#(vs#6$&fW~1b+OMD^#Y||*2Md6qD2fjjciAoHCRnT1E;uCdt(=Lz1kHJAS zmxx4X7~Q89m<WSaP+hFV@pW10l;7fW_8_WDSmL7631lsqvL@baPxr2sOB_<VLmXwg z_$N}7P7p^YT)Y$OWro8fe>ykEZ)=+DD(l6sYJzr^b>mr@Lc513HL1C>qdd{MB5lK| z8Sh+>zQIw}jHhTO?I>%+w`kIPoeA+h=f%S$SDc>;hw0L{m2bq?pYIACU2-mquhax> zD-U*>o`$0B-gWj%qeAT#oj=CEK7TdTZo&C|e2(VIrgC2=U3xgg4%ex1dP2u!vxeTO zeEKqal;xZmZ+-qw$mqQD+xXX-pbce}_*hM$jhgOG>C>J%N$WL~PSMj3bcEJxIy;3< z19gPx&N1<)&hN04+dIk9!z^WR{8LTPnzB;-(es(Cn%2%5X*Omwqq9QVhNY|+|4`F} z>ijHzT}GT$)6%&m9PMv6<s2M8DTBg{rgbg}f6(^gDw8^Ag`>4sR+WjJ<Ie~F2u?Y! z92E3j3y5gvNQ6Cq^G8s(_3Ne}({cJ2CC8C@(6J*C^xT3YQQ!K0Gf}fObu&?;_5EMo zY)A61SiB?sz+gwBw)MqdBfKN|pkrIY>-oTM!C}XW1A}b|&*yJ`3l2JF9~ksr`?k;1 zd(9-m;<w;8$D{*;Es6ZrBY!D4$MA!WO$pTVfnSNLt<(Dy_BFMLH@^hwj;X&WtZSeM zi(i6NN0S4C4GGuhBEJMxTKP8xzdGt1bgWCfZgtwH%w7|Xc(W(?#Zmg8V@)Eq^~k1R zhojKJEbZFyh#8iI!}E5Qg#B}oJwc_`Bl|{~j{ARkXxH|i`>`Z!o?Eaate&f|BrKl~ z><WH%y#0$Zb#3eUQI<qVYulWZmMZmFXN%|eN{F0MVx<J?uJKg_^Y0;d+3dN+u3(cR z{qG@n*{}7*AIiiv=JSCYc0ZlS(W}D4?#h$xdqNHFHO%%cp-gvWX8W2@kbBKUyB8k; zVwcnI!S?|&n%zDxB<`*}-aefzdrY8O30&|&?E$1(iO2X>1lWTw43dR!NAaccCdvri z2$HCYt_Q3HN>oOZgu75R!|isM{3gL+hl;350X;5{zeG&)k-1nu3o5t>{;E!}sacQg zaI0s6G6kM(v*NRd*eyAgMCS+>p=#8k^8xgLns4oQ`TmhH38?9Bzs08w8Ra<TM&ke# z=o-~%KjDU7*xXkC|LXoO_d30cs68nBja_yukLWqL{s)V19Y0*6>XBB<|2+N*?o^Mq zn%w(OQ3ixorni3;3ewDCIb}p!L_HL~syUj~eu?iK#4fA-B45}(aI1QtUHZRq>5BDh zU)m-Ay961XbxMf7A{@7eU2x2fmJvp2*v&XO9lYHy_gC&{Kk=U;WE9(eyqzpGj8q1{ zh<*wH`6??#EV2#)ckA7W##mb_qR|g+@q3;e>Iu7S+q!n*m^6sy6cOzVp!?JSqhWvw zs*}|TylPf@`|lHT|A44YVbP1HPVj<!l*#Sf6NG<OdYl5I2Tt8k&tf|HMYo^Yxsf&D z^t5?llJ_S#2s7X=(bPOm5R@P__Y)Fzn%gFMa~+stlvS`7#@SsW@C4eTSx~Dviy)}k z{E{Fz?$9<y!8<gLQC7fihZ1(dQHM`slw~j+(~gp;Y<8NYEP<^swJ3?w=3A4LMeyLt zrCxCVN=JZ1Ve`dF$^!T{Mx^P`Upsq@!U5}GoKX@v&GH06hJzQ^04;%QZe#M|9N^pz ze~FCd_e{z>_+N|)O5#oP8A76R^9v?r4lIosXcX*n0C5fcBwjV&Vp3+nLKuriLFML) zOv*I)^NNA51R`hvDFF|vMM^|A_ty!wIk=5cet>IMI(#H-gPf5P)<OD6322ZkQsP<j z^n_8m!^*0GkA!*98=@fDfw|h@Enya9K@@CqpsyNuOZYXvn4nC6nLz_Pwm%)ohmKuC zoNJS<d;9gAYG&)!eg@|nvvqAhkW)R;>h)jUrfp8E$A1NzBiXI<`(m7H<A>*cn|)0^ zqW9p+AKMkjnuz*e%iGsRT1WSXeoOp+&y^n3v#SSN&HvN-`=HnH$$`NpcG0mg;?2SJ z--o*<KO*uVkX_x^s`H=frY*-2c`&>0xp}R(RrSA){Y1JWHUf5F{Y!%7m=<yG;5xhd zYwMSNG4{2ut>^#q-!EUk*3~NhpXEOAa6`5V|EIcNzIIJHLge83-Uzn!_<tK4w%FFj z{d!h)TkEHN-aXsQ)`NqN4eSp`+k?6d+l<zd{p+l23K83XDZ94mtvd(b{u}~TNW{$F zz+LR5quD{(pG0mosdfG!;g2A<n%K%b@R%saT$^eM+Fc@Gxrp$cae^%u5xPSqNN^Fs zJ57X<RYXJB*jPCOQ6Dxi=E*?#?<5cot<HRRLJ3%W3u^c6BrxdOXv_G@b`y3P;kDy2 znHX3-)MB;!h+w;fC<)8q7NV-v!t%#x0oC7H?(RxWJ_xApZ@IOrMHt~Aa>H<A<>+eF zFh6cR3Cl*{!koEAsA^gZXt#`+=wIE_^6#z`!FC?uvLir{m`9|9X>x}eCFT%`VG7)g zMu}NOd>DXh-6)aO!oMrUT&5wS!a~N%X$bhvc%AJu;?WKd6G%fuggKAVe5!$Au(5I~ z!fNMT9X7q?_s;ADkctQkTU_NuR+C%ScA6$=-qmtpL#sx_5hlVvjIzp09GO6Pht*FO z?240#qb){x_6t){eM&pbOPH$s4?m?<5Qk1PZoj@2oSHHF_n%QWL0#fkv>?-dnncO5 zXHIqOhzEIFaK!70@6m~x#8h;m2Jw9hZ?-*|6pOc~PZ{ihs~tcyCzV`$jy{+@i*Gc- zTacXv+$#eUtjXI6FnVC38FO}9yo%_AP8_zcnR3|{FC*Ha69?_zPt9(Ldw9QLi$C^u z4o&=KpE~8TC0=l7&%nE&#E=e3?6VJ^>Ja)i(#Chk_uDN#rLF$k61~W))BFnpaYu~Z z19OgimvTKC=VG#W_sBPPR?k=Eq{I}ZGh*#pJ{D~8Y~m<6maU}f6B#0?N^B&@vXm5k z^g|MR?C(yw(3LLv$g;(qy^n?@cH3)A6|9RV5$(vavr1=uB7+k<?IouQ*2JB>^@9`J z?GF_`(@JEa6Ow!JMhTATpUEUGZRJ|!+LWkI3;u#&;;4UOoBd-F@3c}KbM~jWo%akT z5lF1@PgEqn_fJ$Hrurv-wqKjPL{-}H&c_JK6TkkSNX_+j#w5xSOPYBnmDt|0n8Zf= zw#nJmLk{(0eBy$9W%(|6syXQA5*o{O2<MKYZMhDiTq;_E>k!OsLXWIEG+@R^<qU^< z%mB%g;o#3rKufGT_;N$hSbQ_;=i3(G4tTVAoVgu}T|UG+kCw#ks-b49pO4VCOAaNN zoT)<gp;vl7iMCbst@-Xxsg?&@RsGGkerlmdI1afO9I1S>N)_WbRUd+7JK!+RQ%3A6 zS~KWp898yIs;BwipHgVsc?TD+09s<+AqAs3H54o{=a7g|n92y2n01K90H&;iC9<0N ze@c;;X%11C5K=kq5NyZ&ZKoX`ae2r<nnMJ}nMC_p1;oHe<x~eN?mK^Mdh>7YY%`Dw zXdpMdu(Sw%Dx#r!OFWZj@$Sh^UWkgam@`lEi5FJ_bxWhe@SY<KFYtMdPiUuOr2T`t z55Fg6s-%UyItdulup6ycm+<t&PC1yvn>X01+FCqO-(xW&lMX=`T~aw0+|<nGzHJ6_ z!HvyRT>s|sr&Uv=V9t0>c^|w9y^izjgOkvUIA9;V5j}%jX26N)F$U7T>QM8+FmkWb zMEBr;4EQH>J8rob?#+Ie^B|~dl;p(mfQ&4|tI)N$@<8w~$%bQ@<Jk)@MdvdDwXp9< z-{`R@@ORRE&ZQjB0Pq0GfWwosOo!*8Ll{U@6@x^jQ#41|@ONk=BUV!aM*?%|vdjI! zRMJ(>+iXud{0;gQ4oHWmqIDT84cj?*5?YB-s9`$`e~Ff1AT?}f;7;sI*`B^t*U%>! zEMyfJ?T7QE!6VU6aDu*7AoSnfSR}ZWR3$ykJAZ<AWN3HcC5;NVW*4ZAq?10<htws0 zC?+MJm%b${zQc1g3lMqv7~FzAj(^|-mPfOCW7S6{;X!C!T)8*6iNt2V#RI*;jif2I zKfe5_cne`Vhr$K@rW;_y!|hfg-QH*m>Jq*}1evgD93=<FWOM|H2caz7#p?<0A&HuV zR7j!*;e8HoHjIpm#lz@~L(98b!iyXuJdDif2o(214QL4tA2wYEf#RO1H(G*&uxy4w zFX$Vcrx#@6ZlNXk4VJ_>G|0;*9Lb^JVBw4ov^WYipqZ#jn9ioKL2B-AH23%<zZNFW zeRRLdlDGy^cGpLVV+l3cMl6uLyNae@4=f31G!ObhzeERJbYIsH?1rf^qWliKJM(O# zS<o4GKMlc7m?(pX4&rxL(MY^TI5gqI!UAx-SeO^iXd3j1&f_Z%L#?X|f(UDPN(Rgh zXOsam$5GN@cX38PKzk?=<YAN8kLOK?&G+*7h+_yfcuE><us6zE98E~Y8!<uCsP!9y zMA)a6f?Y9k_2@IBJlloOQGHHfHY*`UT;LY@QXkOQF_I&OLHPYi>^y8VmE_5hoiaOX z@%qv(A|Hak0KFWYIbwkbi$4Nd)mfVYn$<5i1;%aLLMV9K#t_O1(9KcgkHDzyrx40A z5boH{7OSjwdPZ3SS~=FT#Y(GhJ)<lF2g#Ruf&Ju;EwRGti_a(vz}t=@zXkejvqLBx zppK(6TP&wqep7&9>-E`SQw-;$8}};x<0tZ$!TFV~O;LE{F9Fr+#_(7cP|;EUS3-~N z-Ony`;3Y>{wwN>G=&yusTaC{J>tadOcHyzJz_X5#dkLMklAjCK#GDZNdkO8f;UTfp zKr*?225cm|(11LS`7E&))n7LfT5X*|Vt)Xu$Q@K*899myEFv3Ffxi*$y9x5uqhYaA z!1v@!KgEKp8^dBJfw|-Yu2?{IR#+?(IEy&Cn?ST}Y$;f+oFWGQ7=KpYXW!(rj-#2B zhzX@f2#JURWGL`Swcrs%D(v9b*~WTKC6l;C&fq99iEHFQPUQsA>qp(DO%BoHN5STB zHgTRT#!(t4PLsFUO6oqnpRfF}S+TG2sSjD+RvI}hIzzw3m+i}aN<Y`LD+h_@KeV1b z=(T?`Ww4pKXkX~_=JWO6nG5#$K9QdT*_C}nogb>3HXM88)NHutrcy6a^+!i|BHbSA z1N&_KOPpn&=5z1!b#~=f;uo?QTj?wD{15-|@^z&yqWBNXaNxSq7ozYF)$sB)C1oFx z&)4^cvBcv)Ha2XqhZV1$RoO=TMCRSI$s`_3b!=q*u(zG6+px(XmXNQrloWinTPV9W z>BOC>Z()K|`w*X*mcZT2Nqe)Yvam#MC5bpcl@KP#tt1keQy%t4KNW**Ynv1F%KII7 z^Q>23MqI_Mic5WpzP6F>Vi+60W`POoQJ7JV^<Y&LLy=u!z)+lJy2%m#9+SiFeBVi# zxao5_<m+v4-J6u8ofnt$54Bls`sb*nQ6}sn0fwpBG2=T{bv7dv*8HU%D!r0oE>ag{ zFN+YJNIeM!v%QK;>7dZEz2-79537KcRiAhzF*fmf*bpC3FjGwIkrlnlAV{pX{Dp%N z-kMz15h&(mGteY3Y+X@h5Gdwp^QNizbag_5bz^?jteO#9@#9*Kf!XDcSt@A?iXT3{ zcgx7B?+o@Bk4u_E0cd9C77h@SLea;@=K2q@#))R{ZJr9~X=^CO`afz?5ZW9My?6Vq z<T>qfgNNB7p;jMdWlugZfR+!vwyChe8#Bvp5d^+lr&mP<+y=j5SSM8(1c>FAAIXV@ zYH3D&vjnEw2)<5$l$+0x1R&)La3h?xZ<WjcWrknjyllm<6|??gndK#LUS#Cu4+=0( z8<mjEC0Mz8eU-sRg<82JA>k`wHeA*rHmhF1Rs<!MS{@9Kol}&uZEsAVSj$%#X=Y-r z|E=Qj6N`WAeowRfC+Kr6JG&l}Db`A;spwD!3S?W0CTD04bL?_maR5)6-4mOmDA4ti z97pS_Sfb6;BY#EyzHtZ2G_0k3uTG%dI@k}syk5~k5bD!{8)2<|Ns5~pEX9WKdQw7L z?Sf5yp(*dQ?R&??s!KG*gDz^F0LYcMY|WmMVQmL9%CI(vQPQpN!i;_>?%9YS#lp(_ z?RnF!=PP-9#4zPG_LMa1!OAFaF?4yVy%AG!+Gf2$fN1?Gr(jo<Ts*34lm}hNjp|d_ z$-cBJ8fyB6BidNphEC8fZq$ukRanU`U?_N)>2pNUrm`GSKU4iM0gd8dw19eXmTv5d zf{WSFu!I}M!Md@_3ZvNt+oBc4cDk`k3d7l#wna;dHFRU&K|gBpzJq4v7Ho-rESA)b zT~IJEI~tk*Dc;jLRG-na3pPcOrtKjK{})SL+7!(yzKA{)&f4V`Y>1{8XX(VwD|BUF z+7NXy6=92}76<FZ&M8QlwFf6qpz^tw)<s_yYv{zzC<vGxWsAlXOX^UtQ2yKwnnF`{ z6iuNa`_h`|Bh#b7383OVZQe}iUd}94p(4A0s!)>cLRI*fUGP&hy!fSmLJM>uhli@L zVH$}^XoimF%=RcOnd)N_NYJl2m$;(7#lhOK6AI(QONUpm%E|iQ6@|^>dG$LL8O@ow z^&1tj&EmQB+={^F%+*Ih!({}U)kgut?+BUM_vlY3xGx{YS0DKfhY~UmV^ZqfMiLwZ zZP1lB(j-4@H)SqA@*4ISav6FwWa;49`|qWHu8B-OF06`e8eV+lF?^pO{;u9+MA0EJ zpwdFgqO!mK)&=K#rGa;r(MpFG_`tvr8JTCNAz6!{50qd0Q$mtAuGg#HBR_H0bCKxe zRZ@L~eU$kq<KJ;`$=zDs%<0FGnaY(QFyy$g;E{Uyk$3P1{*@}8Mpai(mCBy_Roji3 zbB|tos#MiCX3jo(<tbYg*qAx<DAqF)mgrmAUjMn`N@FIrzNvzOtW@&kttvz+wbj>E zY!k&Z>-UG7>M}Fx%PNeJl?tBsVC6napX&=M>WP_CkIaXu_JTf@&Gjq8p>;Nsj{-b< zE3Xi3n2&rtTPj%%HWRQG_x0TRFq=F=K;JBSIG5nx_YG~6OYrUMKo92-y!+~OX$)8( zqf9rE0Yfw1qHVGXkNcv~!*~KS`+8XB3ar+>J$Hy*F+za%xu9*9VHNI`xrL#JYhc-D zpu4;Uy6#@6DcB1ua?i*$3LRd66}ZRd@`euM2zq@RIy5>g$2~(cakHYApw>s#5!?i+ zx;x||Lu^>EboYn3S3-uf2$%ZKqHVGW7yCkWXzLYS1j)WfIuF(>z7WpzY3b0`4i9i! zwo&l#G|Z84R)<D|IWT7ZhcgL0ec$}Wr(rP0Z*4&u?76#xCXEWSW)%1jrxQMA4`IZA zz$_T!+7EsnUYJ-pv6$gWSdhD}W;qwsL|`-CY6H2TM#2=sU%UKi#Z+x@_qa@XpIwvd zI>58fj^w%s0QT86y3PQW8Fob1v1Fusg_i43vXOg*rfUxX$gun5+74Lmwe#+JC-Wev zVzkz&+XFJZY**!43n&i+4cFRqTgrI$+LgNICkJX}zN`I~6pI3Vuf5-WNyak(G*D~M z%_Fl+x65-4Nk*b77`4<Sismre?wu<#IaX5~R}1d0lP>oMQERVuzm@i++r4pp1pw0R zQeAbESsFHTc1f;E$%Ps=vvx0CWs;E^HZyikU6-UieJifHo=j#TE5NRP|HsHk*Czl$ z-wKfH-`BB7P-|^f5)xUV=xPD*q}o|_E&Y?|Qz7rV`nphEJiT_KtK*+#Z%}h>Zj!aS z_@o`$wdb{%x;WF$$CdPYXvg}eE!lDP`49&<S-lrt&nahCZ-r-YfXwQ(@IX%aM739I z-KKR;wMT2g=1_L^e7G0~I9@#+zRd=zJN7=m^2d6`w#Kpk*U~m{<bPD%XHZl77cYF8 z6qOo}ilMilfJjRS0i;8uiAQN7C_?Bpw9up}L~7_E6e&kh5s)Adnlz~)G^q)lgx-;= z`0&3kp1C*4`<>Y{duFZQUf=bpm<Sy`{b$|cv)#w=Z?w-pD;|DV`SYOP!sVhu%UHGe z&khr=begeZQD_$#?oazXP@(!=e*ej`g=6!=Z`XaP{tEf;ZNJ|VEwb#a!k?V{L$ye= zgNG~8K6h7ig#JU5>aGy@?)&@Gu2g5m_3w{<-`SPwsNnc6|NGO9)IB@yaHZqXtO};@ zdwWl^E(*VI)Xyyy)uES;pJY~?H@EF&%vxA9SM5E?s3;9pqDtMi`xW-%=t+9TVe|0W z<z5Sv-F%q;QO2}|Y4fMEw_BeH6-&);&n|C$uC1Uny8?B$C4=<8!rrPE5At6sFx%kO z-I6>=5E+p4){k_$7O3X~yG%Bl;ML7D`<WC)lB6YQkt7!qq5`gY>+K@0dFsi*E>D_u zBrybB^U&K>xjb%G$*a3AX{rBJ<?^Um8L#e|<U@TQmCH)S1`3xIi|_I4u1Xr{%c)!* zGK)!K@Vn-uw+p?<K4$akE=%?%L?N%?iU;|0X_EK!H4)dciYxhasgjcVa?r~?W(mBy zOOhQ4B1B0c{axkDU1o|&Q9jq=iy!joE=Y3e`zT*-H@lX^K$K+Fms5T#Tg=7xI?K$D z_fnRbJFo7XWOV|A_cbfMUC3qW;vL|R3^Pk!-3+sbyg$;-40v^CC6D#E9j}EH4+1Zx zn=K_WcwGxDt_1!_Gy9ns<#`QRoC4IPNY3f)f-l#aRfiQF0U8S?{^%5#u7pPofDS`N zjsU^xm}Nj+LCZcGR#5jRW)rj#T0{c5-q&0PAk~GJ0Y2)QXKcy^LHlfwg6uyr8zB4p z^k-;rLC~L=b<jj;(Jw$*f#si=HPBe7$S*)?f%2c29Mh5$rX17k@S+1iNx{uOF)JXg z`}C)1g^Ms%%rb}=TC@*vRBt^&_nSJ0i|hlk3xxL3eWsS-MSFnsg6!WhOQ6nBkv)LD zIyViFQV{ezW)XDje(N#%hpA+^$SxqRK>2sfJm~U$dKw_6;O6g+EK}C-wmwjOXjC7l zCRAhxV69GnjFv7qK4Z!>Jq}yw1(k&s^@2)6?R!Bbp+(z((1N%<bd%{y7(*{;Pd)Mo z-C#Nqw$KAwQ`bB~6HL3qM799l1wm&qWY83NsDW+9c*C=3K*A5w>I4V@V;b1haNT$| zit*fJN1idovn>D+05)?1e8auNqoKGIJX#s|Zkj3AxN$NDXH4|e3IKe)fIf9_#*LnB z{s4FIP(9n2aha!<Kfn!)sb~9Xoa?F8FEQ-S&@W*GF{@`AHcs%=@&gp&=%zcc#-W~V zNB{ynL_o`5Oz3vdBorYS0vpjdWwL`RAq_Dju=N@nd20CrUV^y^Y;rhOJX^Q1s%M)I zAQAVR(y<_M4T7m->oDf?Z1V=Z#?j%~+KjJyF7!z-LFOF+&%mvYfTv*YIyM;`9YrV8 z__qf`pTuvlk0ZbwY~~1f43={QJOU5ZvNap)Om@sj9DwN^0VrGxxno*l1H9`1@W;I) zcTgmjz})|XOB+4fJOCb9D@{z>;sLTl%RKd2b4avWR(DfOzTpyqX-j;^W`QK`Df=RX z4VG1kL{AwG5;}4Xy9qkEhJ&^YB=H%WwtjJhtZ)c=!m!1*s9)Sxc0QO5oaNlav@UKg zTNsR1$}(tTS`#;sEu`_d+>NC1yw8$sVp<i~lNAm|4;n_;E)d1lW#>_B3Rx?SI@k-h z-F}}ZC#$=Wi6-7{v#`gLnN`}zL=~5i6-J@uvf>(JvJGPhOiSVdvhzXcPQ!b)_Pac9 zvkV%U7Q{JZUj(7s3?m3k^Wx01yHuX2tQ}wU-K;rZv`iLjV@#$Yovlcp`1##PDo=P; zoiCeIR;e%Boh(+<txWgdf5KmFIGg=z;4=BcZxvKoJ{lM2VJXNR!c{5#hbjD@tZ;_N zy-aJmc^S4aNJ-^WdAb7!ww2Z!EBf8$4xjGs{9)K^qekLO0wT6=tQ`8m*}IMBQn`f; zZQe;ad$QdRe8psu6@D2fefQ6`@=cjl%hji1Or%HS49&XA0$KF}J2JNxpUL|U)jGQe z!krC_0$00wv$r1oqzjGjJeCei<`H^U!=`MowhpQs@czi~aCSb`3<D6R1JON~yx;#p zc5u|(_W5r=cw5YF^j*%d#Cs5oTZ3ZBQddCoCeOoJV>{7zU|&O*=f|1D!^7vhw^9x+ zF^DW48V3tsyZ>Gz7<PQ?&z$^Sxj&Ds@5+5VesIiii7@?tzM59P-cj2}UEB0_qJ(Su zoLG)Y;nD}z&kJKqZQfDlhQ^)O3jus<5h<l-<~MgPMNPTNa3WWohBaqsJU|{kmay;Q z;d3wOgz_Rvv&*ujha(H08lB$y7?!f|@@)C+N#R^<+wcum*G=@o@W4`9r#MSsnxW?P zoj+?6Ee^|?5ziP{;Fp^<pfy^RZO&!vx~a_EYT?fdIaVVdMaT~RG<Wy4$_%f5Y~{Pd zlNTqLUV0|-=eU)1_+47_Y*h{2tH>aC$6td-X9|}Oj?Sicqgx}(r2o`?IZ(RnJMz?e zFXFbL@l3M;-1AZ9H6h{KVUgjsW?A9+?iox>;(d>X3}<Ci1ZGnQAJ0~#3tGbr?_=TG zfMyMw47%Tpr`1D8Qq}KPT5fc^N=azA*=B`bXPI+jiuqK(^!(lS4OV~uRS>5ExOX@? z6Vt4xffUGcC_DJWFz@p$Rc`p`>|bh8#ZV%ndN`L-<n|3Tg}(GsXyjlG!|u=#aEZmk z!z03w8$2|IIMTg!k8akB%O>-`_uuD&L%tj6*u5)|<%*O|x%lyvXZMWBat{qb5~TY! zLj1)1bgmt$sfb)Ld~tow`JPcrbIUVO<7=erCS{=~%}{EtyW7-=Wd8GDh#zqjr8E$4 z3u-d)vkRJux}+?BdL`|*3yueTvha(<=9PEjV=eMHf}fu)^1M3o<U``l2alZ(n|-FZ zx%(cr=Pv7erogO%8kxV<m(EAtQwzW?ucoSgj~pJE0yAGt{XTbT*fYgtyqfy-dqj^~ zP;>ilHT>kmm+b;@^Q)<%-y=0grns5=9uLE(_Rd|}&pvE!edyn+1pTcZ{WGF>WJ;!( zl1-md?t6Iut#0}=LOUE8qm=}L8chNMhr7^QCdbN?_%ur1$|O8LZ*Gfez+`J<DF9Rh z`-)Fffqk52%gZB9qI2^)CfPPk<|5endC@(W`uz70AkUV#q~X-7NlZRsX)x?GdgEfT zI|Gb|kXP-qR}UCZlgt<M0l5bZ*8|4#%C>a;L2d!5^?;vwxk)iTAlHCY1jt!$PbC!$ zlbeRGnix3s?ORh!^z87VU-p!G$0Y(qPOaxnwCqGfJ-lla@L?nx6o;J@acT%-Cz@yk z`qIRFLFkY#zm&%ELYq535^&UVrJQ@C-;MhEd?ULUtNMKe(ee1rhy!X_zbnu&a1 z6agTQpWIsV1!clMQrM^_7gr&q$-&SRbar0MB-@gSK;S}MT4&z9Ee9XaTNs?ewqU{$ zXkVAsmKQO}HgCclxaSCpg6&qN-G$9prOCk9RMDB3Io=*1$l4?dl}b!Yhr*ZP#0@5I zkd=v+a;h@ygv^$icf7gOYf`pR(rZ$>;m~VRvQgp%3WcGo(wg#CHko=&_5z}gtXEC8 z0_<zkK(LQwwrLYufJkjxU0%!Pk_X5W=F$M)HV*Qt3Q1Fk3^lP8;5G>GE#m`<*nqLO zSJbjZpr>yLfQ*|U!1HiFXJSakG>Q(%c!3ggDB<n7N0adMln((+;yPxS4kbK1_kscA zxJm+i-PqErDi|<|D<i<yj30XW1_P8Ja3nwxBG&|8H8${)4+ae3VrG~QB%D0=P=Enk zHUYkD+)Ihsm%u@W8sRker-&=L#(nM)kk&>vEY4=8V^1OjQrOtDWGv<-Pm{nvxRGi1 zAd!tdqPR(Gr<Zvi{@~HcI$|aSIO7(Ez(@N6x^Rj!F}o7+5VJ=3f-#quZxEmzcWs7= zXw2#*ACx8w;ci4{;rs|}SvYqBe9pL<!n7k{<+&FKkcR9w^km>H3GfWuLqbnF&VT@) zH9q#_p-O~6hJcB^#_uR%+Y&(#LIZl*IG<9oby3b>8_*Qv1y6>+v|3!<bcsiG%f)Pa zr(^ZqVk=&m0L9561m}gR9K`wji0+gxVvBwU8llFL5<(Zuap5V?3DD&;)+tzLFxIJE zkjj-vI)6PNlY;fU^P6Jhq)z2qv-Cg9nVpoTMi<H?oW4OnOhWq)tH~o<xo?&g2Syh3 zkjPtWE+0QXvFh)2wJ_g*%HHP^W<pj=JgS}<oic&(Z}vN<7o(C6p;Oo)4U2V~exhss z=dkhs!qmL$$Is&B@9U-(T)%xDwdyCi)_k6BK0LfdajpDZSpMdC>cfg#X~lm6ba{-~ zNIG8*Ark$d09`I)5b{EJett;jz$tV{_nh-xKYgP>#5#Q?G-8bLxmv_1Mp__ZjlK*T z@sm+QEn<Z6A(GC8<3e=K7SLFwPZ4NYp^p>LSfP&)xFDU6Rp|yWb%`Y&VsG#-pmYlO zKl~cY^y$zy|J-&8R_{}zBQZ{>()BZTtI`p9Ye&3s%nOPfj6!%|3zgpTf4uoaftDqD z1A&%o#yC|vITkJ&oe<}o4=|Bs?sziaL-iz~->IY^BOGzcTejhZFCa`ZFVZUt&@Iwm z{K*A+F#);-dOm@OdHQPtblHqTsuzCeu`gW|%N&)io{uhzal)4_lH~&Mq~jFoqyNoM zm&v#Ti;!Y@PNn<AN0-s*w({&{az&p~qm8!rtq?z#4U_1=TTSoAS)1OhDCt$q2PclZ zY1qC@(JSbehqT*JC<*(13P1ZfAdHVGW#xJfiE`s9CB*&X1Y`Y+%*eYCy^CAzOZSN5 z-8S7Zhfpv52LA;MssW8?WNd*&G%!}eB0ww;120_Adx3PmEL?$f-Yh!-be=4O;ItX+ z4#^=<A`Ee1ysBSBy1*X-@G7{;lY~8d?|}6EhTjQ9r+?Lp<<ry(tmyZx=AGUY@Q1&t zyV}+!t<dwQtk>v(C^lf^?G)uC5s2`G0m#@1EIbeUlN3WTq7ij2qzht9fP^<<4<X@+ zkcVA3Tpc8)00|Goo(f<bTZx6Q8(BJ4sQ^Z?Wmx!{(Zl2+sdjdgUitULCB=Y4cB;`& zqFBGtAhE+w;y)t;CwY|%{56Ke<R{^T*n<KFu-RDnvQaNF1}TBVeIe-}C9n#uxolXh z4XMLdB154tw`a*n%t;<0fl=UAxDYoYb9)wz_?(870i9TB(gj$HR?y7tnK!!X^hg<S zPeC}hXU?dZcwvLtB8D9$Y!FqB5|Ii+@_-hs1GZ<@sFK*>C1HWEca(U7&~lXc7a`&( z5vDMYyI?#v`gOb{9wJg9fJP5GPwhT110i(m5DTO@`M81qon}tQQasvyBmxvr@@e(h zK~2xaULzVp1d>*VZRuZP_X466d~k5GVX$4>S?YAw0Sdikc--hPVCZXCw5*Xk#66sO z_iqrvaCc3x>wZgj))I<s%W$W0iDc+$XMe^6ll{1#I+Znu>dDROM!|El2Ai2khBJ*F z{e}oTk+al^td{1IenVTkg;O4|tV<Z%x}mvU(P^rZEIf>D&CtZIh$il08$}a;FMB(T zZPifEPUJLoFe{>YiD;;9w{XIvAiEk0$7XpqJM4?+$o7P?(G0sAm-fUnWj}_pQ4J;R zL{3uWWYMAM?5r3R+mfMx-NJEdXV$%Dhh6cvvhYy01w#%y`{UHMtOyj_ydksQ9#uR_ zc6TrJuI&6?s*EgKC^|EXu34<l@Z2_vDjqIN*yE9s{kX?-M;5!sb6a*bgl*QasL^3t zJXkhzFSRjiu4!rOqRm0=rPgN+HaTpG`^ut2*eHfGwhM=;H5muxF@fR@Qmc5L>5Lt# zp8SlZa-D$(du2?4;z8!VPU5vvU!S4#GJaaYHy^B&IglPKm5GraI9o6{iF=vvrDFLs z#>PgoB1H?wIir<3L<D@sZM~)s{*Qt4KSal(Hrwrbh2@XiV=8W(UN?V$z&>a=ah~H} zWp{B;bNL3I$&8M2rT}pd^SyeW@r+6<`1%7&i>i8_(Tp-H_}YVq7QXd7N>Xq<kD`>^ zGx+KQ0}J_jo}rAGawb1<C-Xf5&p<}D6@2+YZ&?gd949qo1E)Q>XQ71<&yxCT1E)Ta zw2&w8^kgJh!IvI%l!*}^2wCja@pNS<mdE&r$4i;nz!x5HS@_oRv}ar^XCgjewUDn% zm6hVQL1$(7S+QkhxLd*J9#oexd5c?_@73~1OYJ`G$;hy@f@fqrwCYLEFtCEpJ~%e# zaTE`c8hXl>p0V_W$xA#?>g&^<w2Yr$Vm!r>QkbW3%7Z!cy&9g{jOx6SBe6!%q#C>+ zZ6!Zu0DhP&b|e;TlDaHb2Wmy8!axKy^d@{Gw}b?DHPu=cLz;*zi}{#nh43hYP)HsK z2&;zPfZLlggrtH&C^hstd?L5xmslCdN)5dRAIlZ{C05E}*>e9m!;PD(OtSse!?VfY zssDEh<^LjwEaPSHWnVtaGU{t(!c9zzXksoVQ8cmlpxbI}t8hJ2k>J$9w21sAB3#{c z0mY*LT2+N()4cN?_Qi5QJ*sRpcz5p7o>(U6qbeH}E@3KyN|ghlRnggLF;KQ8xPa+G zP-<t|y?lpVv9}<&D%%2_!_+<~wJj|I$~F&YHr=C&MS*sGQ}2T2eN$yX|0~hb=<>z- z;O8b$RIzXn!Iwu0^wF2+4hZYZa~re@W1EE+<vMJO1%o1eQybIf@|L#50zfF=)cUl+ zJcq6S6`C+M3Vg<7At1E|bC47hDApjls=zai+2QTU$1El33>fSsFa?SQ>G?W|)rx-Q zN9SRF^1?R_RuUXY21^NIBm-xC1}8Buy#<6AUKGO*-!OQtKa3Eo5cT0_!(pnEV*JHE zi<<HG<X}pYnEb^)h?*&+DvD|<@Qh&6lVbeDibc8k;VT9j`o0LU0?}PQG#2BRR6;aR z)~|x{^kW>7n2=%)dcIJeKFs5!7+<k8Q4C*CHby5&hiK52z~n2IEb7AtUo^O>U!~0R z10(josy$Xzjt@R>(2@}2Efy_$BG1!`3F3ukVZ3>JGBHd^I(-Io`l*g$uS8qrdA?(8 zc+nY{$GmJA7$aVEI_4lT#!JjhuL{D`gwf!I&lv3J`9gRaFsi)pX@eC#c?b^y(~-#J zDdr{W1B8>^oAA4&8rai<Nk6AW1#<qRuhWRa)BH(qr*;K$-lV4!KChqT?vMDKmq>C) zBGMF|=1w|0MJteTlN2HthTCxeif<*2A!^1aZJb^zJY9D$!+#;YS0U$2K628|+w=n~ z;s3+3_q)HxXOQ$%$Sdwe_}3(66*6{G-Dy9!pXi>4&%h=kYx*Y@o%(XwkzjfJGZF&& zl<J<2Hzr9y$=MgZ1wi3x_N0(gNN&GRP3PoIr>D8bJ~bVaTu!jue(##L$rhrXGI`G3 z4gtvR?{j~KSd=GcPBJ)+$OGrxtq^B8_CEJ;{4;ESulo~3u{=3_vV=GW0nWOcA;>sm zFR&z@+W!VZo^}twt6{%*g6k(~h%}sxC%A5M27$zV+59#$9%M%j`!e8GU$Kkw7;qz0 ztfFKF-0CXkQJW;U+KS0$#PT<liqU4h<!{i69+V8pt-7KWwb}3HX^RUpKKwQ@{@l)O ze`?*W{362qzvgJ%-0t5nkAAn06@|?Kr#U&}!;NofN+aVB>_o#n4wQz*wd@$fHi>Qp z6{uze?Hg&lx3TkNisqJ6;n@7<<Q8`P?*HGB*`<Yg5Zy2pXp{`mEu})OnRaZw=$23+ z)BOI}dciHOg1;GYY(4Mx-1eep+xaG2!PZQpe!E-YgYxKei>z=#vG077uK3gRhN|>^ zyu1-X{dT9q4CT@5_Q-ZUByszjWW{FF`=eXw<9oL4A)8xD4deNZ<`?6IZpe$N!Rr^p zfNowDgr>0?>uuM@&zt3APD<0G$7MB6-zcL8WtmP&l+m5C0H<%{QFjYG-a2p8)uI?b zl{>mrcKv?^`CQo{LJ9J@zg(ihdgG#G{${o5uQWb7Q8re8Yu)wp=a1zzh;KhfA6mek z8TY%om}}#6R$c%5j421#=d8FEevT{;Kzth*RkcvSTQ9phnlIXTAeH(@<t^H65{a%^ zpRLNx3Ad=OX`kWcV8plX(T=j~2&L{(0Slzf7ayh0(d!of+Q|4Qb&PUYDA;`QR=W3@ zyBu6Ml{Ly_v0r7KHCk6z<M^#*w7QJB&N_4S+`PRiXZE5+z*kvkjFy&x9hGi>{`IA^ z);fLk(0t@6d#@|%^Zb{9+MH=u(~BL;iJspGqf6%TPuV@c)s9lk-C$pi6lX>POxKY) zTP~p{WTf?$ORz~F^48WxV!Hu3wdqoG(VToCxqSOD1oa@f_?pBcZ*97Gn}i^9a3cuQ zG&Pw+#fg!r+{56Ubr*LNH?_oH)uSViO`jsI*IY_J<mJDoRV#idg!Ug)504m_0@aKU zss~3jOkv2WWtaR9Sm>AiYWWX7`8B8<nhW;Bi+nv=b>E1z>1Wl%z3QG3F;gJYddbD! z<O=fEl1uUjX#QBxtwopk54ZC(gKjOj#D3t-HxIg%J;G`VRNd@ziTZ$oe(7_uF(La} z&$(EeFsjP*xxD)D0@}Y_E%m_)`lZ+9u?fyMCw=79WDzFQ>k{%|HIJEE-8iyi(g5q< zss>#w+R_W0qPX~e_>spPI7N2x{O~Pt40dbVxuN(MuW_KF`b9KcCQwnWn99o@sHj>z z&AS<(NFLfqFn6k+8d^yJJ1IhofAA&-C@L2>@%HyS<BNNeuETC^ID6=Y@b~*Gf{VW; zb*fCQIe#kt!Ykvi_;bia|6c{`oT0%4go^d5b4hVxl7x!&iu1eTJl;*D;=m9wVGL@G z9a7dO^BE(ni9`4FMHQx~&Y8telJuZcOU|jqiM%qtigLxTdD(rdyN3Am-S`rHs(%b! z(>LT}_o;3lx~eb5$4+#PDP~VPbX05|YP<+lE8Z>k;Fa-Klqsf9%5<#$K2(`NgG^-% zl_i)vD&8qJ<~8nh4lCYGyzW@tG<2XBEpI*J98^4=h=5F`4Xx=F13f$y2}28d(ZEd) z#oD1M_2Dz_ndFV|k^z0c`)$jjA?m4T+-ib7``nb|xs&d^WJ-9)p=f~mqh--=f`$7S zs32w^qbykXhc!33@gxqHObpjK6#Xg~`Nsg4+!)^ROVnL`_>_Arxh!1gm#CY1>M8fn z<lJzbe*NK4rha{+`;Sh!hm#Y+bq+)e1?m5EW0OO}JN88p>cb}(dBOSL-86m0`>7|~ z#N?EdZmPcY{YNL<y~#%5I(woo)p<_1<pkOGxx159!#j3G69r%V?q1Npc0cu)yCaz| zykkf7wIKaIcU$t+@TER|ruz$2(P!#yRMDsEJjdKJg7m))F4*xfrat}O>b_J_bM;45 z(Z}lYRMAK3!$;iB$vP+9Gx`VW3{+8+VCz};wEl+r-jb-lVBuLeMSn@1=ZL#5xiPF` zQ`7^vI?cMR7XTS{60HZ$<1uQ$p6R&!#HC5rExjH0C6b;e#J+(W2K<P}OeGFZcIPH` zPa5PV4tg??^k&>U`t=YHkp|2}VvA=<zn(2*p`IHIbeU#d*E5F{)nk-^@M+dHJrhU~ zLevEug%EuYygkjjs;37LsmBZ^MtCj}_0%B?1a1Z3D#ZYs=<Vr%6wLwlP*`bt-R?`i zqM5*t6jrL91Vn^@kprSBaoLG6ldMa60+59|OlRUfPX`~-w?H_BbwQ5<Vqb@8ON^Lg zo!4WA>^X`?0e7n~cY*U&7#ScNB`!0O&Qq*U?;ITEC>jnVRB=lIKUQ(y0b;ATZv$7! zth0JW?ham}!NABWOk?7l$C9UL01#D$sZSjAaPSoM1)|BU6ulYnLJg)S{=hcoNTlJ$ zDw2CTeuvPVAHQU)GoZU?!*nDPbk}!Tr1r+wrntQLp9F(V-4z=LlJ1g?7)jSzmSI`M z>+S+g1b+k5WU!(8Ty~fyQgOqli4_-LZ5#7T<ns-)rtX~h5?iKUA|Gy;Au)<KG?CmR z@#(fP2O`BcxSI@CbTwpsX(9zTb{pfc@qV@?L|tXsDin8ryn`*%zKFwJUley={A1gg zJ(08<n8xnxcpY0EqHdcF)1FB34WCAXMctdSRYBZ8;>B#4c12=u$Tb?w>$cd$?1)6) zIPv9fjSnIiWW{?Ex-;XMY<2o{>10!>BCl?=`f`7dw;{x3#6KpmX2cs2;?m;}p2cj7 znBA=k<Zg=BAQ;T(?%ehLA6ckMFqqa|xho&YO^EM!#<V5kb&-!SAnOE!+F+Pz^Z_<* zOXt|gVL-<hROBRrl8AzFs{#lL7&3aoq=TfhW>gX&;wK^RB;qT<<0MiIh*ZEp06q$s zNp!b~Sb#_+z(oNwj;=F_>DRFawW(l6(WNF1{W_08Q7V`bbScmKBkx2p31q@{ux zLdThi`H8#(Kou|p=pd60qK*pa5ke#vFqs=i(~$>7LAm7tgxol)&K-~z6w`w?FmWL2 zh=4>8A}=HupqMVSvPp@LNCLnrH*P_P2Nb1@X-D5QDe)G0E}^B2X+?)(<K}c4jY|4- z>Wm!vbQnNIjv^6&9(l}n^mA<7tWLR6N3YH&qnKWu_eMIsI;RqC5RBx-IcMCA&O0M9 zFOeVs0T(x|lW$bwDdGpf;^HVe3la<vOf9<3xMWkfiE}=zdrSLNRp&@JRG?~En7}!- zpQ6gy8pfI*OF?ySYP$>CFAMt%JUZi2;l%E9K{?U;TvM?vsBT<r9jbdn+d+`$jB6sc z8r8k7Z6nx56aK>aBCLB&`>|jZP52|HW?1*C_D@x@e(gckjsxNUIEBNySG08mc}}?o zV{=j6%i5}f_B7!<&fEK3q*!-#oqb^}XKN@cHr7VHV^27PvoN%KNn1=%o+gap<laxY z#~B&gy{OG6IDE|287r->vn!0|)C}#O*S;$F=$Pvsr*LTZoOZLS&W^CHz%W(VMxcr+ z9LYJf$JG++fa;#ru2k*V7Pb(urwTt2(4q?eD<DD@4&$5;F-VWyP}A8KekhQ7#MKx} zxnSUgO$8nuan;8X)pWLmeK;dSy2%%8+Hg(E%<G+t@R4xX^`ZtY^(&M36w2!rD}(&k zb9b2rw6>&|0)%U>e8s1zT>1EnHSaaiDlYeRhZXCl)|~WGzZON>Awan53i=uAhSrqy zlD}~Il^6JwvDcM%9sGqqU9rNa{CxfH?vkId>-AKGu=92M`jp|<DR&+Ggp01QJ!4(b zB1tbHh4Zh-;ZsPj)2&#SwZJ!u2r0zZ&+o<%weH@qC#3Yget6fxS2*<wFFvLFb)1z! z_G^v1OFqI$S6pmZ7qzb6D5^{8crA2y$y@l%mD@I~*{`|oI(Q4)T^Fh2lD)E8#dY_} zWK~MbYiBFgIjt(`B`;yi>kG9hQdhoKrQEqvSe0`73Z^Qh`Sp&BSg+RMML>f~@=DKB z))}p>>-M!NperArvQBH!u8Y*B)V*$zS@IC}yy5~g*wzf<tO`j{ztHkn3!*om23wj3 zd}0Hd-ked(LV+B<Azb9>38+C{^iP2pk|vE$horf{7jr1&&9O%l^5l>Y;hK!@5MVkK z^5EDD<{FQ#gc_`CT5?tebB#uqK@HY4A9DHzb1AXFkz9%_a%u*vng*Qm!CXVpF#=2n zLQWieD6WC%Y^cGqW-njNz7URONY#L*d5=?zCX~hURn>s1Dak31;_8V`fEp}mcJPT2 zHHA3$g1EY(6$N5;h2mMvR1Fq1xj21;xZ0zy2`~{gSvlo{Qe;`URpYXv{h+K_(e6-# zIn8Q5rX3+Gj=exGX_j4BcSf`&)F31JA+$R^+5l=Wt9i`9Llp{P8G^B<Uyyc8+ZQX_ zzQVfGqJQ$oYzZMRwuu=~H0L<>0=R0UtJzBeg&G+r`3(vpS2$t@G!Czd1quZ-r#cDM zF}5nCz!(Yqahn<&S4&75t}I$kLP%y2Cm|nZEf|+FBT9h_!ieRM+t9FQVSuH88BzRk z>lzbROZ<h(7_Io@)-=YhiuntbGD7*|aw1E3S#u(@IZFJ5N*H<h<5o1ZSQu1N6c|tV z;+8dtS4)sWj?8V)l>SI(4l$%qHlwgYN?)WUM~SacIwO`ZZb_r_s+g~kJu?qND1{Nl z7q_T!i=|CD<wv9>hnSC093zx3ZeHUu3j;zZhLM-AJ1dfvqoYrw{%TC0M$J_*Zy{@D z2IUlK#uFfGX5=yZQm;nY)skL~(yI==8YNdtyo5p-(ef!xkt^&>y&8MWQIM2|$O-nP z9*s3-El3I>vYTDZQ^=bU1&kwWOq~y%an8KjI4>T!@AtQLSuo@rbH=Imw|k$H@@np+ zEAJKMy!}ux;M{Ck@Y~;a`^nJ1Df`LFf8YIK$$iy$5{r99Jl8%H{Q5WIk3Q~I<9Yip zLHF~aQ_ithW#`(z1l`Utr<^}u<(_Nz-yi<X*ni*Xuh}W*@T-J#?E}HWzjS}Pu&+YT z+xG<#=R+sS@_*-kchT-E{>7Yd5?`g9bW!h1|1~?|?0sc)uDvJt@|^pGQ|>S8K4<qU z)${gU!NkAMe|Ih1zxEe%%-QjZ@4S6S@bzE1ea^O5SI-yw?lb+JrwTqhZ>0)8J?B2= zl=(~dTR-#F?>~%v_kW-JPzBA;&8UKp&*i9skIsjVIGbPToOI3HKRBnS3ZnkDoOMm# z-#Fi067>K3?yQS)f9ah2h_mih<Dd3TL65VQX_jsEfTJNN!TPf~e6rd_aTl8(wlvAI zrM|PVNK*GadeOiMJ1fN}PlXLmcIAe3PwMA}4Q?@#)Mqx@`_&Og!VSq2VJ%z5{pz+y z^YxtIGv{fRb#?Qj!un*TGlOZCHFcAtLWH2pVI)HE{h8!6%c{EGk#PNm>Kw7PNK{up znkR57oUKswv0>g@FOh;d7d0gdO}%?#(N{3@tdzn+RhKvtCM3(9#Zh9j!(t{`med7~ z=IfF>!|rXp^bve}W<X(CQ0F*$QJ35n7BR^(ug-k5>nIp?wo{dS_iU~zS>}wD5}O%D zw<X%AetsC~C>VZLSH&rHR$9e*=PbL5^Y+;anPpbJXyc`qVDMQ))kP^gx4Gyk7;qL; zm0TY-xcSmk(Dy8k%tBG0Ih?Oat_eAqi9Ql&I9fq+PKWFey7EJoX0!*?_9%=;0zq3o z%L27Wl})jEAwLQFn`$eRmn5|%iYQ6Vd7FM&z-w!sCV)RmY0}?Nd%itH6R0@yZeqcO zRL?~J68L=du&FC2q-2Kim%xXkhsb2bBMl_yNJ#oj^npO}5m%G`ikil@4^5!pXs0n2 z8{#)pOjJ|e{)*!44{?}b+!t`z@<DO-g*={#-V;bWN@?uM4$+y>CaSej821E{kGvc8 z7u9ZVe+}aN5h6CjxGNBQbgxl=Uaf@^y(18PbnJV99S0HgvqHQHU6~<FGujs~x3)1< zfmcT@zMS7fYzVO#A&&_x86if5*z}Nt>F8|%v#qazoJ}Db1pOJcoh_e0&V~?Gg8sDH z%9dOpCn2O`nsH0O>&Ux7pR5|Z+zLycMjc>dw^WabF9%e8mkXT)P_#%Gr|N#4LNXaO zL24(dt`Um^1pH`nP6EEP3x>OTKSCiHvhS^sJc;Tii3SK%?mH_akE7~H(fz8{%dINO zqo`8S%YM~I%aJO{BdAiWeh%s#Hg;9@!Lp`G@(?PHB<d&dZeLj;c>oneYA33yESn(& zaxYen#L`sdmm{H^^80nUu~gMN%bL*S9+Uy;B~evmSr{Skf<_Nb?m{V(ihTqU_APT` z7gTwcBbAfeQ8!7&-U82Qn##$os8DR|oN6PnxKFi?__9xxVY$#zAY#8;KKVQ9IW~4y zwVc@AtNMu;-K+YZsNJi2N^6BAOYTqLVrNv}5k<WOg7)ihvD2#g#9~hYzx`}nEJbyJ zMh{7@Mb-5eZ}K;7&xLhu!A={skN87pzAp0<wg>l<RJU8gSn>lYsIE<z`|OKle*YP> zGY*yQ?0pXCcHBP4RA39L3l~_2>e_%g%yOS`OaxY=y4GPfv#m7#FWb+<y4GNiXTQ?; zKW=M;b*;jFHj4Jc1{>QC`2X7$3hP>d>CAGUatsFMqPmu0s<SU>{CV4w`y8Y|_h#*V ze(ZKjC<`{wrn!BOKV$n{Xx9=<Y*voOkJ;whPrA1q5!$r~<C`5i=I9KRZr0xAM{jF{ zcFn`C&YB%_+}jok?V5u%H)`+j+s+J8`E6#tQu!md2lqHy0v%9Yv#`p>_HBNPnHN<4 zCo`H<{(onLsr+Hvb0PZafg4TQ+x!n_F#pqQDNXHL{H8NzM;!Hm#3t=6exL1#kS;Qe zGBZ?@G~>Th{xXn1Y^<<>Lw$V$pG5IrvC_}?pDSk^P}wS54B)R>uf!**td~Ax$@3># z#pe2VSg~xX%#|(nt5C{b2Jly{$30`&P?;)Q^ye>Me~wQY^RFy_>CgXZ-4dVl)Bj!h zq94EO7zV-bJochKY1lud{G}g%(K_ohmK7CJ*&>oZfBhaliR7Pd#j>md9xo&$5&fT+ zM-x@<j=vxz_4+?7f9cDgx_%R%)a@T<rJwDuQNHNIpS135!?LJyeY~(Psl#8We9@c# z&AOxwOSV5(`ActpyD{N94%zjUDvrDB6IDqq{?1k`b1GG3i(dSeWAn91QtOpfNq5%Y zRVCeCPpL|3_TTv;+N*LnR#?j+x!(PhWkzLd>_u%7Xub3)%d`q@Ot?0w&cEf$q6fd{ zx-(3F8yYn5H6%%Wc~Fg|0J#Cx-+~_GiVi@%2O^jG0!cn09AxAKR6h^-Ge4RHrR8dq zpbNRthkV|oT^gS!NiKwA64{Z@c*y5L+70FyM^-}h*P)gJUxPVDk!4W*HR!_upI{E9 zWdkIK;_^K;{Z**JfLt)g5Hcp8@qo{Xw2R^xKxRYrm!ZA6(ffS3<v~?_8uZ@4MP-<^ zT&b#0g-Q;{p*VVw2~hndXh*In5h^sW8^qCtRLqaw<%?f_sH(pJ<r?q_;%G-+%V#7) zSqJ2Tl4O^;RAaM{eo&Syq&rl94qBbdxWi{f+70B8UfzLqWgsn~`WeWF(5`f(0aSk$ zdQ9S`@`Wr9!dTLgOL>gje1XfAu&y-Z&%Ed@KIC!=O#cG>CG7@q)FP`Biv#%@7bp1j z3w&0Rq6d@@6GQ{~f;%xze07U03Q4fVI{w&A<&A`5lCo=;rV}5sQ`m{mr&ANgp}ZKR zzyVpz=8xS_w(p{cC4m=%_+!_VClZSN`N|e8`D53V#}Y*S`AQd+`D1f@N_bgve6o{@ z{rE~2Z}P{kC~I}mt0XBb9`nU6D-#onk$jGwt<a=?ALk@dBwzNTkU~<Qk7ZJ^FJJm% zHec+La%Y05FQ0uUH-ax^F^DgAQTbL^t8&s0AIYSP_at$P%6zf&7lX_62)>xbn|xha zKCDUYeaiI-(S6D_38LP7)}8dqNz#kQK$c9O<HW^Y<+6n0Uggq+m%Yj*3B_J~p^I_y zNliX0iHyC<d!3Pxqz0df#Kj)vwN6b)62YfCQPh*qdoc(YOIDt09y(*6@!AM49)S3L zZ(Rn4G-J-#)n>c**(qLgC!Kj-l<@XLU_i6kGVt5%yZuDyY|4J3^6a}m%(-5TCvR|G z#Bl9H;MduRKYBQ?#_;xEK=<aMQ}!{hvT*HRK(}VhDf>^a+;HuF$Z#lQKg8&}*(v+5 zS3<b<0kCkE?oTJyD>S@)ABbokI!TnDo%`KMgD8H-oUjwUQcgOl5b5t`C+xjmM&a6f zz?aS3C+u>wto!WUUaH~kyTHWR=f67_AlJTQj@diB_`=(FfUjri_SxIKu7)r6L72YJ zQ-RN#TdBaO&D_WAGP88Q^)kJFhcWg+emDD2f#%I-RN&)gIV$i`^Ux7{vzN|E=M3ba znVt$n&9<C%PD3`Dcb9<vv+vG2DUhXR?j!a(ug0+UO`r#PWtw>#98f#t1gs~|;S<%! z-P3RKJ(eb!x4=8@izKjT?TZF>7`YUmIOQ=o*_rFnJ*k)LG3d!i0?)X&_k$6&!VQTN z9xa~5{b1YL`FeIR*?F3I9c*4(Sf8jwHkf8!1Dn(qB7iP6kqF>>vg9=LDp;>p_<x61 z5uS@guzKx0fn9;TLeax|czeD?0&~dS6lNN@+kMd&m`N_BFjK)2wZeo%IdUB3O}0nO zB=Ztjpmx44vD4$8=Sv^pTe1O#c>&B(`=Tzf%_Cxxc^=GMyXy#yBJWfs-X+gfCCXf! zi@nLbm}(I11E1GKIs(JVbye(A<kBkkJLK#t_S@tYGV?6B$o-`kFqj-smDuPp=dtJs z3?K(pCDwZkdc5=m`jX?w%oOlU&3sK_%|P(|#Xs&xc=dwS@XlqtS^+iu{W4y)U_SiK zG9Fei9gaA|<_)Zcnx9=PC#8i-EaR06hQgVb@sI+-iTk0#<beJC=s#usP89`&@J<?j zY{2sV(?3SP@Ja>$g}*tSTy=6)H{9pV88Esp^(Wx~KQy3o|H_}M2Y7{ouyEQ57CWGF zUuvIs*$G$R5}tX&OLKBiZ}?68-^`2TecW%OJ-l3jZny*uf3H9@{O}mN=#*F>7hZFW zU2sAd2!{t8W9OY-7et;U?BH7mnnNXyu~`H4p`BE`Ou?n__f&<JfvV8MBi_t`-|7u} z*o=Wsp?XyO?E?5o*|tLSKv8JT5q8Gup?crh)opykz=nFrp4GIIUqOGE#E})n$-AH_ zjCN>6Ml|7eJ!=}Q3i|yZi}=a>eqYE4-YUP}8`6%S%<K1r;HQZsgg^N6bOH&11gGJx za{HYj(a3neQl>XgxfkuZ`{<Jxy6GIb<m2AFjiFcIq0_i|l_N&GWc6RSF~)0j&>se= zL~T?mqvqw}tJ=)GFX=8mEp&9ObPw$fezI&T+G6vRmQr%rP?i~r;VYT54woo=<N04_ zzH%fHo&T5BBnpk#rkGKiH{6dIwG^-Ty;KpYC0DA9WaNwTXBR&ZSZVBezjPC2Y%H<y z!27M1)cL=2=YLpcJ(Isui+4Vme|Xo9qEzO%$)<ChY1NHALhu^t<lUD2DR!P(RC0&F zDP1x>(twxna*~qM1@Ein%-UpTyJ|RIJWj@clYeS3r#JN!$<ELsKSGxI--+w2KVeG< z3tWi3y_d^BzCHXh=!ril_?2n8T4G7|saweJCpi?);{=qLy?N(cY2k!&Fe2*l&30vp z)&R?UcYl>8(5Z7Fe~6Y2f2y%>r=5=3^P0Xh&d9y!=o*U)8geZU8^1r6NNqZ5pB>*E zv};ozLDQQ_<_71O8n@;Tv3JWM2^ok97FyMw&iP72sE`NecX-;*ZaA;x7H`}~hxN}X z9`tD`8Nrn|nF1R7H3nKLqz*l(b6-Ez!L_Q#tpzVu*epIE3ls=;GAJs%9DH0<dE-J2 z9lQIYe+QQ?8=j6HuG`SOZe$R}wS{YkR94mO090#w#5Uh=hLx<veVttXWQ&JFn7}Jl zF%yb;`xM+eqx1~J+4LMECVP?$4_0ciF|IMRKCn4XgnV@{{tECGIsF+A3v1g+j7K4e zA5~jp9S4|le14n7E&kCQ1Iv#XhOMRT+P@sPsiv3QL7y~IZehT9rryCJ=9q#EJ)lxk z<Bd`t`k2p#hT%g4@1e<Mdb$rR?G++-42}mT=g=bij0m(Z7?FFAcQEi5^2QH0Qkp80 zehv8@eHQ)~L*YFR`@T?PIF8k6QO>C*4vClhj=1FkR=q?D?{iP6vrnGf1KED=R(KKr zhoF#R3HIz0`IR99@IcK)x4hisc&nnjb@1h0fEiB}+e{|@Nna89b=FfBX%(;}H{a3C zC@W<V9)|><+Oi2tU5CvKg{?%OyVP`2(#iMVmMM3nGdHna?dC0C1AFGfWaVX8Ojn(c zn~y3TuV=5_L{7LaZ>cvFugNdUxHJFQ9+<86w^&8c&U7L2(*Vv^3G}U|UP)@immxZ2 z#9@%Z=sb>5ii5AcS??BWig7vfH{q|Mm#ZW%`>Ohf8CTnfUw$f0Z-;-JoML&?=acjF ztFlJKZ1Lh3^zoeOu25_1+RNF?;$YFRJ2Z7`g=1}ENXdU=zgCfnM~%(yJxiR-lE!YG z8ycH2V@urP`a_l}yU!s`Um-f4_vP|~J9~@J)9D#fMU_TR%H<?()T%uVs(Ub|d^cHK z<qmfx#4>uE;p(Kh>?L)3o8Bg`0ruJ4`tX*8(A*8wK}>3&K|q_Os>l<(E=5nk{muz! z?l+^rqm3_z-O&LH#W~>%rNY%uElZ-Ts%#%D+8F3_hL~?zXtbRRy}-`j#3Ga)zqtKo zdw8IH;lRdz&tvBeT2I+5_rpI${6(d~++Qv;=8c#RwAySLI!n5w-m+dnBZofn4*e(c zq1m;-(IxwBZsVQ*+~R8rg@s;&L%pDf+ThL6{zJWoy529ox~y7naM0gqI78x->V7n9 zdoCadSuVmks^4S|gE|_2&q=;EKEV+GiFtMN?SQrRq`sb?#--Oa3hRF1o5Ub3dZlGR zkIU;6*`ex#z1gU_jMqvtp$qxxF9jAAsHM3TJ|f0S=Xznx>_K-w%4RZ-0}`GF(2}HE z{Zq;q+Tv1j%mYVeq8y_QKMm(6h+!g>Sub~<xN)R+e0qzuZiu>E^y*15(<QUidLO;c z7hzJX+^(Nb@7XTmx*c0zw{^d}^kXftJhSR&%HUx2%>YsTOA9CL1uh>W%U3>(unV$$ zmu?fkF|ztlRq|fp@eSJe+kGo}kG424P_ZNIvWIxc*t&UL?5E@o9lQt|B;AZnv{fUs za?RuAWXHYod!~~|>9CK>&O-80ZLCurP9D6!N7<b%p169SS|2P+<migne;a5{Tbyt! zGAk&|yuoSs$_cEFx4Q=OoTy1{TrhvrsNODb-Y;1(@+#K9x-99|Q&b?Q>=VA^fhMN7 zg44ZE<S%#9Yj!Jxr**1=N*g~lPs*@+P_^E$Shn2}QAS-oU{(?#n{bCpx1ZrTjv*U& z^us$WL&;tpDpfkCW0+^oy0`B4_F|sDp--l&_1=i^-LZF$>yia(d(r!{CL$j1XxQ>= z?D{kMlOP@bDb@GhNq4OTalIL2>=7oX$I}JHd8do$`xpM(mCvWj9CUT&Ih9MM%-`8r zvHKTustWih%q!wCM_Iaupy&ktr(CrnFt!?eOA4HlAg}JL9Lnz=H1QClnY8uA$IWXe zi!s&1+{0ulO6ecGd3k^KyBEXVENeStmw{WEra?9|!u`MvQq?EDYXAStqrA)u$9iu* z>ioj`Iwa_0p~XKY?jd}CFO@(N1>g6wCF^^l(Cu(Ka>?7}tG`rXQPR)3=e}S5Bw)fJ z#SHTlY!>~jVpnDhPagbHU#S-_0|=<M2U%#=$iL0g3wZDJ^}uc4>Y0b^!=|ho*9F(v z-1^v$*rawwubD@j{j1ydu#t;jtZoOH5B-rEc+c%oY`81$9kchWmt0>90mbsHJ=|=a zCBS88r=On2o2#H3!H38TYMx?xV*gS`+yi)eF=WAW=V6VOht4Nf&p8!quVVaXovhco zuSUvr^ZM;ymG?+K_<Fm+e=`U7-MW7&ol5&uvr_kf+sggP%b8?%M||G&M>F$JLfygj z(xa)?J;thaw`%Xie3nXe%(1E_FArY-IPst3vpK7X$#S<^51-WP&4U`$$?k&pZKP;D zxY4i9j#9WKdCqgXCOyII^0MCi-}tZmm9Hr^Rf%H`?$xeOTu-VEYoe#vCU>%XTH=CK zmmu!o&|BrVIyqw~8a{D((cfc3j2Pnh?AZWWS~dj|rMfc)MQDH|d)x=T|G3iAjga@y z2E)w|cl^KH9kFd+M$Y1Dtq)4n7r6Mw|0z6hA9_8}apyIOM(_z5^0~(K_eSio604iw zT0B<5H&$1_`G?Q1Y)S3MiYoW@(y{+KeLZF^Z7SXa+!8*t=Z$*8ry1}vKI_z8b-PsM zN2nO&YjXN_kPj1wy6zpH_jLgeJ^!Vc0eHtNV^-+`g9wzHKn<;FE7p<@r7)3^NM4_6 zbJ438Qr^LI>8<@*(DuTI3Ic7cw_U|{?=0`ftp^WO(UR^f>jJuVU7;t4WkRfy_6mS$ z^@G8$)ejq=hx9{ynoVk;b~i5NRe-FpF1bs~8!E3U)^^@9LGWj83p2T0cdDZvueBEl z7zKRlJ9L*&8$IOBcy9g~bP~VH`(rGA^txj=6M&4_MQw2_Rpbv8=sXIg=d(ZiT!M5A zX-;|PqE!3yUU_=aLa;CD-@ijV=(={?FT`Zz#?l~NMrco`;{KI)^ph@fYn^@Xo&63u zExJAIXJq^=ajd1h8=?NV3{}sMw<YQXZ<p1vh$@r;5El1v0CjxAymEku()vti7N`cV zE?RL<`2|iKM#@7w;;2s+U2#0}H1~nNNss|jK`wj3+H=cYKjo7gY_(O9?azl*iRfRc z{F;UU%G;BQ>~n1RLxB^6o^o%%>1&BQJ(-r=ryo0PN=;=(veO-T-p~CSUh~U%c-xvX z+=Z$QE$68zll*&KN-l4<da2yt!9psm(N*7H_Sbd)S2<ZKO6;=yxAQQWN%<+Njt)7= zn*UrW@_%vL-u*3K^(`-p`2B#P?SLnZWJdz|Ne%hOi`w<mvCRhyw9R3@zy{Z9@)M6* zLt@>yXBN`Kf@vZE{;+cMlQk0dX6WXD`TPg6HMDE!zb>IsiT58Y+f${l{s4LkOQX|+ zGlNUm7Z@bE5VT9<OXUF656A-vxy9s1fd8zA)7?ai<T023ezjDMi23#AoC(9KBoBI0 z&pMg+^){j0DvVp%81bSc%AlO{_YD_6c|pg;I8(SzS5hp3OZ1!Ae^oYDLbX<}A`Ayz zO};&Bl^EbUdndB~@c9kyw!89$1){GwXy%s}-(<5?3%}U7bC+#gyK_g}hWQh_!vjU$ zX9tDf<$t{B;uf4YWEjxi*|o<Q{%6Rc7_&R>MP0sbL^-i|tE6L-%d5pCa_`=gMc&6s z09E>beu=xKt_n?>iRjkM=PkOtzGnVu%l~qiMx3+(jb8HB)MfU_?>|HvJ+@4C)1F`9 zwa@#g=Fe!RDyj;2qaReT8zshkR>$tzEDDzVT*g;jNWRdPlvi+(fzea1qRs7;IZlV& znE&KUL<d}b5`Bt}9+t*yVs2}uM0f7$Iq&$2K5lMheb%kxoP3}A6r;B?Ca<;5miTZ> zw=`R)R7K=kx3J>V=b}HaUN7wV2rMj<*W%r{QTV8hb@LKf%O-j+wR#(CGdP?gDyuKm zloQy1J$U=*zZ*4)OZzP7xRcp%_|)3y&DxRfaasK{f&c7hjea#w{A@pe;}dQ31$rZ` zdQdcF%amF02j6BeIynPT;)MRA9{e>iu1ha_nlMT(g}$yV`KDf?$pW+Pey+PEKU;?Q z3=8-#%i!zL`v`Gqq0&*e`L#mJ3ZK)?PXL*whaUws)p92LR6kWnq}RXE;s5za_UFvg zdJ->b)xs&5X|ykQD{<^4);4FvSS*B4kacS1zyF}gV`B)^z`c%Yw+)!d9b6v?&J3tn z4Neah_EYG^KeMf-c)wpqYpQ%ZkbemH756Cl{VI0hbIw6bCdZJGm*9!}YC0tIwEq{| zGX7sLsbKj;fpSl8s!Wing6Bj?@JD^70J3X^{h^5PG-`Mq@at;ynT2g;KCt0$Sl6fJ z4>)mPf<Ck>K)BTN+fP_f!4LAkY673Ey^zP1z-IwwO-^@QCD;DB9PNlSH2TMUt&{ox zqw6c9;_9Jxao54!i@O$gcX#*VFqEPlDDLj=4#jD4Yq8?)ZpE#%z;}4>x@+AZ_gion zX6DJx&d!saoSmFRrP`Qn8|7?LTln#6uVoZQV`LE{<b*Cou-sfRz(-rPo?p<;y7Y-| zqo}}l#rVY6?9N+Nkf_3x+RQ+&?^68kT$Td0RI^Qug1<-XH3kudLn1`fvOpK1;t85? z6Y9GY^oF1zGU-t_%-j_8-Cd?9R0T0o39k4RY5svbt1DQP74~ekeIW0_NGwkJ@)Z)= z1|?APffWY5{BO9b<8f_^lmE^{g3o$9fg(hOj{a%Av&W5-46#QiGH4*~Fa0(hGFBU` zfZFE;XmJM^Z6r?2uI`F@#2j^4cJ>fV3e`LDP9q&UpNEb<*N+sV2VYRV91#YB4fV#u zX)J`Ygxo~n?m|6t`hU&x=?6T)QU+6k8r%b9HJOy>)GneQ0>sdRG$SXAKaTlpgUB6e z!bQP_nz6!1dAU~A9ogO)RVO7HZP}ux4y2`2FfFYzf@F;?KQ)Y8#2VXTU}6768T^aq z^EhB)kU|D4`HuAwP5ENojiv}j`My9wHJ?Y{J$ZS~6yH*D7j~XdrW((6MV)x@YESM4 ze-NJ|5#s+5dJ@KB78*wmH9r;^5?Z0RSt06NHeA>l5|lg@=-Z$>_iZ!&i}7KO%T|D8 zCaqnk?JvJTnvR*nTXC_rm7{fEq#s`Tk1ogY*<QwYh=*RuMGv)|x%>Ab@%b+I!g!~l zvlDqAWiArVQ%FF5zh{j%qqlfUH<+J#@w-mff~Tric6DSn>hk3Fr_$L`H$5;)*Z<B6 z?<9RL)!L;D$n~?ztDzmDsI;UGXjmU#{fqLD0x{zBE50W746(Vvjpt_`dH!@dv6{T< zV*J(U;^w%(xT|w|g!k7{?L+m;lh<ukn_S$9g#H~%o4~Ld`(meePG08mUZ8I*qL`Z? zrZ)TeLV4Sb*-5Jgd1z^Pv)@!XLAtLTI7IV82Q&E`PJ{hKsFXbPMwD*0nx6G;@9CH? zv#vrpC`h@IUS6)gGxWquR9jEtYktw(@9m#ab^2n5w+o8TO&_$CoOIX!VpNb9#Oy9P zCAgdCyJdQlXNZQ`SL$^yGTXN#-)pjW-hDNn2K%yqx-EOZe!uVyA1o}RW_S{sS~2i) zwqDXD5^&aDUw`38md5AnbMxdlLRm#nzXa^{b*Gq?<LYy*dqU=O2KFFT?cCI)FFTFR z?~?DyWVkB}`6it!ezpnR^3OsYp-@7@2vm<S-yKEx-Wwb$=7zQ_ij+<>GJ-$KblFlk zVO{+^7K-qyuJky<!Rbivq$`S>nxs|gQrd;u8JuN<)Pyfab_roVY5Qz=I$%<4Oks~6 zkbqXjH@L6Mwcd*?#)>{W3v@}CeLr8=JV=i<ohwi8JJ#SnK`=gI1uM|;q<_^DJv~&N z!taL)lr`aqW<A%8qbNFnas4Tmcw}S%@4|&ZttBk4@9g%}G28gJ?d#ACm7n!?e7jG4 zq}$SUY*}Q*y1Yz8kl}og)3;V<;!b5e0#@Bx4}qy66(n~RV-33SZSO;r#23i$t`+x% zL2Mvt^0|yg!EeT7-;8Zx+LPtr_zLsf_bf+N_SQ4^F3mb(|8hP&WQ=>?gCuuw;d5fJ zYib0h`Vbbw-qAWaD`2pvOu!!JxNU|R6?=v&o|}=1d8XCl;Eqi94r!8Lx0X5@sjdjG z%{}@^oK4R^S~tGKC}G5>H(HRl>Ky7Vbu<2M8Cl@Ep*t*572xd53+3!A5fwoFLiphC z`xn>0lLNszSc%*yH>%B|JCoKgo6;j`U%F!>(hx`I6|H4;Z^L0#eAd@+ucC^WNt|)& z-zZo^Q0Gy8yZWxk1oJ1c*{Mf8YXP?oQMAfGo4R0~^OU8lFsV7@?WsKzBPXx9{l}r$ z9#&71_jk0DpHX(DGa0MasX8e~+qOYhqOrOu&MIpYs*p;5O~!Qrcs2T)%W_e?P23>d z>4G!`$E?+}OCk+S97r2sCu5-{^Uy@py@Ri`Ql@O$+`FM*YdGy2W?hj%p`27c9&|Qz zhT}+FLTA#1C`}}kmi{!#9~EagXB?SS^jx$SH2uPE_8@+J-Khpk#QNcg=JXQXYH7I= z@d4%LdCx^lO}wT^LSaYi3Mw%rzvjW3qBfT^r}_5-l3`-V11#%j$;&obmY^7|FUDWP zk0r587>CtMG!nv6y=zOl7(sO!wDWN+n!b7J862cZmvxybWAAdgNZ4U)k@t(POFv+x z8jF?BwG{Zhx95&Hb{}NQwd1sVZ>o&}a}1TaA=zOWmXY=;tq2cPBAKciJUSFyox*{m zok^b=E59rnq+NA(Sgi$TfP(Lv^T(N8UNaEQcP<la3dDsKXuCTQ7vOp1Wit%I^f=`j zV6|vxVAHcnqB{a#T4;M3RP&r8p%J$he&r9@<Ix9~yvVm1ijMe86(}70Vw3$q*D#<R z{k*fxiSp%MBm`fSfH~eBgNz7ejOfS57omy=`b09ip8MX(%DE*kUAO|4v=pq~m8K2c z*bWcdt5GjQS)q_=ga+I{noohZE0Nf;j27eaOJtcCnguH*F6HiXS?~_)rX>eseoB^O zIlS;9BoMi&fBu*b->Ie;zrOQ*F55{NLbX<{jx<4=MH!y3bsx5EKF(l5I9fXQv0f)Q zs3^^UPA(Fnf-BmM>4#bVo-%6T0w1k%^y7o6!OEykVvWYS-~6ZYaGR~xDo*3R6q`Pl zGeLuGtNDaTYa>_-87@4b*(IhB9Vqn<BjxJRW*t72t8{6DAYG!RT*ky&sDm?h{lfl_ z=x8zDD`#gbp}H{YEYw2JLu&WZ&E3bET{601yS}A=m1&Qu8D_H8o5)-YY;I?X==olS z#9X6SrA4o&nVG!ug<H4ePpOmAKaHG!k;nt2Az1}MZP+$AdTy)cwFrvOj@)@Y_dy+W zYF&BEKW{4J>}zNW#;?QYP`XL$(pgaO9V6%J$d%MdC>U+OA~!S7Cz(2z^w+1D(kD6| zbKNtplA-_kx~k4I`iv){@>S9zaz<OA%=r<O8G)cyI30z#ZT^N<$k5<ZSoO46)F1oK z;+hG!TakEQh6bH+4+BO+6whsJCU21dZzYV3X+Gui*6W#hrjZf>aP2oVRrndYX1rf~ zvwm@4Gio9jDI%y{Q+M*C(zGhX^s;1P8>Ell*X!5kKHZ*^emCB<R$?1*Gp-Cpo3^b6 z4b$2tu3L6viD0O81pAoqH954E{?^AD_kWnz{W@J8(=E>XKun1z8E$9C9bEa`>|>^A zqsW@|Maz^uGayIL#c7zcDGh(0ib$+1)-w8rCmXEWm$Zz^?`GdoB~x1}F&$CmHxpyg zu<^PCU#t}&VYc<y|Ls${#eQ%%-G_tnQ!E`Ho2Rfe%Obr3v?|U6-43d~7*)}2wmKJD zYsT+zdoWvOm@Sbez@sh{d*%+zj?K0qLk~N70UF@zK?DeC^}Sjw+us7kdo_XHjm$8s z<NI&6!~}+O()}{wgZWg#a}q?7>J5Ccr&KcKOg`UuQ<f4Cwv}elt-KK%d#x%1=#n|1 zm%HR^5eiGq8P{%-0{_9LOQl~=cb4QNQVJXs$|iYO>1i7%%4h6{CrB_Vm+BW9Om+$^ z;gNMQryP!(`}AjOFSM~x2vA*#RdUTs>XuHHx^+rwd1(JKb2-9R;+<_%4m0fgSUGSw z?JZz(#mC}VCaFZCWsK`0gEDc6WiX%KA8v#(_yj{dUaV|VW`q9Og0H9|W6cKnm=K~q z2nGLylP2*6fk$JxSSSP|4nES+7j8WhshLRnPKjV+m!6&K9j7xevqTeGVsr95%#(gK z)v;o`1=pB@!wAnSqi)4_BI&-TM})TXT^ccClz}*HYyQve2c;4dxJ#<q8wFloNY0(S zn&!+Ibd8WNY0RFZiUd`TvKdKyT@~8iV+qLuvchsR$0x>hi#z$A9E4gBBYCp$Z^1?J zCB{EL{;k8|`9<C$M$gNmWgbCMv6ZGt?7u2==xDB%(aefLyOkd4=NY4@XVJ?TkA^rS zGm<fm$R9uNybEri=*x$7nJe(M%7G@6z<zm9AhVy|6Q4<kL>VxMGfFvs$w56Sgs)PK zNH3s^&7>V%rCAyF`b_n&5|(PAw^+88As}h4kZhZwB81MRv4G~)2&Ijd#^|h6TJfj+ z)wsr2EtFD1=}N1rNWERCG9_g`!fJMzb4|7(EydU59Gr{HFA;nB0(nNARLI~cD{>W~ znfGYh@~cQC7TU6|vY1$EFxba1GM`(RsYx|AVWm?JlEY^IqU1E{$W8a1N={qC7UUvD z6_~L;WeTA%<S6dEFKPT&FR^pO=?TV$D#5lO98LdR(%NwLy?ShWF@101(vvXe6>$y$ zi@w2v027r1A%aB^ZkkFK2?-8M$^jV(3o9F%qQRd72M+~_FwZO>_<@HbEHul2CM+^T zLPE$i8T<l$QJpyb{qZm#CTXPk%!cshNmhZqH#Y<p0qJ}l1`Y`t3mOIq76u7%8Je)* z7P<@x2@wks3d@oMs{^lV9U2WOwhR?1T#yngP9TOj7B3zrx|BJYC6qjYl>;jg28q6T zwRx4SfHaRJ2RntWkUF0umopV{4mt^yIWv?pBaFO=HJvG&C5kGGf&(iJ4haT+37T+t z6B-pMRWK3>2@ea011kbhplh9p11oHajS@>lNSd4jO9=)E3iw4pdVOuXL;u`Z;bxdf z>wtb)gq^wEPKYI7=OpV5MLBK;Z^!_3=Ck;!PLMC(+%#8gd{m-^^UkNB+2+(itT<%r zU&LXaJ*#cw8tJU?S|2NXQupe1f%@kQ^%}dKt=-aCj%??b{CEPRpo2~6DYptKZY3h! zx-di;f~(-Z24I(KuC%^@txxOT)>jkcH3<>ngeU$e(!up1$O#Ui#uZ77?v%%Bf<Q2U z9$KI@?gzR(cVRlXX);T=Rk2dc%aWszrD6W7LhHxF@J`%z*mv9{$W@rbN|Him-!593 z(zFfk@x$Pf=lxRH6>)_<j0_~mQDq$#Zl))Y(f!%fYUy|cNZEpM4z>`};GFR&yggVk zR+pgF{v7iwsw=_5;l53VLV>>c8yrORf&}59px!QgC}b#W8&3y27c)+?j~*6oZZ;ll zE-p?ko;up_P_THh@^%a@?9b+?h@n(a?>_a(T~?_I?)7qCCJFN<yRdwXbfW%%_zr*h zn>AL+_Cr>Tr1y@l5Kb#@BRD24wEB~`adKctUc~LwhkWN%qhSx`+_R4(-hnaDZBQ*x zrBE^xT^$s$(!A;X&F_DzEskZMP6x;d*Ci~vkam^Et#HLH@Wjn%%h^>I1M7*9L1ja0 z?nQy7DQ!gIDza6X?+np@P0lEMW5Mw}e6bF6vE^M|E#we4RTAx!hu%O6Hv1%n%}>R) zdZ(!Aznnf>`lu*O@Woxb^|PF5_WOe*1~KCKy9^K=8)67I8EP4JR3)d#=UBwc&I30z z`Lk_gX|N8S`Atgh<C1s<K4W|HnV=*o1_g^#LwhTdM5=$;>51mX)H$CeO=aqovTaxL z=TDN?v!#3Hoi9;7a;`<ie2de>XAG>~UiX5&t#Mh!=ADk`^9hS#E(c!VPYJ5>{EJrg z8%8^##*m!9S0+EMzZPNWnICTKdiy&VSP<2T&&Y)4?Ay>axpjAtW5p_U^2f8Y-g}F> z*c#LoDE_d0hoWOeJpN8&VgN70opUstnPW%sAODr*OXNS6K<Jm7OD5BCVd(D%=mMC% z7py{<>+K&3vb<N98}q+ky<hNkE7z*iX!(<1kpGbRw96c~@NE72s{F&^ci%f~gPx7q zqjjZ5m#)G2stdP=xT2#~i5$`QP%#H&Mn;xu$!we_Fqj5H{pI%p%yaM9g;s#w=Y;g< z7<yVLa!!`rZ3k3)4bg^q4hTOO{W3mEkO*nPre{TVM^XY-JSvQHr_uh+YwCkVM7vis zPSYwJt%6YwG04ClBSE3?cia>)bnOsjGU}(_KvPZZRGKeE%&=d}-mg=A2-G0BVj$h! z$IIjEhILGhXA=}bD-MYlY5)181SXWj466ICb8^SKWtNX`+Uz^NM*)Y??~ven2`<4b zuunG=_mLa3EAe*As#FW4F|`$ps*rN&W8(dxW0UTpm)nU{twAt#GV6(x`?tJEDo?^O zv79Swk5<1))nl6OaOo&9Tm1L8ks?@sn$O)85FVIJ22ccCBCSH<6f_o1kuwRl8{H>r z2b)8k8MQHWA9@ZH=$=WZH2sqcskpyWL`hi+2S54O)iZywQGEFzJ;T>NqrabF*Dh)a z?L(_c7~FG{Fbj$@GBy`OH+0FB$)$3SbDpKB3Q%^_y>I;N4D->I9Q6q2`*(NCA5GPq zQ#m+4z7bj^gyZ&8$0S?VT;C>g!KF^b)7;aU`;ZX%462f2Sr;a>Gv%lCBzCg*Ei|-K zZRFN9Gp`30SpOn+R-+ZUk-~bF9Dp;I;uxnS!h>2RJt&6mKPPknm8EfVuF)p$qQ{^= z1_OIeVq5gfm)z4&qY57VM#qX-<Ee%rYEOost^E{7IJc&R{m7L75hD5lwQ(6@=b#Sj z%GK*Y4(z7$uPW&Ox*eqd+)gR>NddG5G}M<cg#W+Wv9|I4f80(?7h(pfoOda+?^gvk z4Dl&XTY+m+ymw7iw6!JPz0FJFu(88f#*5!(JoIk7t-X2FT<^x&zCUdEU7&B1ZFjZN z0{{OdNSxP6O$5_??QsoDb$>}t<frDQcC{w>I!esrByj3eteu_zx^wt?DJI7L_sJ~q zrOhnJ^eO-K{-XP3;Fr?tRsPFey4b60&_ngFPr*NbMF(9){|ea=3wjx=fBkc6_A<8k zx*s3(cwPUzQr~5AEBlAN`{i%_>$YBnf78*tWxWE<_e$xm=^8M}zjGs*dOW|>+7D1& z`=_-O_EyJw$PN5ari{8m{@U8NzV5^M3x9Q6>ZVs2yW~!F_iY|oTjV0^p3p<@!iIZ_ z-4xXCzWFj&bVB$}s&J}KKrI8|RVPU?VwDfI)hmnL3o2PV?6-A&oPk;jrB8(Q%=sHr z-J5#tJOaZ)zRUu{t5u^jJ0~agw8Sq3N598yof}(UIka80bYkOg_CXUtxJ(~j6uOP8 z{=`e!Oo&aSkMX<;YcKrcCTnsz&*x$At!_4MlUjYD)O#{;U^LY*(WqLvgTx5|j~*{- z{gIKm(cMqQn+OUEDo$j3MGGo1GFw!-&Mo4<^PUn5st}@FdO|mO*29}%cFf{qA5iK@ z5?!ihD&ks?uCMgH4XZnRn5(mqV8=hMEg;yOktKVgsJ<MX!?>s>9OVjx6nz|J%HpZ4 zsI@02ZLF-TVPmMPMw&Cz^W#xKAlnpJLVJ1?8OkoX)h&LA3REwg^e~XA-bot0HY9U4 zkk%sm+@m{DV_!G8a((bP_7F|1%Tv!-?}EQk`(1oqD6kMi2m8y6w6hMp5gro=ud)g~ zsMK(ws2z>&0w1sPmy?`s6~+e*VBg{o(PM#OV)LaZ*UFeX^i_I>&2=@>m1#XSY=6IY zh%yG%G1a>06!X6-Lv-gmsmT_{I+9#2UHMrY3#@8tpA0^M!7>K#qjZcji(hULWn{oK zEknOvp;t|PYmZ9dHgsNX>>qun$A-}APch^8@4|bHo}`N8gM569Fm>wb0+k2?z88yW zW1ec1&26d=CGkCKn>kcH;smT)v(3VZs@K*t!%5Ma&FNjn&*hcw`zTx(Op{Ixv0r{= z(+z}R-VN#hkx2%H1{&#AwdsiM^w_fNhi(3J@%ZO53^HDL6q(<0Dr|-OU^Y5edat_G zv)@Ye!qzr%b+gvm`<l3#_IS|&EC8C?Ex1P2*_RVs;(L@1@(=x`t(OKLQmYK^i@%#U zHrCb6?Y*$$Cwig$_VX%ySp_0C>Z171-FtQ*-<m&wHn_3qNlGol=7f6xsfijI+n-C` zxxyUzU-me{fSEO)zzbIs)umo0dgRV{Sa_1TD+c=`?q!Htr1j-76`uc-JYv4WKZncA z{(Sg+hku_!iujuba7k_%S*jJcE<)78f~LYNH94QnGSS0cGncm>7stbTUvrle9)q|@ z$X_<iUE_k#MB!f;A5$JF`pU!25b{M|-ajhu==Is5yxLu64)+{IRgHD`zm|uxk7-m* zsv3~^*NA4(UY$PClpa3m-CizV&z#Dz>zG$ZJ=@IZo|;NduNE#tli&pz@Sb<c%Am(= z$E&bc!s_tUv&!y{%PMd{baYb#3lUadHRp9Lx;Bi4*2IGzeWYYc>P^6ZQOiOn*el7n ztVnIDn7Om7ig<mUS_eOj)+30FMn{=y<)iplGdt<T>do)#Yt<cwz-8^V5@l7L_P7W^ z@c+O_jrl{01<kPRQ`zO`_E5<U4^c$})7WXRY`PO9v;C=J>piC3{_!CCz`XLAnLmE~ z12fX|ivaFLs+;nP;szeG<xg<@YYKqRzX`>Cd1ofS>*_g;q`mi9eye8>70v9>7!;Jd zdVeY7vMOieA{1%c@f$bmuywYgs+uRm;^kwAF{JRf(gl83Dl6R-tFiSFIh)5V5&^t# zSTv}sUk0;lK!zfqe~9)eESm8am0<ShRZ~<p4w!qHT>R{oXkp&|gOkA+myncA5e=C@ z1Pz@a01cHOnUI{VjDy-(*~*$h7IF`OcPap|7XW?-fJxXCV^9f1VlW8;l#vLMGYA1N zBLMbbkd4HkV!+7&v2iJ*6TB}AjfR;WkclMPE(*`wE{ZqUwgfc|4B;MpiFO!AA37?u zLh?l(M<pOS2#+R3?U#YL_RB<u0$>>cJOqH1`iF4S!lE5mP=}6+wUC~WSuhERbiYKC zG7rc=bPBVQj!2nGr|7g5i%*p<DxhnwK|1}8yyZQbS|)`_G`x2wXM3K!B}UKx^vo9j zor(pJm9+L{ZR6$M>!emhpXkt}`WZ|d)-o|G>RcDP(k_!_2R$?21{tUv4_$&+1~0Q- zwle*dw$PucxE<2N+q4}`+kC3#C3tmGPduE*%w;hbG+R!}uEST(tECMb1PkkQJ`&cU zGL>U^*QiJ8+G^n8j_KS=ROQSGwMr{JPb0Wiw<{)ShJx^|!F0myW~oTutHo#o3&e!Q zhjiMmQBM9=Mk%H17(WGqSO&d}gKB<@5<9Yz=t&ZMb$@P&Vs4^Fjk4*~0~<SZSt&Ko zP%t%VyQl7`=@h1Hi#+#D>jxoyuNR{gouVKYom0wGHx4SD?Nc^>a=~ZW0Hl@t78RW_ z<YOzuhb`PUYIyC@d+%kBtY;MZn(*;h9Cop1?AxuRYR8M!MrpbS<aG1Eb6qo)C;*@B ztJ^!{Dy}>VpfbfKDJ0n8=dm4c<ffJb-M-XcvvpBw*S(gcTM@~SU4N9K3)@6Z6$lj5 z20g;Ab1r+{hMw1W_}6^+RmTK-X(t$VHv09xd}!VVt^TC<hQ8o7R+;HF@<sdoX?f<_ z`ybnPwSwsbuPUP9udDtT^{x{gq%Wt#CvJbhj_N(#xz?b+%71=&c6@?)<!zggd@qW* z|45y(JEk6YvE5UR%Blb5l+>`^_llsCz_6(0Mg0S?v({M^zr8OE`+l?k$M2vT*r<8c zs!N>bzL4f7Ww@~tuE@<f3i3cDlMXQRUICSgSATwo2e=iFCF3sjFa63n;%UD5aZSB( znwjZ8>Yne9fB#+YP+X5i2aU6{zc2zHQ2f~+Vh2ywsLhL=N?F%K6m!L^TZZekKiVzq zLvjpwXt|Kv41M8{+f04o9J>G4KLEs_)6n-{{g&2FP*0_pNGc~hNw=a>aBNBE10o3{ zI0T(<=7bI3G^jb~&olKG3Sziv@3E?uzE1u9OW)YdZ5y(1(ZvZb6UQ7c6eb<1k{c!0 zc~+y&IcH9A@((BX)DD~0FN!N%Mw<H{GFjD19VO_D=^XmP)Q=4ssB|pxoqU++Q2G2* zW8g^?Qp4a$7*jpqNgPt0j=48LW+zd1hPLYBbC$Ml;wxJp=idJm{)1$A3_rBCM?2q( zk@p#{^q>z^{&y^sZt~^`RK7cwkK0A@Nm277xXtfRanS=crcyAI-}kkKqueu`Wbxx` zk3N*j*|B`Q0R2na(z=t@K8<2b?cgfk<Em~3c2^D4ToJQevp}J?X5ylBCmo-{i^o2k zbo25&_UidSoy#RUhL7`p)_ENT4`ZV%MJz@JW_bP~YdGn>&*>{uf*+0u&aw^CV|^6h zll?GoGQy9O3m0u@%rr;Fg;SAxFFWTANO&3d@}}lm^eDI=a^AV=Roc!~d>22Y*zW`Z z3&T+avunq+f``^J)FUg6`OJ2hQYX^Ci~<|fRf3lNe`<Yl>fWp7;WJY_Xj(GY;0L@< zbqy~;25=CBd@W8{xT3O6%^#2+@`r9(?d~jgh19wOrMywy8#=N48icWLp9(b<>B|3T z8t#{M^m^H5NjbVVaA;dHu}=_{jw*V>tL>L`1lM!T7*kbVPa9K}UjH(ts{Q(_v$OhE z#m=T;Q2RVnO=dpQh>VS^B^VR0!|*sf3g!dgWWj*nyaW6uI^Z`+04K{A8tveOG4!L6 z4sQPa8ZjGJ>T_5W=_=qj$+n9IC*7t8>fEMB&fPd8MYb(b{04@2?n5ncmnecyyvUIV zh%myUNy7n`JOF?{0-`T~enbxFN8*5fgcRsU1jwT_cBGN2S~h;VC2niC|8N&H#udO} zQ_Mjp5XnI$2*|-CNN&esD|6#CR^HWQki|@wQm?(M`x1?&Hy{)DVY{gJ&P`)Sw*6?^ zw*6>Vw>@L<w;N}u(6%M<M=8#yc7kBX+K*z!);?Hl9>Qn@@Z_P6iaYKVDgDXnjIrh0 zEgMY*X=~5L@tr~6P5#{UFg`yK^dRB;x!Mx=)mO*9vzZ6RUSfx{@pqf*B&og?rz}O9 zX4Sqn`jsTtQ7aWQdHk`V+aDC`{s6ZM!}WN0T~GVJg8uN9wFVpy6v@)f4^23NG0M)d zpNhE(pE71LPfWn<Y;!nOL$u~qSF~Fv-xI|vM5!R!3b1&%dWRV^p@PdPZ1V=?UTV2P ziVyRl;>QYL8FgPp6XKLS-9@CrHq{E+;F-)(%*Z(VTETBP=ozC$^1V7mQZR+rIw1K} zu!clsNzz=XVgQ55erd^hrE<bdKq`}#uV$%cN}eVoMQORtSNsK~?wSRPlhHs&FK=DD z6E>{#h@3q28y@70tVV_2`85@oLR)u`Ja4|1ggcnM$SXjv$gA?eSMg!aIeI1l{91Hd z(wV5?tGGVRAX4k%{H3n$45wsrjy38CDZs|82wLj_6XXV_r-G<I;DY4A9}9zbm{<$E z3ePiu!qq0vh=4Imz0B5?8AU43s{pmy^c2;q|JE1^!TbKyW0htdN%<%lT>l0B;$O*8 zlQ;vbi%ylUybiAf*&jwbg_sbFJ)s`cTd8y!$i)r|+TR@(CWojdB!3D!jrZ!xAp%c4 zZbEZh?}w?TnTHGUH#spVtSqTjEd66>LL)ijc-|S1{%P#s8}4iPIrF>lN|1T7pF?P} zpOb!)nuC9mnp3Py!Vw*N7b}Bc7g!R#d&$8&ylrI|Llb$-8HZ~-C@_;j<UXg}!oijV z*B$B35fkO*2cdy9y5onryW__pyW>NCy5mQ#bE5C)<L+YVlLAtRcP|@Q04e%_6l_3B z*oeRkp|ks*9Yll^BbCsgQ-lXKC#s1fC$7oODWVCfCZb8cCdvV8<b^Q27eW{g9Mc;f zJ^&Qtn<c3NX{39J8_RIw@XwEd#<vQSh4`7nbV<F*i^e(I+aK}?0vr#KZ~fOD%zgIi zAiIsLl$UeP2|fo-UksbSpYNPZUhIJaa@M5G=pUwk{Y)l2+G9j>yXJXH78V=LPkv6O z*meHtNl3iCzJ}0p?L{K6(t!@c{v(-`c=>m3?4Hk-Q;9g@;R`4i5Mc+182UMnvhY*u zXnW7WD@Y{!AYC^wu|mb%j_m>yE{v><vS9+3wlxgC>}1Ij1ua`e!n~MKo(0&FYFdb> zP`0*0hwhXHWuP;Hy5U`sy#EIV!HjwAPzxJrYyol&+PDK4C(X5-%KcxL2vmJqk`D-C zEKdwH+B}t(m6t~f<|jtpqi(Mg6nFtn#mLHmV-TXUc8(Ey0(`=Q2xXHC*Okg%E5uZv zodWVa!HRf0j4WwCxpBwsodU;cNpCq7nLldGSuZ@0ZMJca;C{M}u5xvHuIPELP)D8h zEhXUDORjO6>bhbkDQ}CgE4J~*kx=-1LF(O%ivk!LGHuJa5MRQx0?{x5dyo_vPpAe) zmF5b+81vIqLFz=6;d~1J2aOv%-|jyS(}<xBkuOYs@%&<pzGCBZUS)N{&!Hh9aGHp7 z_9NNL;T+(Knj-z6i!F{yZqiTOf9HNq;T&D#BNKMceBKo{N$rIvmNzbegezG$ldj5} zm@`*ar(#z6=_{Ow<|X@r$TopPs00&ziE~l!K)^tYV8N{DSN}wR+>|d2H>!{kY}k9A ztqdht$S0lzLU~xs^`E+KYt%+mZrO8m=LwzR-%8G{U_PzUmL>Y4%qob)61fH#&n?Xv zRm{#(L%Q+%r{XE;`b3{uzgUR(T~$ZjwOKv&NF1LKx=ITPPvPh*!-mQ5Z0XCxLR{@B z8$TnEA0$tE+|q#|q9tt8*>fVd)L;rJ1(8UblDvLRO*JcpLQ_CJVNJUX{UvNewXNk- zT5}&&*f;_nEPEvisU7mJ@NhQkX2<a^)ngcb1rwFplJi0ugPwSdlDt8wuX(uV$-cyx zrtxt}OwR$-yn&tF5;$QlaE!?J$1YT8A{&nd6&4xRE*0g)&xRYu>)d16RxhjY;_IAv zj|aaWqOlPACLWZViB5)%<~u*Bz}T^V*<LzL{N|BH>vo5ZYS|8Qwj|qWcY2@Hi-(%n z?np&mXAlujkcO1B<UqxE8<70Y!8kgPaQ=CIzWtvpEqWE3tD&JO=|2LIC~5*N%Jc#| z4cgQ>-voI04%PaiAMYo&K(}m5^*XUkcy^*w<>o!&>=I^<J=bM@f%_`c@hkP;9gv?0 zWa<jp$D-$llq%3*kyEX(3Q^GF?#I&i>CDpTIljyhg(V4&aA*<`s-A#;%dZa<AH}jw z1qi>pqs^0c&190RC6SqCu8!l#iNr`{QP`?P=M-+78*yKegi|$$7*s&La_g7$Cv8ih zoivh1`Nc{bYd5V?a=^qJMc#wmEgnk2!h4cd{PYcGIEpKnD6cCuz+7q@PLQBOK#G9= z@aSX@W<AH)@^i9Zh7H;;q(dLzT-aVzf;9Wns<i>B#SPJwZ}+N2A*kh3)1k9Rd%d+d z8Nd4-OSg`6o-4c}let;I9&t9RYz=Ea>2%xs^-hThbgPL8(lRdk$?@A8;$4jeA~V@? z`l$(2tvEwZ$w?|2g{MP_WHr0829T9KJi>mePI3<YgfA29804XU;8>p*j?-Xc;aCZ! z8*Xn1Z)7{O%0Z>)+z8dm*y?wPaWm&lvuo!VP;o@~wGFo*^nO5<{%~o359V90u_Y$2 zlI{Bu1Ooh}qkd4f@zQ`T!F}UUX!6oYKckc9Hcx90-lPjJhRELPjqD?p83w{>%(_O| zYl^0^uIco5Lch(gji9q=@`gB_mO94aI{P%N;1;w+v&M6yV6yuFrMYf?)5zhJ00x7| zXZR~ygAXk9`U;nWUqPrfHN4%Nc`A&$x|$lr+=YR|INa#B$^5*oY%EJOP-xLH);yRX zeP=4PO+%y+VpMGX4^*I2mcaoGLHoEN9xQ!H*vL|z%Z3;P(SupaNIV{S3p(@}eHj?u zdXp090lKehx5nP9l7#2_NFyew*zI;ypa<5$0a!u%7OrxLVaBXDurFXi+CI;vdoF^) zT7d)#fqlYot)x0ACUuv`960!v9$J3uODIQ9Ggh1yKDFD5B<-YrM0k_e6lu6UrCSC9 zXmh%E-~mYg1wnzdR03rLNH4kTAc<em=n=Oj6`OivoqyCgv+JD2f%X+Et`DD@V^ESd z%rGL{V)&+obo)pJ7Lv!4a3lkZ8DPIv%#NOPBo~7FG)ox^?HZoX2}k)j_L-P<z&XO= z3w&kMa$gu>9xh%{(s*OuN`m2#QNqhg3_9m`hW3?PEl+UCIXwRi6o(f#`PIGzj5E-L zAy?uwY65&j@f0`GHUaq5jeU~BHT>i^2S{>yoxCt6_LS3^2;_p(y>fEI6*{8mn)YfT zJS&Ofxj(_yu=AgcmF^?+VABkd20*A9$DyG}EMKuAL-BXENd)avS*FFejELoH${^u7 zJn9B$=-T*FA-HLP>NcSTw1mv4_Pq^X8`NMDdZAG*mRzYwvstCh>q9G2(?flhR1hcJ zQxIU}>?t`XA3b$3D*n=Sg~wmmCk$E;m$*eBIFQmph?&3H7wCR_Ga%QBBw?5l(g+7C zHlZUGC<LGY96$jw9;_u**hpu90;vd&tjZy@_tTW2S3L4oF;{Z+P;f!>TE#Y1=$y(; zlwiK{RjdItm{jXH-q|ohTcZTQ1yT~rjK99t8iuBZD@E;{MF-Vz2L?m~xXim5PBt*f zHOiJnsh<cfZA4}D4L~$zn6D6trY(b^iX(WVhlg3k=Gd}8a0%}UUJ~fJd|t7+En&gO z>0g&p;+DgYr9~P{3Z|@A@Fxcq?}^PTXHZ+!KyH!T*z<(9akEZG&+=pxaE@!wavaag z3a%v6dJ|RL_B0y9!{J&7z>z*l+F_%J@V>Nne+WM;(?@dRC-vK-Dp;jlg;#t&>L$OJ zeH3yvoP2%X2Fl%Ron1HrO>}Vw{jQbPBW{*$Yom!Li|v8cvn)t%8~zc@Omh>yqd4A> zu#oY``##^rTH(?4N9vQxyCxJ2lGf(ov*1zgAiKIjFI0!v4(;3yF$q1(JRl`Z)xmYy zNrop&32&LqUlE}qU)g-sh32Yhnf1rY>0Dgq`4DFmbi#LXuobY#F<-I5&hh)*X*&yk zV#O)kUctYc5x0av7mg{1#JlszTe6^Q5=w>e$jU8-qwrL+xEM~8ZjuCr{i1yC&t@)} zyd<miBz*^lNR_a;Crnjm@$^9#jaA=P8;42X^rM**D#OM}@L&NQbtLpKfjpP^gyuxZ zk9z_<(|7YWI<=rBJ1R84;^=)A1@mNdPN!z7IP^3r_p?SU$U4CKF-hUGW-JP3R@%tl zfF<z(OL}Tp#0MFeEbg90t^>n<k?u*!xs}wTZ=Y%10D8DAVU2*t3g@C`CFhcWD+{=a zW+na48WL#FfzF~0=q!w660?7&?+-C`bjkii(_=JXJ!LT1;-ad?eFV{Q8<$wep{B67 zu?cd*ZN6$G=9fYb5D~k@M2cengo0Szl7mJZwzlEW{c}nrK;`lUzQ;W1gp;$McQMf6 z&S%7T$kBy`3n1~~Jn}mlw<u!)7mlht({C@{JmnKX7mi8joXr4dzD=X?J^;Iur%}S$ z8b%DFp<Z#UOZqD}CD4``%A;tp(8m6pRu;HPtr4oXdkxT&X<Bf;?P2rF`%7R(6l$Rb ztF^sEr~$_(jT?D~{<Up8W~Q?Y4EEG{ozP>*-Nvu<Tmz~^2&j^WR3P;0Avmkj`A=2a zQh$+>+I`Jeh`LgP1gx+&X(I{NY&Cf>rGg#^Aa(=v?v(<@X56b~uh^S1P0mkdS0Wt6 z3$L;lam#$q?0?VYOsY=DTN{m6$+Mwtpa*b(G^LPUZen?1{?*}m3-%1>-ILD`;}so( zbL$l)b+HvC2lP$O!i%j0w&Di8Q};R`x8`DqxF4~+m(P5JT*W{h@9MibXxD6w(~lEp z+`qEb5j*ez#rRj>c{rciBc#Bim5<BXL61NaBaxOs2B~hoA5*-xJGQD+!EW#rr#)Q* z$ax7DX%i6UMC(uERSZBch@srn=UqP_MImHRqsci>(21T~S}ll%j>%n^YxSTqL^w_n zq&D9AsiT#Q8+H^XY?o(CKmrz$%#*O>+B&hA9=(iZme0@a_}p1+r=hTA=q1OSGtv5| zqcxWgfTwCtf8J$5*WQtZjl8j4O?t}Wky5ULHH}mxWgl;)o0njdGXXhoa>iwkxBLz! zQpd0Ol7c{*ZeM_*1RKe0yQ+E8@OuJF>*RBKQBqdxxJITXVh0vjp_zX*ZM5Zg6_9{K zxCna0-t+z^41^OH<O0v~I)Im2Z6h4Oy*C<>#UabB&D{b;Y>{!ct1}&~vHz9f3K$fN z!$Kl?5;ATb1*B%$&i!P|N-x%OsZrz(BdDk7isW;6xoZEJ_+3}0Omc+Iy)R4Z>}AkQ z&v40Mt>dn>8~(H<I4fwCg9yBt1xl^0tvSJEi<S4Q*_O~G2NsRnp+M7{7-_r=GDr$g z!%Kv3wd~iT0=Hv8e>b-+R^6|q$O@$$zb5BDo_vdF3_&vo)1R$G(c$o?#CJ%VoCRln z?ORps3`Zs^z-8b;r|ijQZ#k2bGKW^ye1|)r2zG|K4!zT%2rwoNl+zP$-=AkY&t@(t zb35ibkO7?JuHDs8*!i;D>R&d$j>Z6_Du9+#BSyL{f=Ijmmx1+L2K4`Bz{G?+&fzC+ zXQ&ploOsAxD{3qLN4c6ET|jVJyg&?{79rzJw!@oj70%*?%!SMfC3DR=x~qOCBhgu6 zfO@~05r6`4pu>etiCb1I^8rfmy#e9g(&R>W!VLq}{F|6?WWvsHVEprS=7JmGCV(a+ zPb{zepSm};bAq#mma?_nwWu2Dy>k^xtU2SY``oqUvNMUWXzU)YOT17cp2QV+jOes^ zC!4fCU~?Tzc-}H`e9NRZMiFMLI7!+Lu&MHCB$2x4tgkX`%NmeJ-J*O!nvU(NR~h){ zo2hJp7NmUYF-O-RaFQfo7uV)rcGnxjlkcz&43{=$#S4;wV<Na~C+oj((+2nnx$Y@H zoqO*)Yi+j^Ys^)>E2<DGPjuM7a59Op)A&PTq^Eg!BzpoQqF_?=E%62w(29RDWGZvP ze^(eO*I_-P6YfC91e6}rM0cI3Yg8QV`MHn=U7K1J7IM#{KAZk#EOg#;M@c(F&+)hF zraJ-Y6M_|3xMVYb*cq-)yjjbT*sZ1z(%Z(9pzqwOVisjLUUAnVz`^8>7NH1JJ>Gge zc9r#M<9czIiM!w*d`A)jDYJdSJ2qnZ@&All_n&bc-;CQ07`LWEiO%ET)0my1(tpxH z#BMBQkX~wHc@~~G>g2t_vs~Wb<N&<6LaC_!jY)U0Z)P<VhfRH+6*nhBr@hYvh@lHK z{#=Jx?qUqnQ+#kGs@O@=k=+|nfAhW()z7}SF&p8e4sZauZ*{;s7-MK>$oy8+78a(; zeJ$-ILZ|=W9B=Sb03M3~W8^^DIP_LozW?#s_$>?cxK6kQz<Wvk$BT(Kl77HJ7vP%_ zH-|;1U3dfP2E74Q-nge*50KPyt`zj%GjH6^Q0u>v+5@aBf{Z3OP;&OaNk_;8sPLhN zsAaO5T-pSNpqLG8kZ}m;j?Vw4%z%9_X9|L&jTCI8Dc~Snp%-J7l{TTDq;cZ{v1PU@ z6CI9^gQ@{C*u6@~hNa~HLrm)IOib!Dj7aLt>IP~YDC5MDS0#6?jI80?8(Jphnixe8 ze+;w60Z<pR1c#qbM2X#Q0qdIsmBssJ{p?}hcK{7~-^}#4IH`A|g4TWPt)XREy)`u3 z+0Rz^=y0~cz$5I9JL`aJ;jR^VtFjfc)VbeuObTn9t7*TQ%d(+?=HtM#MJNReVFX;A zQ>zMQ(>L4ITLB{**;>Svg$mjR0PV$Ti<L?7AsJ|vA<oq30`I28&4tlvhu`XUfy49r zvGRwIHv_fGnZTSDv+@4Pat4_55Tj#*Q4w0$XgLWT*TJKX$^_^iG!Y?ZXIT8^R9YtA z^#4$t)M+J07s+Y63a}W4y8s{&I=TS<jJVAYfXjeJc7`+)tw0tnZ&`H5D#8dA2`R<^ z=LY<0PRn>JKq-%UB^f&00pM6d|4&<yn#)|6tQc%$28<c22=e=n4ypgq0S{e3cUGM4 z0FZrS_^4VPzDl{9E#%wm%yT~M+u$6<0FzA<H7LFtmrLRB!K~N|yq+vL;;X6mlcrM1 ziN~-6aT%&u#y7^9zi3{{NlqGjiAaO?iG+1Dz8+bY_Rlu&mpL6%nG=`+c@xX_X=;(? zdt%lZn$rU8T5|nx>Zsn<h@yo++34$H$1m6lf$Fie;8ghJ&R%;>J*`v#UT{ysI<xDL z3BXkmsmUQB*fKi_(?$REi9bjuQ7G=p=UNF@R$E8S(Y*uYqNb6{I{9{eU9(R#tz(I0 zfC;DZt6V<(eTjYZAyolTr*za>^Dsm51V&52#4>A|x=Z=kaj;B}QH};>#1be*?AP}@ ziTd`<3_X&WF49S61>=<9xXcnZj_5f$+n%&%g!YAyj~jDcJyl$geeWM`*DYr~P)uKt zjXl)E#xO?R`z7G(1S<x45%1jAHz?z@ycyh9Fz6<i3d5Vck9Kp&-nnmJXtkS%I~X_j zFCecqNQGrDyv)8<ct?kDIf2#VoH3Xh)+Ok?m!uBbZNg#Neyi8&pG^&z2;I8>!bom+ z4QD)S8sOn0=<noLubgh5#UL8))|?7@pV7PBzcHZ^b^&Zg<%ftTuu}k%BbyuG^a}xo zs2K3~ONE1`LbnY+F#^uo252#sEKm@CbHqyNR><zU3t1nz{1y`-#_Vz|M++xQ*%d<6 z`IHx;Ncw4>`0MD!Z*}uFvZ|a-43V=IDT=>m9Ffo78U4^g%DY?D%Rv!Dy(dt1^akMP zIG^;b<=Hy3v@4f;3Sw<t-dcuvvGTR5R%tOdp=T}?LRAB#5d~Ci0ShY7h}q=JYAgqG z_eIcnm^Oly6seq93neek(tXlnp6t{13TLO}bHeX-^vdc*-NF;JRZ|eXLrH$f?*itz zUjrD+SR)c7AORbpv_WWJ_U9jtJ?Na{EmU!{w~e>OH36+%b36((U2E%BQfS|t<zxLx zG;bo&E7u}rePeys-v;psiil3~TXE<LYh{V1`WwwuVITMg-NQr~eJ4K6x26x`5g*TQ z*^pSaTT77=ILmJZqbuZ;Cz|%>madjAdK@}M?B>);LRwn8XeVd<j=_r-zzw5=6lwI4 z)iK?&7ohFwk3TxUOqGBjU}UJ<cpDaE8K0Un9F6Uf?}`Dy+DSPoD0)j@vhKnIGP(;B z&m%+m1{;2aX%q6%HhhUwe;C#zKK|rSWKx(nlD>*Hf<mHsYD<!&FGC{|U*Ad<=IR__ z6^mXeVJQU~GcBznUMD*Jd8+U*gn+ueWKRW?%_42dt>7i*)QHuh+l<ws3S6?ySdpo; z(`{cx@%uSuBn4#kBYNFX6A5KO0R$wDRA^ExU#uEJk$`AKB!qUFzX2KuWo}9eJQ+lY zo1rEisel45h=G8M6#%OVMT%*{io61()glAZrX>a58ASA2qb6oZf&xae0BN%T?EU-J zyWfssHWZPk>^2I~wqmHF)uT*-WpdAnYo1#i8poeP&a$&SItA}Pq8HsYGu5-O*IMW& zMvu~xG6Nxj)KK}F$y(m|*Si3*=268h@$*F@RK|gwHB4*}4<xZe&le}7Fdo(O*4qMN z8uvrHEb;G9uOw5$l*GLAf`kZ*n>s}@8Be4FMpnNIqKXynGFivw*R$h(G!cfJSGsW^ zM$Hj-a@v{d4P!;7J;7l;57t)s#Wp@Q5&B+LgTNdgwWi#+8N>6tn>xfhPFJBBtd99W z%KLZeyg-2zJcWxOXitpe+4Ci{v@m_|Ve%PN1|724e>eKPW<xgCrBBX`qz(EmL_Xrt z!=@h|yl6r17B)vTd9WdDd31uI02^ij^fJJJ1{(<SsKH|5{IH+TikzFjPMn(`R68LL z+Y9VutofUv_>`O>d%~zpf5&5sdqVfbLP`w|1Ba3o-oY(QiSLgCp=1?U@HgO21ew@J zKvj0EF=h@vExB_LU-O|Mb1uM%`i_<_$rpu0UL>}qgP-s4w$rTN*pdqE8<HmItO>Y% z)i!qXe^y+FBR>c<;i%y@{?+w+Yzk*d5jKpKXKP6r77`2Gwur-Gj{UTMe-3zN6V3E? zsS|RS<j!~_AjGVqskRMOS>9Ny&OU8tK~~9K!+M5POMgj*PKYt{*-8i<?tWI>k^)`$ zjIMb9F>MZBH@VX=GPyH66^NsYR8|{NRF=DanVWqSeRx-xWBQ<!5r8tRcAw4>f4GsE zLJx$}%UPsj3)ixZPvsB7d}$trH)@Ol)T)PT>?kB@E1je5VB@DDVsQ4w?<0t(t4^0W z71|;28k+z|4n%fnlmbp{?WkbrS*0iTfe2Mn=;INth)suHd23q&Zl0l|(yqeoptWi4 z%KHcEff~{$y77x*9Qy;jibu9AMPgThA;?i|^*L`@hE9W7^(DK-B+C-}loE$P)-rKD z(NMvfnl@oOT+?Qhu~Z-MCAD@Ki7S2;=^~O&?xB4TT)m*u7EdL&F%>xOxrD7DevS?y zu`?WFx|tCONYg$m2uET3StD7-kg2bEdpX>w#iv3x1{@M<+LM4T&_sz*6PK*1$X)a! z;{EW8eETFd1?;FCfc<~GS><jS1k?WJR-ODavpY>IPDN(%Uj!y~4qgP5w&C8re?MSN zf0(he2SWoya<iEI|Mw`cW8_iq)A}XQAO@zZpO~=+a&roclRdpWJRp;*Y|}${q9e)# z_!;~CpeB>00aAi{&c)E=jKhA$@sHa)`0DNFjh~6DO-wQhN#?8H=ZK5Z+Z|o(k;3K} zn-?Tk8+`$?K4)W@8VIHH?Q94)WjiZwL#3bY3)MQ>?RU5ZoAc@-e&ZOxlB+*ye5!#& za|?g;h$B#Cn_BqfAL(6p`97?(p_c=Qp92AKay~b6ig+LbJ_1C*aR^j*9YW4%zK^GW zZpq2b$9d#?5a>?es`<og#49nMwGm3x+R<QW03^pCNPFA}75VPg9Bow?f>PtD9(jjx zVi6MZv~*OU;N&rd%O_+{Y;>lLzTVowj*qVy=3Q^_O%rqN@IG&0w>A8OYg`^sgfXB9 zLNJH+z?>EtsdiCui0{!}fvBL6J;;QVC$tVDOO`*_mi`FtNq|Ahtccl@2ck6SFm4ex z#y0Lg6bfJ0*)YgUaPOAe?$eY}oL@W-^9;<4eFkR6jtWE(-rIxP$T-X0`XpxC?aQA~ z|6+`~&29jDjEQ<!gpII`Uk!%B=K&J9<+e!MNVT`VhbXSOt7Cp7(`GdV{M=u_&%q+9 z>~2>BlbVK_&qHb-vKN{wolSL2Ze~xe-<77C(K<3$ceB(FSADDvTVOS07@*FE%+EKh zxcr!FcwFDAcLF2aT#m`7ExW$&`-*5H@pU|qIrg)mPD@<tr^xk$%59@;D!<24Z>h2{ z-U|fGl$64vPb$tgin^K`JdlA41T}T#me$lNoa{(4!fqOG^Gvc<EFm|4wX<GsAt&CC z6_a0@H`l0&ZM~Od&U)QqmI~QQatAR;<s!*WBv(|=DBZp+NmzR?*`7^RC8hGSE14HJ zO66uPZ(}vW4j@>Dck+?adT;5=ovAN|!46`;oC4`q8h))63gXPX^9+y4TDHJ!gdH&i zkO}u7p$hBNw;a|lx^-?Hfr)aEzCrG?Wrktf5NExMlKH3gw85E9R?O;?R{xs1RYgk` zFtDm}Uf0>(Mg=Ch`kF3myAU)Ip{`(VT|vvqq<zgHsC~`(PFvB^2`_46p){##VM1vP zM}NH^i%>TMW<*m9E5iW=bgJApfT4BS*oT{>zggryjd?BU?D_n=Pl^>)8uA=k6t5C$ z31T+tHo5J@*YRj+m+{WF&~(YRl5{aLw{$VG^l(`nNLg!*uk83uTh{V8P_)VDJk#9T z{QZ;4rxrnPm0C7k?Rot4w6r!yoxe?GDoWK^E$YdnE?s|(Wb)<4YmId5a&3lqhfWY) z3&`2R!tdPNg{#7qwoFBgL3*3d6Gar?Oi1~6RbcpcR6vWnD&#IB+5T&h{B-X88!7!Q zK3c>NcgevGl{yaYp1MYcYmzpE`vS}A>wc*22H<0Yqm84cB1}{R@Tcl);#+&^1*3Jt zRKxiKI~raIyd7X2^pT9->Pb1CisMxFM!jt4>`3q^)6`;EmD@ycR*PhhEYm8JbgfZE z9<y9f6-0Q^eVrJaY=oPPKf#fz{<K}ft7|!qL~&>=B+hRpp@whPo$UD8YXtn2a^Bs< zxdJ6%qd4)TolSe>%4q~#M$F(rwg@>9NIY)8*B*)NjQb$BnEkuGRa;AX%Kf1t4hKy3 z@SUn7|GeD}zeMo75?#jvZr+{xsC@)IG7e`s&TlTkzF-`@CgoT$syrf6V90PPcrN-s zJbeXNRL}Rm0xGyP2ulmn-5t`>-QCh4DJk70EhQkG3kXX$D-B9XH%Pan{O0q0{?DK1 zS@xNG&&-@T=gi)j^S<|9Bj?5qV^yUjaYWp=v53ljIF4q-@YjuSgTy_!8Lwtoz9wQ2 z6jgPyM?4o-f6!>nTHNA!IaHoOgdH#TrNz+eY1a&_${HQ)FBYW5U<O~fr|TV%4E8N7 zbeDF$q60)`(z=>1&p1e{(i1jXeQr>$W`^lp;l`p^5qn$buC>M;)R<Gl={^5^Zhp?G z=lvRhHH%K4qFB!iBiIX#MdSZmo}npLq^p?Qwfix)SEi36N_}oVXK1pSyu{nzQm~Nl zSI+7xzSX3|g_^KY^w!;yYMWd5JCO5EA+|02{T<{gD-u}3k}&qo6fBh9aMg1={>Mz0 zXRPI3)(opYZdM$PHkjVE;g1U_+?Dmz(8|<*HWq)$&K!N|!tCy&-@wYm(#@x=;_*9u znnrhGmrgoQPvq8fW~+UL<rbsjO#^n;%5F$OxLIMqMM~GzK<L3*zi;S4A<LF>0U1rn zMDFKbyA#(4>AcX*x}VXn!%C|v86OJT(2?KR%Ea%lxaHm$qwum-JF00J;Vs(f@HKYT zMQ>j>!ajP9OD>5hI5xDj!O`uBvj&yotv{!Hj~ms{TmI%zab}u|?@$(pqCMaP)#m1{ zvFJ(e+VoD=-$!Ke5A<cB3=CvZ%OJ9ZV-xspGO(TH%?;Za!iY|bxvd9sU&U|1UX`_; zRf5+<A9&*-vb~eL7QK`E+Psq^Zcb?_AnQtUet6FEW@c>+andjwX;VE1>Am7s{^h<} z>Z|v-73L!3v;#hViV2t094s@*JyW_W#2Um|kOW#lX&SO0R#324s8ATLsHiCCXw4rj z_?HJ8v3tL4`jVxJ@e5xSGh*Zi61%g5dgXQ}5$j0p*Zhwf?5;@rIoW18$!?V%AA)$4 zSx>8yeRU5vMYRP!;xgq3hn*_t4yfw<{ovqrSY2F0sXWF$Hz@F2pt<V_T{^h@lHWFe zp{pGYqiF=!TowTO)<fuEgJBu~$+fL~|K8gB<KkY$Hq9#@G<QJDxrlOgxrlc~y@+;w zcj53}Ss=WyvZXw8D9^Xg2in5Ny<-W?*$2!M3d~bGm&o7J?}O4Z;6r^o;3KRUb9tRX zyf0@iUr84>2+QTB<>8(!3d_xVrK6o<mVK$6?`NW|l}^LX3%EnHfIB1zxI>I%RHsVW z{k<prF$p*rVyt$gb($#zP47??;`EXbksjZ)!u03b|Ef*;J}adPQsy?@y(ag7K8(vz z@D!l+N<!AWO(~K7vPiAxhIzy7DMfSj-r-6zAIh24hJE9RJR*(9ykOlc=9!RyKG#K+ z4TRwA+ECi*0_<#zV7;&@jCyidLVeek()Qx3knC5H_858pRs&Q?z820XPwtb6wCAG6 z+*IPY<F<)Cc*NgMGf=e97N4O(or3K0|GmgB88oYSl-?zRtnu4ZGI~+gxIH9DjO$&U zx^zqU88#$Rv+BKjnGUcZ4UECDHMojbJTZ^XpP{MJW@}2)Sr)0)@UVA_4cJ%_%zX$} zh@5#-*tc4Q#LqbeulO#J7PqO=-?BQzGNVs{He=i;E@1%1o=Eq>bpzT66Mutookf(O z{}2|=RvLN24F5Pv>1538cK$^H-J{sq`22krd!BG?$488M?C>>$9*&=Y)_~KiiWjAp z<}V!Eh)rR^OdSOSa2G#-yO5RyNFlpMQ|#IADbbU`rmZdvRS||ARYp+2sRlXaSd#b* zf0u{CgU+V=j(8_3CjC#=Ecz|G9W=L)Nu&3K*(kG5;uhaqR|=UT#x}7Jm-t(4%5&RT zx}cViIj^^$0DTZqQ8FPYR|crP%8)hSDI_musr5$SG%HF`z^}lK8RP;|z5tP0+HBV3 ztFgE+1LK$eZ~T~GH|s=+WDmTY5$4VBL@64t`wn*n;B0q5T+e+6m9$7mHtvh7yOrHk zEV$d2U&17hyaND>{|_*(4FQ00tlum(GACb6@?>Pz_ZhJ_M`j-GrM9aKCnC@Mo^=$y zRS)W&ypavjtRhx<!7ODerj;3ByG#joQ}3ozXJmg{P$IRK4PC^XUI9ekx`6e;qMK9* z$O)<God|WezF#$?(<1yO``ern$rs2msWt&Q?Q+)Ygb%+ZP*~Mc{}B2b7X`_Wm$rn8 z4het+h=f*gXO3^ub6mprt82m@*kxFO|M^HY^^ccNF4)Q0g1)86`hG>2SWzM|fi~@h z5<r`3002)DjI6=x?2$l81MPZwIsuxK5+FAScqD!ky$3vq>$Gyk&RRDS4B^T`@t5{x zA*Fx9@>MLpxLkb4{x13*JL+Ft)RPO#??KUuD%wW7BI-~nGU_VH0C^tTjFnul-LQZp zc}qzb+jInJTKa771I|G%&Wp*;y+N082~8?1O2#BABuK#CIpu#ar1;C((hzpCIShyz zlMA3xsF2Bw*EHFFJre?|CJUm@23@{Z?xZDMVv+hv;%XjD@%umt8LRz$qJ+xcYl#;# zza{tuL3%-UkKL#4NFEvM4#fBQUs1MOZj>IjI*fn<bAjyV;m)T2)@bY!b#XS{ZG8TR zu=0F?knqAsidlRf)$bG%LE{vXP~-&iAcoH$jEY2@kb4<)RGuLpNW@C6e~5h(=T^Nu z;~XmXCthO-vGhD@16271s&IiSHb&1MwlAwd6<$!Kejo_?0s**#UHO1RxCV{TCkFII z{$s(C+nlq7rFP2NUdP0_KfK;^TfEnEhrHCVS*wZTI*LtRcIJOUg(bmgUfQuC7S^$n zrZTaT=035#y$w)V;u6Ch%}1eDX)zaK6oM8E+!Z(m;PGIHZnt8{jkpnA)F6+zl0X|< zedLL70rk;Tmt;dV$}LusW`YAVYMKKRtHPYrHrpe|<6#(eVex^cb{c50&Rg0KUs$zB zUP$bdNf&>l>UC)f%5SPD5%!-ELhwV$JNG?Z++)imze>hR7Wl{ZO11)32n}tF9*L#d zyaLfK+)1c?fF>=i7@{rB7;^3&Koe784<3z2=m6d<(fOqw#h*O|le|kD4$OE_4$L4k zpiVweNAf>)+`S_7k>Ns`rojuZIhGwy*vA}CIA$E*na3_9_CyXSOA_}2+b+;N7)4Bo znf)A}o|W@oa(CZS{qHN%)ukc9wY1+skrda_V(;XHsyLpz8eUEE(8}V!1V%XKn7Dg1 z)Ns+8?*u$m4LoJE7>+O4ieZcHX2f?(XhYumr7X~UJE@{Z<F<upThOGNs|M&6PYLww z=D_6fNAOR5VJv2`&2|Cuv!3KFcM7XP3$G`~JjO2YS|&lOYM|9OOV4?LJ8aQifs|J; zD;%`Sy4zM!^Xs;ynP9^dPQI}U2i#UT(MBTt)y9d75a5xz&UzFEzWI~g+KDm-+-bA; z9E))2IYs(nI5lf427{q1$xrAW+$op>scoZ_@>-y)HNIme90jwVAL`l1&)*T_3b?l$ ziTt>rJ#NsRG{OV#9%WqwIEB=cgxa$OgWR(jL(~(5$AK$}`rJP6H`urpYCzDeqbdK< zo_%pmR!96@h-WD&!$9|>*Z?K_>j6p*_JK7sZxHRn)%!AH`NC^QY(dFRA$<%@-Mv~( z-LfhOqwsyYly+c7A7I7{SE389F}mv4mt12d)%)T5`_`I(DC`~^vXN;qT}*~FZb6F6 zW=={zKhT#nU<*c^7cA=bH$D0n@XV$t4Y^D+AZat~KKV=e8M@2+js1t?JB!-kuX%-@ zAePgbZ}oTgt>r<Bc3?tyf(c;`CWI515T8L5+8EBp1^jGTTrxpo0&_SOOvvv4w6--m zuBME_aFTqPyco_+``C4_<6stItW4(eA^t&`mHjCzE63!ZzoO?EwYDH!c|U<)0O3wY z2;JDk)yQ7#rKbDqIx!h27-EzlMt^&V?X0b)T@mw)twgmDB!${ZA$y0fbd8*A`kF4U zd@{71YZ73WSF{|}%ggxNQwv!Gi?=?_^2d9JR&(mkY=}u8-)9{aO*-a*?d{}O(+m3+ z8tv_J4%JOMEQ@=GN*i|m?NmVumsgyIp*Pxt63)9;v^#I~LU~D?kpy0xdyvm3-uRRi zBUZRf{*{*fYdZIM@v?dXg_ml%zc!zoHQwXW1+B6wLcttkB=AZe&cBVwLfP)mqP7Mr z)RAbgLL~w#R2i^BEdwjm0oi}4Dj<DYQOa1xqP!}#8S_)G$0dw*Yt)tJENoLyJ!~s! zcGfEBU$<Rltz_yisb!wI@?tn(Y(V^f?^n1hzBzXy=o#gvAiHT26R4gef-u$Cg$)wS zG-&a#F6b&T878#F(hqmgKbAMtwZU6Z_^7Hz1@-aM1A-KIb&ZyBD~L0Z1tHUN)6PFC zdK`zl_t6>K46Yc5%D<%RtQEJvbX3*KcCsAP;n5OzCLGhTTXeQJG+{%`02?+=Eil2F zmyNNq_6{#$uw~;^p4o-0o+BUMU)LQS#;Fv3I?impYd*dLn9~bPF15?XQOK89<@aBg zjVXNh50%VS+S?f_Ynu4tj4m(B?@evm+tG!n_Jt04oNo51oKJp+-?Ic-TDYA=91#>{ z{_#|nJb#jOCx_&=^gR4ylGl4F3^e8#S9azES3nhaMO};%ejO`F?S$YLHcW8629x{U zx;0?B+XJRMxfEx6q$G{t(UEF>ujF6xsq7Q_f$mfO0m>8V0m@VEfweWg*j~grRQA8A z!O#%*2gW_$T;m?}=ZJA?!ii$Tbaz!WQi={tIf<rj1XU9yxIRg~Q~<%8CbKM#$6>jg z^Aom)6&)?lq`=5zuW;c^d*CBxXbrba5nv^@3WCV!t+%ONxs1`@@!O{)!?W2cGFgL| zWHQ%A?&y75s|^bSlmC2SU^g5;p8N)HCcc-^!??W1ylp*2TjYEezHrRP>_bOQ?ZRHb zP8v;58u2DtKSCBiY6+*mHz{&`<GqyJx~`PmnzxkP26!!Nyil>U5-T0xlb1#IxC$1a z8phG%iiokl!V;m1PB_A$iE_fB39H7TiDRXJ#$m(l$qg}P#<rF1Ts%SJWN)J1MnqCg ziWYdo2?f#;JaJTT9pA{mWTWyeI$adT!(GWD$n2_*>^b2sZ~;%uMM?l5VqxU^nwiw; z>FWY&*A?`MUUCi9!Au5f*f_rWobyXt(jN(&m!0Mfqa^N`X0;41=$y}jf{I^HBJhRL zIi68St~hH>y=E(YH_?63ASJ8uKEzC>lxEisDi00MLPDTwU_(Lvr4Zy_o@ktK44{6O zikM#ll2||zCR19pYXy}j8puRKc!Nxh=}TNZrS4v8Ao&}Ah=V2&!#2M_p!iF)@jA&N z)AXV5;#}gzuiA(>62gbBM7yjFCyNblmdR1{uNb#P531pW1LRid{&6Bh{I^-bT}T>< zy0$OLwKIbgre%_c+>_@sbJN~CzjQpQqHHK1`11F%B8*Goh%yj~FqjWh)-wD&PK?=3 z^L52w))bL)a!U&vNUY<4#JbcTd39n-LIp^y^MJ&<U|ET4$lmjKw-lqLUZ>B3y)5cG zaHT!FC2=zCRc0E6?0ieC68hf=4teEudYTFY0h)@tj~iYw%jL<_?zdlE1D@>uc6WUL zc6ZP{M~y(&IfaH7YK27-SC^oaf0~^sOF<;-5alb=jKSMU@v;`>Z?EoXzCq6mRgGQk zLgw00dIbl*A#zWs6@^gm)93Xz&b^bkDzT{ZrqcXf>KEt>(<1^)^ll^ULr7e4b$!f* z2WE|GR~!iXbPK2V<fz3XAH%;|kKC-$KEtynlvE=`66}05o@_OfR!UFr#n7tX(kNVf z{;LOrO|rzr)UNz~fZmOndk85ewyw_{v=yax1>+`lXO|Pjdhu=hhYe6OEzDk(YxB&Z zS<iZO@}}1%(=0`88)}>KOa^KdH7WDE7g~5=QK_bE?$<$DDNsyg<x%FR>$Ux5x=LU> z1F84mp4=`jsf)jGgrl1tg3dRDn;csgF(~sJfnS*2H|6(4@Il@*oPmAhzV@hc3JvWd zihi${NR7Wm3P0!{j<oq(sZ)0Lf@>(g+Gi-KnmQC$?I+ZZH@Gm*R_*+;HnNAEqrk-> z9suT4(R~Av>t>Qtr!dh1Y8Y$5w_4tUZ?FM}(6>6j3TD_>Wbnhmof{TfQaHK*F4vaA z$EpXDZVOC0D=_Jbz@($GenZ`oN$@>fk5mmxAdS!S9Vp=p8V&{x2S}ZAuoX~q%%V?7 zdTE?Y_TqouwSCEO!5PNw6R7LO<V4W&ijMscvV=C_@(Yi$FiJZ1%&F<};3<Ldq?RsK z^>nDj9{Ka+7UCEXXm8b3%m9KjnYZ_x=ewFGkNRDbpd<xg=qT+W`Iq&Uzk<>z#FkiM zZP4p2q0$RPainDTyq8zDn0n#SWI$7)=y>^}xlAy*jL0h9Uq;WgMlEg5B1PnYcSzLJ zib5R4%45kqdhLA_5%8a9I5Km0CA)U!JZ9ktY>B=?@xK`386s~4F_r~lEOTB0#MlaS zi+2u+S&M((qibbnAIpiL1>x9DuTTlXafZl>A|dXBfRkmO;4`b#vL$X5@b4ub!;dKf zqUaWE_REkKMV5+EMaNLrZ)q8hDGRHf@HMLpk^x4I1?LojBdAqSEBK|&1;4Z_^mpFa zn1Y}tG(IiE8Hk#}D>=W*-h9d`v-ejf=leB#>rn_Y@AMF1o*~?E5G^|(S|EjnL5(+e zZ|g_Ci;cQ8^eGlr&g@f!Be8ZjnN?OlT-~&lXLei(fsW#3^a_$eNAsoQ2yswL@`l_w zjR;bKE~`+<J>=-Zzt~%pK|Wx|>}QiA(7`uk_Yus|cw#FoL~LEchD<XlUF?Tv%G(ja ztb7OtNmYxDxA3U$IbAb)9|z{~gt*v(0U5T9cPy|G`ljwo7ugguTWAH$b%x$8n`;PZ z2TaOmg$HW|YFDm<^g62_;5gm7dglE4<}fv<5T>;{VkVv5Ew*9T!;q$EV~iO>ybEW! z7fdmy)3;dNsU}lt4NgC~uiNMM=6|x;O|K0N<HE0CIh^h{Qh_uG3B29?9`pypjKWR` z1l`3fYK-;3ED>@CJ?N1`cU{8|Z*d{+6@~p}kkvtqF_sV=^`O5o)MYU+(lt$iR7h7r zDtM|P6^e+kWGDcVgzx8qe!&mm%FkK^_OxU2nc`cfhjz;gouZE7>;L3Ogxv1_`6_n- za2g+g)A)-{9#@X3UFNOAf&zFhs}h(a*Lq}c@BG3_UrLJoTyc-VCD8On3_*`Rzp!W- zqP%cY)cH6LioditwYE%s_^K=XOs&wd{xCL|f52|fn$Qxh;|xc*r%GrEBrYrMG3MzK z^=h=pmz9&gJ>LGqn4nM8OWq={qV+pZre&ZVAoU4t!57VcWRLK(zdZ2vxqPZr$;&X_ zRPO#L@Lm!<;>YcU-X8^UGNqUI+h@u;QGfZ{M4@Lko4zFG{1P)I<Fd{l=uBpt7Q&(N zNtZX}9Y>qWaz-nz;H>yey5{92wU_*#TjhD|UWU4kl=2#qW)1yWulaE3SmI@omcNMT z%d{~MsnL{Mdj~YbAHpsiR^c%e&l8ZSJ|Nw_Gpb5{m$UJt4C1G<hx<Zqsh3jyuj{FX zyvjNfKw5LBe)0chn8YAWFYPU7-elH_lNTFH6hzpn6JEzp(SU~zMI?Va+CV9zbIqR8 z;FsA0PcUu~Hzk?IU~~nEi$c!u<1#zyMvd7ofGKidK}SOe%lfP_Cpl>AaC7oNwQ2bf ztJcZCdW#5S?8T7Sg3Fa6HHl(UC|7jf2{~(U_L9kO!xaXR{=T$&sF^ti2ax5pby#U2 z!i*`GoelwtgXk*snHvn?024S6;IBN0&PJbEct{t@JW;JW<6LjAGKfwFj=Z6PxPv-E zzn-ge_S(sBU#ES3HiJKOJ8EdpkZDFn<5pQ>8H5p57vTF#M&5c(YLGOGLC;hnzw%LT zPa7PN86>Ts*E5v`2by=4!2#(((pDfbPB%GO9x-Mtq18;B|KWg6GYI)v#D-5B#W%}T zD>Y7pnLNRqG5!A69nh_m{C0-aAW|~KN@Tf2m9tk9lw}5ye*IUr0cAx{mL5c^|6iF< zk=QWduT{zjEamgFH2fi-Hnw8RaA{CZ{QRtgA?4EB<n18(IYXueISpil1(fq72kqK4 z>RO&N=&h>eS0e7j<+Uqt<+UXvK0mv91sXUmviwe6Xvwn-^w#NK(lc3>MN2hP?*1`D z_}5$FRfdks1DeRP7lBlMAPKS%eWryFO@%|b>db~e6AhO`z9o;dMqSrgaN0hlK<C#u zA1T(?n(@x4TBc?}<q;cH5>~p3rKAd=YzxZkoS>{+O3DPvR-n9wB4Nd^H(}$0P5wqR zXyaKqdGHEO`A{%g>IQ25%WQhp%M&5#QuGo~Mh9gU)yoMX*;4c!P)5psX~><6w*5$8 zU{DY-xQum}vQ{1P!O%-J2$SM>DY=A7)!kmw)EJCS7I2w%9g2K9ep`uD=XzlZ(Iebm zyz(|H@-b}sE>nc~i-+i7B=S6{_+ZX7z0m<kRPKO8#WYiT;pc*ozie}v7AL9}phsZ5 zcm=mD@>zx-enn3Q45!?Xmy1p6A~nJ;s^JlsL#K1%(}E|G5B39k`bO2Foa5t{bprVm zB^HPhWd$WI2<eT~qj^Jr59c)L?bPAqrQdO7#IsA`xYJ!9LH>mP5FgKeZ+8(y4gyzP zgwI^KB{xes0e$cHUaai%%WU_Vjc1pOF+lc6NF+dY+}K7K{AaKc8T^_X!9i{`j$A_b zYRavPNQJR<r=dRAU3Gj3nozwnMi;Lj>PEEeY2eP1ZYaB+spdL2&6rE{YDHjwFw~7@ zpm4u={lZeFU))9*oEy+nvDR$xm`NsjWt5XUQaVSmF?pHoF#yVBIhU3)(!M2hT#Q%$ zDY}z=3aXv|*Gc9taNhTS<&QQZnQCCSQ@H<evt^SjeVli;oyzUf(49;UNE7H(NLd4_ z$bappTYw=dS0{22p5y8Ca?PH3q|>-DID3)NyU|d1On+`pG=a0Uq<K~&Td{5|ImJ|O zW$eGrjDgozq>b<__FD}a_6RKz7!9N8FlR!p(-sQso9+BGz6xGX1in(3N=u`2nf#%x zRIYtR@h31tc`~|qw4!|a-+~1x`hw`sn!0?_s;Tl7%<1{Z!V^NSvqUS2m4hDiEK$xD z+SvMT=h%97huRA)NRP?!S9FNO)4JFHoDDO~@>%%PVgl8&!^~hT62%)P>tE3o9G{-O z{$~XMmqh~7@%~P#v7XwW1eCL<HGs`G-S|Wr`>_ddB31~pfAn_(?4ovzAb;Z2i#zvC zMoNCjY5zCuRPp;mBi*XMVvQ(tZl_Ig_3Ul6;K>yC<76rMSuAhaA_Eg4T<G7Q?QE@6 z;M$l$9rn#s5P{1Coh2J$HihEXHe@MlpRJ5Xu$G58FvFSB205TDI){mCUuYUfy4sJv zqVqAkZbcl9LSIOhB>Y(o78e@A{m5=DWH6><{ln8$HN2YQm+RJx11^Qlo74FvaL&+o zj#5%Z!6CDIepsBy$AD@aYZ_$l!aLGdgM;SBF^O&s%!ko`J;fYipTN}#NL+5b_!tly zUu%fnjZNkl0q9*ibre#fGvY)8dh2IyNeKzA?25H0JNrNA<|%ur6&wa%pACY_KXG+9 z32&yLFM7EZT;#}Z+=VPN%%WIcNs0avC$iRCm*1kwBik^|us~xidXr}#fqu>mD+>8h z!cX7pqG0&PaI@E(6y2V=yU1g+^&rvAhMG5Zm5-sUiosc{@Nv18gHxhc)2cRUp;BB6 zbGrvU*(k#z4FVZ)H;W<y>x`B{Bhq~`P1BqZ`AR^`vL~HFG+}<}vwNXrmKstF`ze2E z;#Dj_->Ye_^IU9>V?JzJc)N$R7py%(AquhXW>|%!hwB;ZUy+hYHO&hE|6=>A=m21G zYycJq4D-uCM#5#Q>v@BmoW?^sT57i6JEU*}itx_qFE7xtYq7&O!2L`k5-9QD#u^;R zX>BUzmkl9e)a;U=J^_%rS3st)0r#uff*g4CbK7k0f5w^`(*?Zz&Z8HdCGS>eO2BQ{ z=f6&#k>@koK8yru4ea-j<{0XJErckzyO?1e8qLWH5h@o{K}t?~rde!-y)GCK7>F^$ zxL4ptP&UGC@4X%*6+_+ic!;m}L60bTvkNYk5r5bV*6aa)*}RvAUI)y$@SPrXFES4W zLpN0{9kMCJs~1Y^bs@zr3*x_aZ}pavPx7>N{L3gZtlzJ)faeA1PYMTZiN&9@kKDlm zT#J4scijT4uLWRz^8gBk11Pi$K%wFQ3Juv%l0%Q-D`FR3P8o=ttM7p^Hd66u#K!ZL zd04hZSva)GnM$<D(a@gKVkNIDiP9pnK4;(p=+q8Cr$qljry35@cGa0g&gx9<bA@sa z376h;1pB8jo|(cAce*MsNi0Nc9j;xMEEHl&7=xVh`gNhV{UeTAcJjpd`({wm{WU1= zJ`R+4KM4w|P0-D36na(CMH$0#@qGq-e(vIUG4kIIXccbfAHm4O|G~)P%V6X|3^4Mj zK^QsDvwncm#sZX<5}>r#0HxhnR}SmSfZI)NatY7$vhyCP;udZ&+c}choN{oEeeR3o zS9poM&TNZ*UwM?LWX)Wab>Gm?ru|UE8AK@7@H)IitnzNB_t`t6pJH_!;Wmwo0=aXE zU2Y_wcdy?-&L#%K{oEV#saxh$Um7{m$2k#b=DbxQG2gZNQ)V|EvjWzY#0IIPA`b6N z+cD&r>nX8@9e)6_r@VO)Mp5lh_)p!3!j>Jhr|SCB=fwWg<;wSzN}3eJvoev?E(`Dm z$;h=a8+U)wb{1LOT?<NFf0jN;G05p@7p^!iA2W4W7QyF%$MHD>kA<X7y<8Q^z3ROs zEfFpedm1TgOR47w4lcw&`3s{+2M_rcdATID({D9Y*8l%+CL?DfAOV&~$np^AOX0@l zCRuXVSA62Af;LX}vKwM>iCPqzz#6rh{6-`?9W(5holO4IEGA7KNd)d%m1NZESU$D) zv8uY?b==}OW5~uMJ_p5<JBGsSN}P)aM^CfmDf!)X0<>FxjiTLyDX5Ro_b2Vy`jNTH zED~5H1cj{{3KOGcMZ0@HuTA0OS_^*M!WSg6MNw-f@dniJL&enfuNoHot5esQJ!N6= zgDEOu7dwt4q3<Yvv-P7}398y7Ka%)Yj^NeFxFE{Zgg@A|b+&K^Jg4C23BFT~ThG<7 zfZw$q;A&JyA*Q?uSxiXT*0ck}Cu#8(@8hmXoLQSgxr}pG8+1zex2B1deJ-ESwfRJD z7>o39)R1q~>Zq`vHi_mOF9+_I8Ap?JY~Em6cd&iz@YP$LW+3qK2$wb%>B6lcH!ssk zs2!<5=pIeuRi5lI%v_hJueH?p+*Df@0r59_TMD9GJSHn>uG4g~@bzR1($hh-v71eN zn<^UT+hz+rHnZ>FH=C7fubS46UkY5}hTmbJyt#iKofLrFOW#S^^}ds`586rDquWV2 zFuCH5fi`fX@s4BJ;1~XW8`=qnY4FNh_9^GD8Jm3UidQ5^il-zJikBo*isvNeihoIP zM@~r8M=oZ#dwrad{P;7r_^?N=$SJ#?1I-J8=7SZ8xr1rEk;^@X@IMuZI@ShU?cM#a z)^VoI9d9e`{IES@P<)rD`pKJq)Gn|*pW7mkJaCV}@r3t77naO}9i2G(&20|VnBD#* z{ynO4N-~Wx_%?SyK*ZbJ|Ab`8JQBl+qsONSYLR20SUbaVX1l28i>{C(wkhKI-C(o6 ziA$@a*42)3Ti`qDd5(T;m@-Q@RY{t8kOw27KAmg5dxgi-yg`(O0Gs{uX2YI<8s*v_ z6&fQad+1#4KA-fAMfx0S$j#z)5;$fn=rVSuHAenwvGo(LjDLJITAfA%Zi@b6oMQ&l z*}?c-asH}BXNSqXW=v<-IHzW!-6uM=W6x@C?M~o$wW3Y1+s@?dspst`cB|iq&?QlU zfwy_trU@#_uvw!z+SB**4Eu(;`zUl(F{#$=p~wNq-P)Z&<`FAhwM)*ZwWH3cuzu&r z+F|EN*n;!wPPg-_O%HGp-Tz#axAL}j#rf;pA3pYM;37ldqQ!F(s>Qz~=E5f=xFGD+ zh0lPCT>o>C_W>Wa@F{Q+2*dSKodlP@3IsoJ5$V|;1LhuK({MUNGc~KMC~(YpK$b|V z6^;V`z8YF}3tqAJ5do1gy#EOsFuV>hynPdNBhEnaWQL^}zK_3<?gCycn(Z}{2mcf> zT8NwNN~h@B&BAHu9`m%iwI7>r(L6NEiDQ7JDPy(KK+$!l>32v+GyM^AR5}go!vG_; zVFTKF_Z`GDC@*5gl7h10Wr2<1ZO*gvi@<b$7VU1D?Ai~(8`{dQBSS>-^O(cqWf)x9 zO>0kf9Qgb6Na9@v!Y#5J*HB(K^7jv}*dA(`o+dyeYgJ6(DO#?dhtI6qVd-0E*fZrU z+q+qr<wF`>>nmS~*8PtoY4X};$r`6xcb%K6vRBhmHArhegljbRs*~24g=g`SuGV2h zz|DJcs^?u|?Rm3TTQExCW&(kS{bE~qQ==dL&FhS>&<x+$ulW7j)*mw|jy~s;?F^pU z-g%^_8x>m9ePGqBmtjBjn(tDZmWOT<pbdautc<-WXfglyC&@Ybk#fo`JyInE7Qq?{ z?8{|E(4C*&P0+(BRGDT*CHF*Dr=+j-Tw2jd%5i3)8W8ryvM}iO6cxIM9Y;9-ca)GE z{pjjWrpM+#hIo0H7P{xY$j^1FBu|5X>Ek`uy}vui3bmr|kfX<4a?F2vb#Hvs5S%7f z*WMkDT*(Lf(a%VNS^JZ}fE}xT;)x^%W5+RHw*+!5U+FdN3K9gPcH-;0kdFZ~cb9cY zwDU?_-NchZAf&_BCxNWUQ+hqHND9GVp9Mmx3M|}RFM*H>D`;srkc~}!2<tIwTKL%C zGsyJhc#F=eTmr3~^rg5;gv4ZTKD)aY{nHkK6l^0h`B1u{WD6}C85@K%uHT1y+WucF zdQ1`Ki6R^wodMP=vCfrwLio{ym|<&0l4vJG`Jvv2s#I;R^c>5ADJaLJ^3i{<hRmSv zYe+k2{+X)89*2p|<3ZTFmPI8mnjkp0zr&#ZhZ4b}o|MwgTec6|O%Z!%2<v{DW7#nB zV8qZqy0!|MbF>l)R<shnbBq%DakSFYWx^~8VFq65Yh8!v$%lFgjbQTrVtf%XCP5~A zEJ%Idom)*$;c4|!>zveN$z0z=(;WU+6Ij&W1apm0r~YCnMf5&{`0RR$x;uj~6S@i{ zVc^B*u`t;Ui=mR9T%uLIe7e4K36)U7uv{n`L<G(Fm5+Lx_A(Zyh!Tw<eI0f-8~<l- zv0dH#h}HM`5$o^rBi3B<>ALbIR3byda#86ZA{?v)KDdUA7vJE9?u_(fuV{J^`AlFu z6=CGjcVXn=zG1mfydWZ!NBBNei>w!YaIIZAm->n1b(zUBQ+|UKbPB&}LLb!XS7eM` znN$jqzogSpOQM-MF!A+pv+3);@&CJfoLUbgByhd_X7n#G*!A#VQNLK!W78kqzj%jz zj}e1;45j&628y?p_p^3xQ*#7(TEAAngQUwS)g#o13p}9tl-d!e#4q>Ql_kx{2JGm| zd!ZQpfPkgfSXBM>Dvpd;$h^m9ON{ZpN%?U8KE%^buZQ*(wu9!={^!q5kZK+)?{_@8 zCPF>(QXjWn$oU#>U-avTJkw3yNvhXY@>t((b(gBuki7pSbS5~iiq{yJc8KAoF1pJL zqE>6Dnt$7zhBl+55C1$h;}`)xeX0C?R07-0MxSR}Bl{b*4C7W<tg6t51LtkOJFz?l zVdI^R*8aOY%Y*Bgslp~rY*kN_ZvObxt+Npya8Ry%+wZhluj^wBiB`E(nYMlX&$OFc zMDKJKrFd7>;VeBctv&sX>E-@q!BC@LnvtV=(HVs)$?k-djEx|7QiM3BlHWsMsU%*Y zoJEF6*1Ef+ryP3y!RONJHBULsKiI9*b^LRzQKXnIa_C&5OppG@(Nm$#>Mw=`$4JSZ zWjtiUO_z%Ad9`oC@x;u`6`5D0d-`gY7`v-$`mMOD|4r?c6f0MSy%wdvyjCF~-6)Rg zkBD+waEW~g#5GuCWc9*UD-=3F>W&hNYHwjkahc1<zG$M8fi1DJAJjpfdM<=v`yNOH zhj>!<Q)YE}8)4K#IKuzQErv{@{0nh1ne&dVHpxIseU$%gFpM)4SmH22XifGqKlBtc z%(V7_9v|-zsh7GH+qBLIm7|}PI>U}oU9lGJX-(y_C#4Kq7WSEmdlQ61Z~<kq9x?YU zc(PGHR_Y<3p}{!+<e}iuGD=LHb0`2mJjs)?3$+#79B#DgILUg__?{3K9R^_-m`6E% zk$ej(b~Mh*OF6c~rnRZ;Dq=)9q60jG@;i-C*}GUnp+Neg0m9&;i$Xg;^LlvDs=#sd zI~w>`4?#I+@t_LDy%oe?_BxDGW3GjuLk=ZANqbWExwm4Q!Hpt0dRVEUwuI^z4WI@F z41$8}=%MapphEhxTf^5JANc^O_bC`)jS(vB7b~^5C87Fa9hy1L=?E*fa8oM#D=V=L zRn)P0yh3j?La6b4*b~A-iO6J6%5H|%#PtR%8GMah0r1+N<<F|G)4mb{SCA^iq2`CG z0Rw&k<52JnS_8&&>1Pdv+YwS30{dJ44nyHOl!zwc6|WpCo_`k1&?v}IGe#?bA3S)~ zM{m9GEPN8!3kvL2X%xvm#@YvUCd5^&g)q?0hh|V%BpXG-CV$HJ<67mVBIc~u%oAMu zhS1{mF-fD7VLw^1E9;7-{&y)g`1t9&Ho19Js;sWv7Dt-i$KWmPT=TH77&*7^sd$wz zO{tGVZT5tyM|v6sx(-|D`<237K1^7!?x8mhjb~7UO5tv8CKXpiPye&9J8k8YE_EDO zX}+ju(APX3n&=4av6G=8<hI5$?Aa>e9t@_0$=)Hni@G!X_Q#aNaIR`&1b^o7Hx|b3 zj`6-i6U^_SA)=Y9pXeN;H^@`hGGsAarV7LP*VXVyuS)$0_nC2V>L&y8NH;<a2=1n2 zf#{mex|~b8VGl-)GW#i3?j{5Ib^Msi3f&9%PO{|U<si<{^(M5*|3h$$s6f9`lVQh~ zt!e@eM8ac8z4kEIgCVFko-W+apEtrjLwEk_lL{usJe@3bEBWT-5FK5{VY0@E>+m|` zzF(eVZI@9+rErn2hiLuX=MvrBWFqU^%_lMboOzevbMMb-f_!b+fqydSC%u~1PyQ#R zl_@gkr|n-<^<}n8msy{Wvs1sk+@rZV3c0c`2cLpfI`)qLhfoMu46@{9f6b~fWoUWq z=CO%1YfqP@**#R&*SvY1r^r5+%qvZiFf=h+_<VOyP4ePzDcW=IDSYG{=>qdXjy^g( zbdN@H%Jh?=-{E1(C-WbR%XB@_&&hJu;*MvZ=3Z}NtAJi?Kre22*{fkH%BMeBVi>Mq z1_a5Q26>7nV@7|r<m&S7zNOL)iX7<^CU5$#OcIG+Z$6>${}8DnDj;EFGGp!z_7$vm zxGJuL&2#t>%ZCRt>pxnv%QLJzeWsv7+2!y__);ORgIgLuc8O1w%C>>3$~?Y-2xG5Z z0<memECy__kXH9tO{3DSeEmZoL4v%?fF9YF0$zzfXEy^7wE?2ffoP%ZR+h3&D}Fj# z^~Wg`9TB@|CS@%LI~74Un#M*t(9f0sVj=E<hqn6-<FzPo%8z8;evX785GT(;oJfK= z!NL3p@=79h!N}x1{Q)J6+DTl(jNR@wT4}S1Z~QpBQ9yUUgc*A^L3h!z7#zO~X)`v} zG-B^VyuHfH8}IU1gt!}zr#kXGE>}+0RQ9H3nRy!>^=4aejvp-_PTAJ&W4&!2PuULZ zDH7~EtmZmSk8}3+1i#y_Tx-yszP(?iIC$C393jC0UZ^p0L&?^udPc?l@4p4%>fzdt zzfP&ErLtT8J9m}WRrA^}f7f|r6=RZ-EHvz2Gx^-B2~V3xiajj0@UZ?97fyO(i&2DY zd_VaKWn2loHzyQb1>*<F0UjRiA{S-9KOUzr_iL85Bs4Vgj70N=o#&R`PHzVYJ7_m6 zjPTUkI4FA!ke}1EaZz^r;;H+wQ})Uthtv3RQi|`05hWJHpb@ovVSmeq7ryJ%gSs<X zh~T&BiX5k-rS^8nE{tq4`!(nS-fDxH#olLxCj&R@fzDz;(_KbGQPP#(x%P*m>tJM@ zT-i!=KsmsL1L(qGs^6o5hbs(JQ^Mn1ycPES#u$-GS#U?u&*g{9iiNp!@$14%l;53) zQk)P^-JO@Rm(IWI#+RWBf){>m+Jkzm1l`Dadh_XgCKSb4@!jcsD0+<|bhQXg(vRCL z)L7=XT<r4m%@AD*JlqQ&N@+1X&I>-XPfuylIVM7Jo@cTTm?EDAxkjR)g?~wO{-^YV zeKGW@Oa{A@3Z4wVjV5P|E8>%1t-q7RvN&jbDzxoaCVQ6!Ubweq4=NVuI_T-mlYo^_ zKM8Kiej_|iLw2)I3$*C2!=X4%U)TpQkk6jpx1ga_XC|(fqY+hSuy<MEg~Kf+L?<)Y z2N(;(PM+R}qM^lSC8}`KZr(xg&K$$gZk&2T{qW2rI=t9l=iy^!B$~#cF<fOPn$@5o zA8?pOF44x;4u^7h5`#)YitlQdLj7E=B*Lp`(OrIq`mOVtg$mKey51$sZ)*J}F}yX* zYU`_h;&qW(eRkE`K3*?0A#kL+Qs-!~Ah_6sW{!2z1ujSp5PVs1MUEP;uL@%3j;a}3 zx-B%Kg#S^ccjCtn-w(uB308={j(?X`96lhJeJ{Kq`$PS1APobfN^ojzb?0}Zx^|%L zO1XuFo@mvqtyQ3hjM!6io3Q#ko7U=?!yDye!VaS{JQbHmO*+n%a+c}<h7N|}J;(W} zrm_t9+I3#PNv0=}H>2*Y&1rc8LM?(J@Lxf{jj}>Sywc%353lK2-e}u4t82N6w?}kx zZe^q0LOzV=%IB<`Oiz^DXYbphGP(aB(M0yCHJBJ$Fx@}ucVxM@$*T*Zfw&Er$d3m~ z!Dg`~1EKktg%5Hl&@H}D=pQ~Q=$c>^Mw?gz+ghm(9sl|?1E#M>xFkrTh=YCZCy<JG z9M`kqb2mnw`@3^c5R`wm>^s8H$ha13K+V4+{GO?US!_$~#&k#R<`ejQ-BCj}JTIlJ zZh`di`8g%6)fu3>46=m7cM0AYy2Nmdwf3Xf09wK?Wmwel!6KD7pUp#5dPS?RW-vK< zYC(yt)$W!W^2Jpt<t-c{H95<|dEplG^cHM`k-;{Y6>NiFH)WXC8Ypt~=|K)j0{T^V zR03`L@Mckewv_#I_LK1WYt{IA;?k3>5BqHF^4yb*_{%)6Ih@JnQ1FCfyWJl1{cOJ0 z!fiOXX~d=YQQ<D!WPZJ{!r@TJy^n78gpGj5+-mlQsV3;_uueR>_48$$m!xeQ`26%O zg#1t+e14=0W|z=it<}hh)-Ievm7q7`D@d}@0srJnc8^tp(@tiloj6}~A0oZz&p~?8 zyM!eB$@f`2_Fo7o4mm!*xiGT}wYTQ#yVJRqS5T*$WK$Yp78h*ca|-G%H;_((fOL`q zNGCCMQg+*SQudRW2n^ewv{p|$Z@-407g?Bm7Ltb>SyIAvEc4+emTNYRKYGR$lEU&b zsUTu}(gXpX(aiVv_<GkwjHQ9mP0ylEI4m>l_cIJo$?=OMPCI_|oaFz&UA0J}=_{d9 z2pyBAiRP&I@!3>1iKhQ5n7pU9>NSpNwgXEYwgW?*ssn2sy8~k#%ABqx8m#gMu9<%l z%|Hv4LhyI#G<^G)dtzOC!BWAv+OPCbwF&g-wej_!X@q)62Ml$gb4Hd?wG}^bEo_o# zy2GgyqFtX|QkeURQ<<URMKhz|#W3S1M>C^q#4tZCex)a&$W|wnp=KGyQ4f@H0LmN$ z-zKn^yiO_&ZI&ho{wPfleIrc}4xUsQ2dnK$GF1zD({H|0S3ElY*iEMUvn|<f=H@wT zpiEI{mdUMqFo|)_dW((?+<NvV?d$z1_ToL`%6np;ibgWm{!2Ign;VSTaod}nbR#jF z)I1ZfhwRkAfYV{Wtg;^k!3ywI0<^KfOy^HZuuwPh)YVwoB9O)*atd4<!S82j`r$HR zl>LNnsT19`mqiACL||d)76Xzz{rEZu=B)!)VyWz-EVznZG5B1IRJ|i?Ur3U#Tjs_O z=eM4p9+E^ii(R{if4s3U-NMxWm{MU?avM*!7jUH7(_gd5*!`?x{^akF^Ej9c8=gEu zM`++;&w2d%Go#brhJ&ec<He^#e-E^q^QXmJW|H0-(FAqJqcGOJGo8@Us~W--tvj{g zj=~@Frx7juGc_3KF0TN;(Bd^-P+I3tH$s$EklK7@ii&3a3{5my|H0fj<K?i@q7h-T zFcX7#N;1D*K6~OOBz#9DL`1cA#=>(G)L|buqF+*+Mls*~MQrF7bF}O&>t@p~wY<xM z1OqF$iPZvvaq?5*#%WUG4SMYa6j_PUcn+o-+)W_Q(I-I^uK)g%83D05JWK{^md_#c zCB>QU&VauAJ){U~k&kWx@*ez<t$hVZ9C)~%g(5e@N`O+GYVaVa-7i7ZqWk`nj`jXV zYsoAD@mgHifVaK+DQZy|Zd;RTG#0_o$)p6bU)=<D&S>2!#(+W$pN!70;q<>t%qd@6 z!_+ToRl{=$h`*+Xh3`7%<J{`LkL{q{8eR(^kY^u~V3^UR@6`wU-7yKt%BBfa5@zlJ zndAW)2hjZ}fjkU!->6T&B4F@7@{oYoEF$boq*yK)*He$a+X+%M2bA{(%8NoUU@H=D zNWsV<ibHfmwgf}7iUdQ13Iszsas<StX<^|Rj`@CsHu)Ue0lEG``I*|Z{Y*E#;-hWC zWX8OLRo;=8pTlqs8&snq1Vb*%-^|6G^HFSAQr2U`IJ0>f@{E;1hXIhH44Zs(H>IJH zAOWB~Fuk=Nz1S%2R_`S`WNQ>5!BDIR@V4YLGmmd!XSTx<478vu1=3615;xrT`8Wf5 z?_*&=d=CoQ8b$)fx5`J?_%AaB^dFRX<IpexB~cuTn7$;C2L@r70gfe3K3jAC9)>#t zY@JFlRNM2-FGJrcA7z+1#k?$xlli7lG+UFLF*{vskM?w+F~d1lG!^l(WLxEm=ls68 zCrn#<F~E3J6_o&_pJ*_{%>L~B<_e7dN$sS|GDh)YiY8c^HOf3+!CW`7Q*DYl3Zj<! z0zG{z0h>|(94~zMyaef0^KInX%feu$acDL7nCuN@uhtECC>*cP&a8HjX$0$L*3KbF zk7ZF>BIfJ}Q5#DoB;BgFA5CAO66{LkR)m3EsDR{;c(AEHF*}QJ*wM%7GqA-_>TDR5 zfEggF{M`2Irq-@>)?-dtWB7$wTuUZ2B3f@Q!^=x<=r8#*I*+W?NL*I$D&zi?ziT0n z@cV#_Sy2a<(O3>{s%EC9Et}R%U5K<cY*ZYnIniXE9wyBZ<o#}E7gln!^+tNUkIsZX zVw_dvTajWwwuzDYrrmr(Y(rRX6c&$~Usf~B(SLZRYEK?|m!m<QahapOS2tIFv~xu! z*l6#tFj0PJqA+*m9~5SK_xE?#YlHbFhr6aUngbU*6d@zx@hjGn0m6wiW}dR<WerQp zxvWkR2E8vP!`bF#-?y7M>6)dZqgKjn>TdM4J?nS_s~&WnXtFxA4dk}=)ZLi$uD|Dk zWWWrutwNQh(!W1P)-Vf=8+pwe^~JrK`WOvfEyHE~t=o?`w{!Bd<L4C?ObwN@_S2ke zFL?G}iQpj~ziKPA>$7Y$#Oozh*e3A&Oe?n<!TSBGPmvi*&kw%!Azt5n|8H2apu>&v zXgK%v>5N*Bca>GCU^o1@E~3B6rzFio>G3_YnFI!_=-!=?M_g4_0E3ww25YdbPseu+ zzRqvj&cK3_G?!C6r6or$aw-NQ9<s3wMH*fWDJ(IZFdr+Q<{6xnn4iNPV`=<#^l2nC z%nyRCuUQnficrPA=?0o6%}j8~S}ZKqUfaLX_&t3@V_jTM*fN`$S<Yk=ZQ7n$Cdi{f zGNOnk3%)wFBP2N%8V{%wBD^o+<_Q!bd03|>Bv$d`hL=iWO^0)H9UdAAF#P~iCAqWv zLaTk;quEs|xK2pSx)TO#xDXE<X|cNd)p}YDd0$=nY_F1ue2+$T1P>1!ReN@|Kvydy z#>@(%`U#VrOPKDzxcNXP*5$7TJlxSGuFigio{x%;z7cue5*WJXRWhvSJO;m1{8}FE z-w*^ADht0-vFJ6CCU;r#`LsPfj+UqBa!FEXuVuBotN>SEeGR{lo2(j+$0WO<+W7qa z(<_)&@8fN=Btp>eeqgtK@m!;#G46LdBdf0cTd4l`D#VSmgTFzkAMN``Jc=HUUjd<4 zae+I%ZO|`c{uZ&Km%mkgq_h53sNZyC3Y?*)J~rjULf$_~Z}`}0{{Gh-t<agC{X<&F zf!T$~_DQ->9ru{7N}VqAnUp;LVKSPso?GJJ7OzWPm$=KQe&80%0fU<;Hg3(Aj+Obn zc%}m1hV}Ns@utRd!aLU_7v~a2*0&e8Gc$}#=@=8|1`BdH=2m<wy_wv{>HJPPir!i$ zqLWh{iw8}*B-x2|viGh2_Cj<$ufuiSA7*?|S^1j&V9l#H+u?-ZwamrnracakNZZsX zp9~7tZVC2V)Qp{USG@2(o|bGo-@{q<xr92m`2^33w)>;0R6g*|qLKT0M9UiItmW%q zx%{k%xZa(9<9D{lA?Q1t_cg{tlaO!!<D;I_v0-Q0O1z%gq42s-I{d!rXCZE7oo9?9 zi&>`%?c7c>!_}>2xJYicTIE$Ky1lU7rz3KnKAZ&NwOZLY*B^zn{JUxzq%PXB$#6fx zNkMx{uz$`|<XEQTime|S%_}CpixaTXwBGnLuidDJlYF{MF``#0jHae|*PY!nCDO-h zhuJ(Tz-Z#zuX#zFS<5|D)*89ALR=v;O67^u+Q9>UV~dWcX7n|Fdf^D!x!^d|bW6jk zo)h-Y5F0*ioLYSe`>liZo7Fs-t!Ue*59;>a363fQLK6C4NLqrBd;>xf>V!G4sI?^R zz};Ftac<Pd?v`YfRAkhr>V`2Ya>HsKa`|MmHS$t4({!mxW~Y2;>(%BM(u;0KH+cr3 zzSvNM8P9?vDyJ_Maz{y?ID8|M>|BvXeI9Q8sb!-~)j`_@%DUyPk@hRZ8!D2XhlQQr zZ_k4&@_4Ll2`6Xg--1&WGq)@+ezO)dHHVLr=@IKx(lvi1bp1_t9+%7W;*53ueNf11 z@b`a1t&x=5v9GC(d3LIHjOL}Y>vRA55xUNlMH}@I*;kBs;*^ZixYKHb?`*jkqdrcz z1x8KK&`*7CN~_jLycstt^ev-4SNkl24{lk;mdbsZMQt(s)@<fhsXs{isX#7kx<W(o zbPqil;Fod$zmx*_WiY@mmn-O?JHLP>!oRO<3w8sPGMxn=m*qNG?EMuH83)sEM*i+` zRA;rK%c;1Gwrw(946&M5w!3M0;#gGXl+<~$m^FZul+7VQrhd;`kdx}7)42FMh+Zfx zPze2_MkM1s^`uwCF4Bygr$;q`*dkI^iG8lH7`j;*4~GBgV`>2-9_hs(euN)quUW++ zP}<!1WBKBjZge-oNk5GjT%$%(k{@x+b<K~ch^LJDJlzN>NzYi#VQB$3Ye7Rseare@ z<?^X7ZhUQLsVZ82c&i+?XiBq)%_ZB^M0m1rG-)2ExX&K-qRL)jzU5OmVpON`GGb}2 z=pdiy|J*9b<CS+dOUbRk<CW8?O&HvxR<ra&@9Hp}<=^VxNRc^pN2Sc)ds+I8$BAr2 z>F@Ds*KsNa`scT$IJi~noIA9Sb9q}gAC|d&8!s_ddh{JoAa*ddk(&Mi_8J0%PtD)w zBu2{m3CuiM8lm-eFq({;s$)`1-%+CFhA(_sSRK{fGA^A2B>nCB4(ML?uxD_M7?!?6 zeFq$;Y4aG@dIE#s*?I4rg*sXI@~vgC*L+o)V)fDI5_=6brysctSye0^uQE-mCC51V z#_8~czwd~wn5@jsL^WqS*B*cL2yUI1H=Z6|s4$){R16O@-SpbN)~{K#B{|Re4!xoM zc;Pj}q4Arhvq_PoM`MXL;dac2s-pEb&Pv(1s8#qGwfhWboTa{`#eQ1nS=EvBUGopR zf{H72UXS<7j^jTYtuqU8wd(i{eQBxdxdSr;<2@yrXFu-s9(ZIszl&(Gg1sR-%Mlo~ z<}^{qYSeM`P!ar*BlyTR=J&8e5ZLe|u4;IPzs3^XeY2phmSR<XnCb4{6|*Km3eCm& zAd3IttGq#)HrU^9iaUjoIwj!8j!4w|@(D$|Pb6!{x|(opg4wIgwR{!KlM%WI@1x{5 zZC8UF#@CxDZ2ljjg^qk|aY@1+()z8m=;n5&8Lj|t;{SO1>bR(yFK$W6l~`a&1q4}g z7ikrhSdea(hDDHW5G18pN*V#_ZbV8-Vrh|XDJfA&5kcae-}Ano_m6ww-kmsi&dfPy zzH{cx*Hll(O-YHi@ob|Cr4-L!_9tb=&lq|J`F=ec#+Fywi)#<_*7;Npm}HhpHjCLb zF?<Yoq4ps|q0+I{pzk}e>%4*CqE{0I-DfuB-02uwoHoVho=czMg~p$DrU8eZy6V1- z)1ro?@9gWS{oYqjP%z^b0bBj;B!QjZP)NUSE6_-yZdZr%HjWnP;sp8ZhG!bv+czCd z7X>eiiJ6}^89G3}+Seg}m*VJiUkEf(wcFLn?|?4R7i8!_N^CF_(VZ%A`{uvr!PN_t zK`PbNjzWegeT#vWwAy<)HI57t8~pA`0L3I9epR0LYTqW)D;AM<-0;f_crWz4Unt*A zSyTYCO_PPo*b$B@$Cr!BZ)+S+ZN$g#w)oUOcwrz#rtaz3Ivc=IVZZ3Vl5W2k{u6)L zc6dQ-@~GjL=Kt7VVEKna$$pXckEKq&5`EKxI{J9wPm2{Vos{}zP<tGx>uD4JOk>1{ z%;$+C`Y92=bd5|F*<4FTucOma8>-%%fN~TBdF>Z11smELA31%Iv7+u}kOb2AUHkg| zme3O57xNY{dvBW26fj!|Fk2rmyAsF~W<R%}SZT>#!yFcidiA)v!F%`q?j&hHbe&aB zLN9i_uT-s&>LRL9bM2|P|FGV3VCc=!#QVl7#gv9$<e;t3SP36CRXQ?HHT*&iFX*Ac zU*d98EQ7QDRJ0Jm+FLKthuYr4=n@mh8l{KxM>i|8{hhBQT36bq5}p2>ZEQb&E@Y&X z2x~LF$c20k)>m^S6?(_i#aDtlTqr}fx!U0^OEpmKXBme2stNR&{Hj){PFdV>Yf`N3 z7SQ5%kEW<t!URn_A$yscU=~G2F|MEVZqDCa`(qRD^2~6#G~3R3IZB_sJ|4Ojbxvbd zlCyZ&KCAAyFe~m=?##bdr_SwN=y^}DVqatW+jKlpDzS$^naQ6QhsDKz&3q3Nr-yEX zCBO39)ADhZTSDk6p5IiJhd%GqDpKiQk?38|J$!gMls4t~pwZdxYnj!V#|PRfH|LYj zV$o`~GZorDk9R5lRs1R~7q=##jhJS*>zS}Rar(Ka0=rOAa7zA&@RaNk6s#CiyexO# zd6wO17Y@-|IdOkW0-?*~fiPsk;x=;pv{mOb>l7aGb|IUF)IYm|d3|@)OqvTYDIDA? zD7h+6O9`1Bs^Edte;cbrH=XfU+_3AMDNYHi;o2MW?R)g3)sph2V-?_ql4p7ac3NQc z@;3ixUEjxN@2j5K3-LVW`K<v~%;{yfZ)c?OByMlV*~N(gLv>CoBpPE63{}U*wx)`j z%B-W$?s*Z;xfRFnHqLT7vF?YTQfc!%9R&OzInUnuY{d6f*XJa#$&sZBHbnaK1MuE% zKfer$*ei$}=IO*(o`}BqdO+@+6c2`x<x93e>f5u-mYMb$p22md?=V^VFJSxA1QaK` z?A+cbB(&|XVt^{`dR~)_8H&%|E%IKkFg5JfF*`~&&(JSP2ff%D^x}5l-F0jELIGG| zmcR-lmGX_x$&api#h87b_=3yhgzF2*$Fsy+2q4E`K#s*63?fnI8-xXZZrJf@&wTdn zcsI}Mabea5`>%!$f5yHk46kycl8^qT7mZEo1^RW{4bb?QeH9w|_;*Ni|F*z4z0Hgi z#g78#7dDJR^*`kO9s8?(4h->u3G2Ju&R?s#?V&BeiRdA4BI*E6M4x~Y(HL+d8Zc7A z;sM2<3l#rAMFb2eeu~3gq_yt}p?ljatU)!|khs*Wqr2twCw=?rXm&kW(ljy_zbf=< zu7vigxrFX2i3`|hxL({@3)R0Q4(AJy4H^!Rjf@VE4J8kdjX4gG4R#NZ#nubTMxZ*H zzg1z1E9P}52%9e98cTIm*F!6;NjLkAJ~OAyV0P#-fA7w=hHIB7B1X8kUKWLaln*A= zLq6D2Y*IwTZDrP4*PRmzEx&0~;xBJ5J<Hgb)SMpEX~&#nHzpHQtBpndpB-^K9Y=<= z-8l==@B(KhlmC0Ge%-<vx49Blc4a}}I^J-0i(w>B`ki?r;1uw~vtBsSJG(82R<2hP z@jNUcux<{>zFZ6Ttj?J{`m+7;zlW3R9Q`You8g*m()WMav`H(za&(oq*&6iH(EI2F zhq0w#UMpCo?~g)pO2BKaTYwn7M=uLTKXvLy9PD@r6U^lVyw+OLXFWudUpZE!o8_@( zP6-xdpQY@5Z6yZGuBsls%r>)m!@3Z1dHdMD=`-8-+VM^Qq10O|FD$Dp5^i4y=9rag z8!wooyj*#4%1fE_%52jyz_-Nh?fHw#cwvVsue;HswP9EG(Sh_IH6#T*dDn*YrM^|f z*SvqMVrs?Z0cU)JArZY}Mw9;dmg|rN1h>2I*;jIO+mjv>yB1wLV@^6_8*^~vDfm6+ z^y~gK1x-R1(vX<+%PKKv*NvPH6g1ggvEf49+#y_Z7yf@<t9KoJ!5%g&rO~UnoJIc} zO$k=sIV_5$^=NX#I_Zs$O%D-x)E!a1bDS^y`#X&2{_lnsf|2?)DtAYTZWiV(at~%T z#BjLw$c2^^E&efYjs+t4yP4AG{BEUmYnk9O?n0P_O!y!-1!6FiotsHAKiwP=en?D? z7&T|_W8&|Ih2UV0h>i$xG&Vu0c=6)mHs*Ia$IBD4yXhCYf2c8}G%AJMMKHcwcj%Om zgDNQ&K})uLh$n$yS?fhN%)fIMqAr*3&oA=CIRvZu?L6M?$B+%FxMO<FbfCzj`Ht-~ z$xlxJhV)5>6W~PB3Pv_qW)vIGmmZcLWgj+cBb!jxR4B$loadXPMMW4*jAnRSOls|5 zsVKLdV?7ro))uv#p8lDC`G&oKNH0{GjG7yi5Q`$i6Y7^MV0q2cule5{sm#>&fVrPs zE}~Imxkw=Sr5$<f!QO+UK5tAOJPsyFe%7*Ro7XmC_C1VT{;fL93Igti6S>~cH#jz| zvU6`yXA#4k^Vk6l7FtKo^zHSg4Jq-C?5z@b9P?SMx9ZP&jSddsiCFJ>FQYb!o|r88 zHisTSkp{pedu1$tHn0dh4J>e=7Fv7~($@xUiq-0EgWinwur$TW(lf2rhJ`m<JrnO% z4dHGJxS6b?<nrx7`!lZmBFe^ec(oCYb@PinypPPR+-r<`)_K$)4Q*ls=`%2I66%w; zE9=)(eMY4jC6&S5&?dAa)x*;7uUh7K?~qrav@-UYUGlhx+$_s;k(<a<$jr8B-3F^; z@z9vM=|6q*$R_Jzjy9x4%q+JG@|h5v&OSo_l`PeVP*N^(ZkY44ko6+ddL5M$ZGq(* zUj&w^zVM55Ym>(wcFSn1Amg~X&riekr{;M8uT*2kZkjz+_RhQ2f$!cF5MGw<w-4*5 zo&0V>ZslF_(vi1#@*ll(WCXxr>%xxM=N0u;N|JtO%istlr)3!TjI>A&dSzrsY740> zio^QSt@7a6%-^wPE-jj3NYN8vEvg=eTmEHtY)Eg%gyMD{3?vfvm;-pSSLTcblSds6 z;K?=mjP-(q`^ellL>uM_t8E_jE0`*p;@u<43@rOlX||5e7fViBj2x>Yi&{+SBmiIS zzOfXwy-Ec<#nCfarbugON{{Z%D9DXJ5a0Rc(Bj^K9J+J9^}N6tJN`0J`drI&DR!$4 zwtQ{#=?3{oW%!(JH_4(Z%rcWyyKTDuOYfUD&D)7Yo0IX<cga-{hL5*ZC<ggXcC9gr z%Z2(Jw6aJ4150rLENvKr+4CzXBT$biRVb!7V=l0^9#xuKN2bpC;Qm``G?5r5#mRu- zWwk#fhFRya7XuPc0ADL>$Dm2EP`T)3>!}QziOd+`w8ihfm<+fWa|Eq`XLK0xoLwHf z7n)~f8FUd7&_zl$CF&@@IziP&LYZT_k($jNs7xyDHREqC7>-5f-*G{=og!Q%knO*w z?m0h&e+0$UFAFNuP#njoL>f59g@vPS@~G)S>vDm@+CgCg#^7{-kucww?v&F&lTxB% z(K=1*y6HtL7IlY?KTL21j;LEG+L#uf9E-THmI~L0NY7>AxO-xp|6=dbB=kP`<_#CW zjTk9IJZ?&7Ol4}|w=Rta11d|pBih<eBZpLuPx^V*EhO(((IIX%1@!_pIj4W$>*LT= z+0*w3#z`TWj3i)LoINfB(T$`%O3b*aG`2XRg?;eqEq>i*%Zoh1?UN1x8`5n7Yb^OW zoTRt34P7($BxYz*AXy0c-zCz=I6O|{H<hV!r*F3&6@Y_xK8IHOjn;f$yz!c}8a<KQ z?64t|wQ7Kh!!c$%=CN;~Z9-cBjaV?~&v!l}sY=eu6U>mWMkjqUa=9w+4;gpNG<E@= z=>nc<ymQ__Q<RhQC*LZkAG)ba9$VM%_JL46_Lx6>!4cG^(b_LVy0vR>1qRFWDLEg) zy#n>f*Uk7%vjdsU_~M^=B4DX$Xq(6)uxRi$tZ(jxq+xIqN;Q(t<yNe4w7uiMSo+&h z!lx6nruekK#fJE+M_3VV?A2YYAI7L!1w>@Tl|LdO25o?srgfCYP9N5f#9W#+<Iu^L zF+g7S^d0u@9lX_a#$bIxSBXPwMY>GMShCcQL2FLFKjZh++v#cDY3h$%iN@~~IpP}f z{Uif_wd4MJXXxH<1KHmj?B`tY@r0Whh~8(%+tg}c)!&x3c&DZW{_2NP`>(V#shmh_ zI{OUWj}2{w--{S@DsA+B%p3(5XFAdGr7533qt@3v{UP4bJ3Zmg{T%(g`^JkA5m|n* zchsq18DERGSfZ)~UVS5L;*0+o{wJbIr8*UL9scUnOvEQL+HkaUHwmBWK+_esiYqcO z)!@^NYM`U8Q1-~qSea*ul<R5zcG~<gz1Z8F7Opchg8J_im&Z;29NmHfq$RuOFB(`f zM;70!tBno6^W?zJELPC^UGVzujaH|PZ7bKj#<*UMWKKUBzZXckP=4QU<T|`icYAtU z;A!L)*f?;bhRrfaJdo5haC1_d;&-8t*o}~fbZFBdq2D^WuzWKg;X9}wY1!Inwq~J? zcxD4is8HKYb1=;!czC?ap8e{CB);ucOjkA&{ct_I=_4GY`WfynV(Qqp&b#$*du#CU zaI;0WwsE!wy|-E&dg0NZsUY+Q<>?mU6BM}fw}LhF9^lUZeVX<s@D=y+^*}c59qtnP zKB6-=)yY22%0D`C(;KwUP5&+_kKy|GB~w29UbZ&ROyzB08lM9C9{~D00sRo~6X^D% zcXE}sjXnWFdV|i73N(D${RZ42!+hK9XWEBe1UQc`aGL0!;@P}3!@qi#IS9duzAVeh zE_IyG39P^URWL1}|5?1?OkxRet+)Nc$g@&x_Dfu2<RA;L4rQ|X8LvmChRr-de>)mo zGdf#u`cB9Z<gx;}+~NfV1*#8p%mLo~@Ki|)c!X`3@?w9W{ehR%>$A*8G0DCmp+|1P zx_j?6>k_l}1v8tY)RD7aB}$ujn)=*>j@p^N;0-b0&z?~dx-W&aAv8w*SSMhDeFYS8 zX9oKMGC`C8c|!r@ElEGVZXO_S$(?a_)7lP)7p6-Z3%g}&N2-PL*<{e@ufBUYzq6;| zhi9|7zh03tcOEeDH2SzdL5{kU;ie~4msyCx?g?3k!(Hk|0RJum`1cq1f;ge9c63(P zJ20VsUE(EovVX*4GId3N`j=~ud-UZkjrGQLVzVv$pNE6&f6g~Z{`tAZ_;gfq!8X|* z-~@&)_j={)bAG59e>j)iGZYd(&)W-$=6*DvCe@?3QbPbHChXS#XyOsW_xjVnC(%-z zvOd5en)v^`yT23_?YQlcGje*_(QEnp&!At+Pw6$xu=m(k^&#ets=WY?KlYPL1`LZ; zrh9EsUuW1~F85m7l|OK;OUqbyirK8v(Le3NN!wHkd4^L<OMY_6VZo%U$04TffgK1H zX@tW7)5+d*i@yA#d0K<}^CGfUZ@4w*>mIT8@*<0eIIOOLaYwLaZhqvCmEb29khvOU z{&Mf$&RRti^!RHhy>BYf-YfXN1f=<gyfHu9&uRwOu;$hW&g+JUGB204OyA3!J-?mC zGraz_CTF)<&8Ptvz-2Vg{EC&2UNC;hj9K*g;bzw%Fz`LDQY-Ts?Z18u^&jPQe`{W& zUOe|LiP7ud#tpE2Bk~;>%a9xv%hva`_NkdG@0Nc~lj`|+VpG7(N*?a(qdo1j%v3h; zyd-CLs0J=1<UhUco+A{P`O2WK?r8b<#6+O;VNFZjnWyLS$D96+bQq6+UA%YE{GB~% z?bD;a^EAxdXx`5Bxfo@%^(J9`WfmqZ<$mRdqZ`2eu-DE=3_df5=rIJI`=jAt;dhPX zi=#xJ3A36@Z@Bi-walx}YUZY!w<u69+vMLtMAnpp?odJ@;5{}3ys1=StFffAZK7^+ zhoj~c`;_#nAzd=YBIwX>#L=$Z=A>#&{5ZHzvttcKQ|ks&(=X}0&koP6rh6NBx(PTJ z--!aPEH`cwHm8>WsbrOYi)m7~me@-oU^yOSj{|*8!B5zXe{(;*!tL0_cc21bzPo<w ze*p4e`$wj|Ts%H*lh!xl`To`e)wb8Grtp7MK|NedUB52=-BG)Rn+`5$_|F$8l`60= zvp3@PUK*L|Lv<WC)(02y&FB+GJ4h2(OjQsiLT;#X#@`&Fl$7~7?Mv`KY;1~wi}O{4 zjzeEKaAW$VjNW??ZFQ>)D|5??916m@(!Ij36#N~E*4~M#6}uLWoz@nTZchxn-M?$& z5Pf1QTR|iCmy(dV{jCkIq+FE<5^O~T!3q#T!mEhlZY+|qus8lASFY=EHV1ob4sf+A zRG6j}DvS6PD$AOcH|9AjZ!q<<W4&qcBQE#JCkM3~6+gI_dD-YBNZ1%8c(S1quxxq> z{D*ulq27B!{5bN?*K0*9RI3duRO_)TRBKf$R0Z+ZS=>AO;)Sr)UyuLi59H!Z(<y?< zbJiJ_-g?}<J=n3^Iq)*~59{Bp0de~%=i;dSiyR&?YU3l-{kLVI!(g4RdTKSlSuZ4d z?G;!Q5eJJR%?2TS-BnD+ez$Z&__{*CKM^{9!906(_vDqE(IL^RPbdYkA)?JN$n+|i zOEa;4B&TRI2TN5K-8VF4HDSNKOVON}UocFK{vJ+A0xY+zdK}^r@C%BCNoYG@T^i@0 z-;C?vU1u0=EV;a=#xhqMA6+ZSa@zaHR$J~V2YK|&UHt&-%(1IOdT@)*;Tu<RHBgz@ zKNIM#Fw}~6Ed#iBbi>?V#;P@A&8!-Bq;&YZ3LMI%5g_Gn0i;?2ka7uKfRqmcq&#jG zAmuF}2VcXSR#xenG19mK<j69q!J!b9;f=#Q;WHRZm{zpFr&U7-c47dmk5$nd#RN3W z(fqE$rRKk+<g^Mh6}?NJJXQ|~`}F@n*cgD@n?D60?6G~Fe7X?;VY2`TYdwomMplHo z(_ySBqr$jGN<k&<fUFOIENwtmI;f|21CYfH>Os~4vPc10F*R$(@-Tf_j3%iiK!-ib zaH#PDdgh+5OsGTHOFI{?X5A1zoazeE{DpJESDpr&!_KH%kJAuE{(sDZ2Y+3=M_(6Q zNZO3{f3Sy;a5w%dp}&418{zd%>78L}?VV~AVMJ1((_!GICh3cfH|p1JimqyqvuZgA zf$^IjDuzu&H<#qbWEIPitu92{Shd`zP=X`M@4K5SgtU5?9Y-noycr&W=QL;$x#$M7 zs9@<svqzBX4Ow7ywb&Trlr)1ZwV><GP<aV<d8C?>X0Wza^14Sb{WUy#7bhP+&3gx( zbTLbRZGg|kKTFaj7OUYfOVR_0C7dfuplTJNv1meJevT^!w=+GfQx_n_8BTY9IS7b7 z`1}F&x6go+Vq26Z*S9>8>X8UdUI_~GdqOdInd#a1JzcV{S~UUPpc7t_x~D|cV&!-G zxascBRR%+iHaNthD3{(SauJ3U1lk^|3&YnOOWA|7KStleN?~@Y3vPV%=oMF$!j8!+ zAf<lrblrPo2A2-E^Wh=3?fmxZTgaaW%TJbnM6SP!gT4B0OO#$wK;`ZMwP=jPj7(?- zzm-x#%075ZK_E(F9)yzlJ*yZRz!WvE&q;c26Ds6b5a@XLh0*r1{;z#M*Kbu%)Yunu z=p|(z;*KL*4)A*5ktYn9*jlTLYib#HQ$(O3@V+7T9tlYbgegR}trF7a+2;5)r3ZFe zZfVUbFAi7tqve#x3s=&Nw9tZDG(&MPc6m~ik|tJjy4p-%2(|fd+LZT3SBRaEKnTsA zP3US0ipSJGbS)Nz-!`on`I0H>z?2gyUCLPKU5X#Bz)Ny~CSuPnz0<8t*XvyzO!!6j z&3af?JvZ`|YE9#uFnhY*FB!V-v;e8~s%Hw&0?%HAk^&xTm#3G6^{_9u0Cub5n--&{ z%Jk7FLY@<k+di~ODpoGNGyz5{l4#zB(u#@BSbXkJdb`3uH+xmPA!O?Kyp=(jy~|&+ z(ECmV#jQ=mCr(L<Lo|f*VL}f~eG}28DyeeV_HnPWWXJf|`iY-hAiK|*&6O4eZaT=` zV=qZ@fC3GZ(nGSpf#~y<R5^GGIs3`EnUEKqtf1F@Z*Z7obbuWfAo*P4P6P-qU=b<n z23xCT>674yAdLh4)KZI$z$4`dmh57DL`-;Z<IQ>@i*QzA&yA8zM6a}DjEf$`GAqfA z8k0J8#j%w50oGK+)5Qu`auvS{G`yJQx0~;{tT_>QaFBq%{q4F5*J+d9^vbwwbjND6 zis1uvNPQsLWjvfza2|o%{M*^^SBS=m#&WV~EQpW+xI1@+`iU}KrO^ur&kcwh>SH8} zo6CDCo4GghkeM#7CSp;K7s`8~UkZ~Z(DANO$tY9VZxscC5q`>%q!WL~=7OT09m|=m z%#}NyeM)++%&d~y<CV@4R&^a-HeJ7>(JcaBuNb%c2Mttqe|nO(uKq$WSr#krHc*R( z5b!7TP$`4)Ei4&>d;+2MNa%r@6g|`$c_SxH@0lbUyyrHcKj=0vrVSzZok(My4wuL4 z1Kn&Mbh9`pE}c)FbnBTW_UxJa?b56YnpZy}bK~Q9&UYj8a^moRt6%NYm*$E+ZrYp6 zn>JfK5}T5=-4mCjyF4^*cr4aXv0-H{I!LxoF3YM(F+*)u{ynm0)}yyXo%L|=<APu2 z)%e_1$&6~}s>7VI)h{IpPI<zTo~`>caZLlVZi+4%5IAIjy(d7DqE;Ou`x7w#-X@}t z88F`vqTQBwr0A+d;}h)%k02BR{A^(newfsAV;dh%aj2trMj;5on+#h7E4ZbvmnSQP zKS~^U(SH+sEF+M8>JY}gA&Z~~Zm{)6mZR@-5X+_H9zyXA1kMv4kEf;xqDRyWvgc58 zzzdssA}AO(5TAS`DO~j+oLNadFr$i!dN1}kWgo1I3KqkXl252m$b(xuxVArBd0%SP z9~b*;aYj_cYr|5aQSQ1O*U78I&4SICUHY|)+j=hNNiBGzYhc$ygP7~*-)JQgFS}4o z8VcrRfA<qx>;WV%f#jbEjb$f_#$iSg8xp8V_7tfkK)BBlF?IXU)D6uERS@ZR(c#34 zvklF86e}l@mjJsbnz)*b(#p~!??M=Yw6ZTiTBi>xoX~oaZiEph-j>bXUSlvqOA=tm zqKW3OQCj>u<kaRdh9t{_^kt9NFCFSoJgGLJ7G)^>ktxN<O{S<?8BU~LIU_|~{YMjq zJKgltT@NbAXdJYkVt*Z33`O^ZOj8=XrD7@FW8^Cg{UybbUdY)&MIq7)ygl$ncX)bj zS>+{)IG05{lUGCIv{-IU9UWZ8q-rns#{v2J?q_M7x6mYYj~FYFL}3BdO;6}rP~2bd zyaK|19?6&*J+^RvLm%&#iv^c)r%hj_)@5_zU|zdCpCGJZbJd(3<CB^(+fqsgDhlvy zyG_zseZE`!KI$J<4y#Ym3eb2U*)OHY4xT8m@BQHEe#DAvuGkdmWvi-@o2yEIwE`Jc zg3|gKnIZld_&hsA=?2<n6Ur}r^z8U%aGACx>R?d%vuNf*{>q1ShS&Ac%w<svKL<T? z(FwK)kNIk%t<z2@`#o<-t0uCci<`?S!hQPo<w(i*A^a1qH>$tomJ(YJ((HQE)B*=* zXgGM+-m@I}7qT3U%R(5d2Q7krxD7A}0O=IY(${=1f|PB*0!yI92H#WSxXlRJZhc5i z!;&bA4RITAc>*E$nbt$~Zv)Y7Dj8E}0HOVv+5>GpB5(L#9h{pIpB&+2uF6=BrR01V z0}xm~Kw!ahn7nm_X7p)wpVV@8>L<UZ5wr_k4My>d0)3E$!e<#*jJ(ej<zmQ*RI|O? z^(?kfBH>E{olKoc!@+$&V@|?;5gAt<PKpYk+44{_{9}rtXG~E@bxzVPySq4+1}eW9 z&8I<CK;z02QU58u(?>^lx49C3nPVeHB&wk+(T{M1#O%gq&vUhA_=?naB-m<Mr>H<d z?g=%29Vas~OKo=Wou}_ED_43!0kr;Dd4+L(eqyL+v7i6f%eL1eQ`9&LR;0?TW{|BE z8B$k)x_F1D?>XzCSAGGswc+SMYI+n_qZNC=G_4rg!W32aOj)u^IJOYYWSz#Rc#^vG z^Fq()K4-cW0!vD4nXbutysMNhC{H+9-c!)b)$|lXIs@z(Daq$(z6iXT0gFg^V9%tm z9LYB)lVi)YdJ*zie3jIEs-!}mZaFv>sg0#^NX&;aSG?0&vgNf1BO^)G_qAnAM%*=< zd=YA1ivs3Cs6{Rc-(yxW@`@>HOq~;Xu2(|&lqa=Ja*LURju4$6Ockw5wN^=nq0o;{ zG*2hfqDXO{xr?e9ou)TxISq@N7Sv(q`aMnE<pRVc7!w+-M6mn<st`}8#hW+`#gyC7 zzX%kp56B0J*icwS0*NJ%4<Ar8F%yc|gfkp7htuFmSvB)6sp<A?gq%+I)b7!<rNm5* z2x!vg8;e91Vi<b_JNJM^=%E|TGK+;9#uowaQ}ij^5s31WyDbnwSg`R11Jq$#4dljp z>XJ54%yK|6r}n_&HxOO2Kr!boO#Wbe^(<oZLG!=FwEgAkA$GGuaNPnI6}YIuMKfd( z!|ay6`jMp=C^qC1b$Gm=zL)yZ5vzf?LD3Fx1ck{4;>in8_%k)$ZUxDh!>2>?k!%w! zv4|&F%*dO3!pR~Y+=tHRY3EUH%6kSGUlWrM&L?Pn6S9rPnwMo6GG5AiRg|=Cbr#rJ z+eZ5#mn2x`i~{l9Idd6oEcf%dWam52HdB`>bmHKqysiYMias_ST&Kojhmu~eIQpUD zh1tvK_$Dn>hVx+i@M)tJk5|V2rkUuf_SI4xNxi@I_JwJVx#O5TWN|Gd`N@yYHOm0J zj7TFlljF>4Fy4OXT^2QS&6+_-iGii@MDjW8ZiL@aQV-Q>`CF={4WLhA9)Qnp1fS2p znZBNK_o!~Cn1#kTO%`hp#<DIjwG(Kpci44__RF=P`Dsx39RYddxuRxphgNdF+0xR@ zBO|xd<BI!|9NxK{*a#_1b5X&K_=2Bq#0k!WkD@aE#ri2bIW|1rYTC%wOBw<1CaH1m ztjM-8&7d?XvO|;tHJfgx^RYmS=rkYOFD0~qJmK+?uMUtv4J=30G7$JOurVJ1QwrFa zes5%@F@R)sKynyM@s8HJFN>O$$#Tmaty@iras&U8S+qRTWS4g~-ZV)`ZhTgQ__QX; zE+2pnK_aPACo{y^Lk1Y^WeoQ@``N4nf$I&k_b>rXtp|~<OzI)|0W>wSq)M$OM4LbD z2xi`rtRVfsDYebdYYFsAqs=$_JHQ6?lBB4Ug>e2%?t!%y8i#_@T&T~LHDmC!l2?nS zw6xpE-W$2eb#gU{3{<1U_}<p?+nBmXx03oX)AI$HYc4%xO~%`2V`4`aP-7dIEYKwh zH-3oHVDeBL4pSs6eRg-dtYZu3^67&yb#`ke&nr+QjkckfR-kKb?tW5>4V^1WAZZn) zv5ZI2{G3(<OHJ&s)KdE8h;W-w^HS7bX$4M-9?@?7UreM(Elz5bZK(4DpgLlJd8-SQ zXPMpFJBKV3NuPb_{yU&%H97H!?eF$J2WmDy0j51o5soenCK=YHvJ4}msnmIj)jhJ{ zjqcgqOc!3`>)AC%vvV2GP<PR>YF18A_lU9*HCGo<i38O|BZYNUR`5d>7C^Uw#FMYG zUiWt}@;s`#6Wk+}FOkm~ULZy0qN2ba2b3ErD^8-UfUv{^Ot?J9jZl7%s#6q}@MZ(< z6$`Lx0&&}e&q{<YEue}9lb5<U4F9-haFG<irTNr@J_8l|#i;r+?qxfj)Ve)6D{^E~ zGiX|htPb?0nO&YfDS;C9MjJ=IZoSxp&5tM=<1s~S^wZ<563`P3mmZRqH*FO6f3`<| zPhE<+aP1SW>QUjU?yubKmg?jZH>wjcSG$^fE;-t$<W2{?jkVXk(#<Y4fso$vdx`GQ zFzOcM?kD_*79-SMrwQMp^(CUB(8j}&&>>=Uo(4JD<+FdRxFe%Z*Sk{`OxUjbCO<yW z9eas=9na*i`(#X=2zsge3GsvokGT9!?;SchSY<FwR_Bd*DVf$%zS}4xgole1p&NDf zyP%ial(#b;DdC*6DbaO@OKto?{BYXA--D#<4!2n92gek8>onFCLFTDsJl5qw7U5+0 zD*8_&ZFwURDn!WQjZJ=njyWw%xV+LxgfthV?nxBqi2ge4I9a00m`e>=B6-zdQl?FM z?^-pERE-CTbLFy@DDK6JQ>y#h!=jL!{>4H`#Z@ti5yI;l<fz4eMcfs@wdJoL1^>f~ z>|x`A)EZ%NvnMa%NM{Bml{(od#zsEZAOesucY&3QySb_t+LWBWR!noVnH{%oc`%!j zzM4U!ZLEt4*H!`nMxfERn$5H@!O@_Wr%{ZfAhWv`zqCn!UKHslAjg)=8eP$gM}KJ~ z=Fc<B*1u8p9_&q(<1<dbE@wFw?dr7pT6$3}@}<v@j%oz7zcC$R1ZenqVJgR$sbqf_ z42hukbv_|Xi13OxC9lh@j1tB_E2-2zi#k)%h+-7uL9U!Ws~h+x#l?-T?1kDVr&}~Y zqpv<FMV$r9M=|Pw-#3)&b{-EWr<<42X!C1g!aJ@7``adp(7$sGlvEJfQ9iMJ$Ql=} ztrG!U{<VrOCK#@yf;5OayHblHP)Wv&2XgZuooT>3wJ63u(1=Ja{_`(3T->mtUMOcu zx<x3>&Gh5_`Gz;0jgC80Olil;oE#4E)7ROe3Wxnl^2bI+_`xZ>x#&Fn@J(Kl+Mg@+ zxcnQNj|o5Oa1ttubl($;Jt$M<^mEgWv{@z>kwKVoLXW|K)(10NxSYsE{B<!+@GYi1 z?;7gxmrSGwdhGeiZQ~?e_OTjm6C^##v4qVPRO6D__Mxk9Q9Rjpp=(hn{D*pxJKXUi zG6x!*&|AP@H{-<HgUflOGcc`1+in_1s@(@RmaGVkd994xEMp;c@N1?#ST1$=6%*;0 zI{W%91wXAL{;M{V+n;5}jW{XVMQCzMWO{GA{>Y;a-ebz!DW(pu21(`jlJg5Z^zgb; z{J6_+U^lO2@%%W;gKr#PM|h+ktymf5QcKg?hhh>?FnVA>(1b-^2u_m#i|HUwk&}WC z*m%`4a{bGt)YAQ68d8sfH3HG77Zw@UuF6SBD<XqLbGE@jMh)=lkqRdvkcUlKOl!Vs zv4pTHs`0vhASx?Sf3u3H!=Ey(5tM?$vdgH0=b7@-3#h|&nMiA&vgcdY0MN%U_P{e1 z_4h``<AcP68~bz|Qhy%AQngK!+|Cf~K2YSuGv$%<__@urCR>mCJ61_8Edr|Txsc2j zUL$?1hxb&dWf9x=P=m-%p(gmo<>nA3-|}>l<f&P##_w?wS?5^7zdQ^<QE^|j4<q9) z4UaVdhs;v#I>~C)*z>uqZ9~n&Q9Q-=p%xh^d==fuojGm5Xai0tt!OvGloJmHc=MBu zY3*A(>M!84^s;?u{3#hVYim7<L>k_}EA@xUhs_dHIi?u2_rLd<D3XtMq507$m=vJ% z9#=%ZW#ANvnY(RhO^ZnP0h-hAa}j8t6`TJ-BL{I+)Y8vwLSH(BB|m6HdH2td?9VZ+ zv4WY|^58oyk&muNgaQjoXgZA;O}ri(x&z{S`mgRt{ZWjiiWwupxx^wUCP{J%pjvx# zOzf~qYV1=c3s`Bw4FV{KlviLZ)$d6Xd9zr=?{USTWio2dC1p-9nWd$TG?WHRm}DY# zQ36cJnIh>Tjnx2$gZ3a}2_?z_b@2cx0w!!Dm>B>QmPG?J%Rf<>T6IpSuV{Cz0w<mq zA7~a6Cib~9YAh?0g+yV(jra{Uj`FiSG7`4Zp!E(i*j`!Ce!=rQc7Nl@NSrEy)>Fw~ zPUUw#88Ai;wO8W@+w<l&m*a=?@shY05a*i;l?AQllko_a2CcP`;b$eihVeZf;3Gro zI3+~TA+?-z@p@F~2-EKnAXU_K@Vf5OX{hMo^+4$kqRv*{`>IUj3|G*GEZnuGBjH{k z!jgKuR$l;tEF@ANU9*#KRxn$F*l;#r^ZZcWfqbhhDWbbPGVf)xEc@IK4W2(>|MFJ| z*C$8?NBGV!NLX&PEa}*Md8EY|Z37?SZB{be&4})_Gd=kjwtW^doKZygL0=xBx0P;h z(EEAAiK7|bz;Z=x7@I@@?P`ZEwdIIxgv`M}p2kBj9>3Ui4g7}<yqG7iwlqkx|8Kyd znobt78v6<kRLv|TY&BLkuX$Sowk!!<F0aJGcBLht%dbG4_aeGyKhMx>b@U}Yep$@( zkig^@{!{VRC*xlQ4=#gDNX8~ptByqTOhFOBRc|)!<sw+fdMqMnRwH$(Hy`h6ki<zs zJLuhcDDJT+1tsapQ$&=2xFfcp)VCn!QKjsfdy_7;?2b=_jLTS_hOq|^MH&m4S>oK5 zvL=x}h$W)i`o#6KAi}tb9M5TvQ1d*~>-B1?Np7<v18i@OsKem{DMrr+MDRe(OC_Z{ zqCn&jBxrD#%sa&yufguKAd}p`*NnbDBnEv5_xJ04ZvFE*4QTRh8adr-_Z{SdKPy&p z`}dG?`cb>O`b%})(@#WFBO_TB|3A#%R@4T^BL2Vl?{xtyInNCei5iF5N{J~5ras98 z(oua=e=K?jR!j^%o(i(4W<sYI;A3{)RuL1{PX%F$nGhoy1mxdzpTtN_P6uH!m=G$u z1mxN}pK@d>@N!1VUx!8Mt=X2W?h`K4=Xf0EY$#bM9GZT-%{^!8N62+s&B6qI2hB{+ zGZRV_K5fy}U0!Q$X@z#F<=}5dv(Z2NO-63pM#f5tYkc#%_#iQyFqpyO^|}vwH7UE+ ze9abJdw7>$!kdNO_#qiN8(Vmo2wSMzXf1!TdusQk23SB7;y-}!@guS*$?2UUh?twY zgF`Ycx^S_zt81^&ir)-*w&@!~-JFVCM4_^6_I&&Y7To*@V={7j!EhpG29WyLqD%f% zgRikp66#e8hkDf^p?_-Gp=y?o_?CF~(I#JKg4S?m^ik&YKdufJ=>jtb$I4Y$_xCfE z@T3R%Z}Kds5>S|ty;iYQB@^#8IzPq>&fL6#t8xokvy(PI`^RVqIbdsG$%Sb`5Hb9O z7nha$8e~)sJU4k#lL;u4FP{(`o=*0tf4W8WoA)NqEAeBkftw3VjOWnw&XTgQyBCjQ zK~fZ`qd@8aJA8A&4O7~&H|Y@I{PhBQc|-oxEhw*~)Bf>Ts&bz5>$6riW5w>3lQ(Wb z7_{Uk1a76_w#{*lXv_TC7rv{~#`nlDy7>$GuAy#vTzNPB{%0~H`Wa}WL#2R&B-(V& ztxo#iJM80cMvf_zZD#(nXb5%Ntxz6mLK%wN1nlHF`0UI%1nf7>@Yxxhna=Q{G<3D? zEj_uzzJ6wZZLW^aonxkl{Rtx?|JM^7OJLE})m6K??sEUO^^i=5skql0Ijr`38;cr# zDT&23A>J#wNJ==rouCsfzo6qWxADT3K=TJj3j^E5zM~1T+Zd%qK|QhS%Jm4(v;O`C zJEqHhmk(Ayn13wnG9&j(9@qR$pgHQmy9_vw#@;69tv(z`XuABJM)!E!oZ9~hT*@q= zaKSLMXZ&Sq{xDkZwhngm-lWRHziKTH#^@bcrSUV5bS2*vBdnk$wZ9u&DranAV)-=r ze`#}!u%jh~sUJ?i7VQYrk8daEA3rPN`{l0y{}?0x8%(nO40jZLS4{uQY7PH5+sCjv z-tH_QpH>$sT>yRbwNC&%!vA}`ED0l4?)_27ks!{%TuCTDWs7?h-O#vX&Hz2F{KRoS zrRC9~k$T!*3pMHEI0v!FItG%Me-8aeWRKFg&rJ^TIr;y{xR;WD9F__)ru|1F8atY% z<Q8J0^&b^7;Cp`#P<q+^N1`hru?1wb`Y&UDYW{Ib<dS*Xe;IFUV@DYnK}OC0GJ4c? z9dWgN*gwM&^F5{Z)N%c?UWVV&Rn5C+@LK+NLeWlP>>#C==YPen;bM;Ix#Uf?N1DNh zP~%GVGM8p%=nIrI<XFvOhV<tqOlRr-_w>#rX7|IOqk})P93;HLl{#fEb%;U#OK2Fg z+c4;8Z~5O(uW_Z{#ac`pc~L33ky3w4{80Bwy|>4!ewyj}wNlv(>ued;ik5n;BkMH% zSomtFmgw()kO>mf#b*yD-z>eGGk1}@ey=e%3x@kFTzp1C9)i7X@k|oGr$N~Ij70f= zPp*=|VLz-KHaSNiyaAz-n<QdR$#w7I5kjSZsp(TVC7QJ$&CO_tce4(p&{cBy#Y#YK zm(VHX^_{L*h~F<AvSJqnm7SqAKa^h7Gt86U$L~K%!je`4c&zi7=tD8|c9<&kEvP)U zqKgG-OiC<Z3?X(jCL?BD`gL%L-A@e;D|d$2UI{kceEEId3z3l--zWh4{8?d<DQ0WU z0+Epx-zW+DJgl$?jY;hbG5_Epy%7H2{d^0HeOz5Z7o8D>Qw@H${=j8DVPEZJo+3`{ z`osE7Pl3;j3wmFx-`620$Mj5$(mrnp`(<dt>%*Pjx5TYqUKyeg^+)=2k16Xj{;0+_ zoHVGOg}%_msu>7RHHldHaHN~SrL4G|X!OhU0vy)~D?0S{ItR4V9mJ?&M45St-%?Uo zju4ZR$KHHew=}?*%GeSnk8-lCOL&lOyCG-|Yl--d%I-_9DI(TMa;&Z_8!SdBI2jY6 z5c<PBTegfneggHiPlg@%r-W}#ei*@F1deM9kNW8X1(4&v(|Dh$`jZWdgqr(os`Fl< z<h5YAvV;Vlk(f6SB*hFnxva-PpN8r(T+fE2M~SkoR!P>Oa)#=ry+|+4kn#|rBs;NF z!>tN$yH-*qS@tjD&*nB&zU3Kd{%Mv;?(hji^jZhRpt(X;%+%&c?NCn^wrmp_Hp_(h zmJ%-EUB)h$Z4(*M&y?6#P!phY=pXf*38`Y>Kc688J89rmDxnD1zjDM0b>ErfN~)-K zs4R0R8+l%@Y7lc_$TUsHcD=pBxuIDVbR4oiFl45Gp)zRn{>0PsE&sVLcHU(`b9P-} z)QSmVD19w)a`OjLs#ig(C6hN7N^i^9<tk7hk!MA5uVJJcE>Q1k_>u(r%_GvH4Pua< zD=Wsm`zGX>)CT4~#3wyp)>b9Oh)7|bpc5mQ*8Ri>M(GkP(7>%$*E7KQX9~NS)_bga z6vOK-usPy?Hq=WhR^es34qc4RvS<P?!$#g!C7a7?YrE@OoruG;CS`+<Un#mil^)Ef zaL<3=Ym`~d$kV0jV`@|kcSwE^Da`F{+1*AfW)c39+hMJpbn)*&!&Ng+BU4<4MdoY6 zIj3APFIZ{FJK|kT)9Y6fjuQDIUbfK%&ngihoKKheSs}$L^cAz@Qz6ch9or3xM=?t> z`kYPUpFO;xvMT9ollsICYxSU1n{G(>+dscBrKG+)_TW(XGefDv%tg<^2A#$aTVp2K zcB0}Ug}s%2Y7I-N5<}K@MDL8Mu!@8kB{7O*)Z2WVZi(GtF~rnaL!}cV_uh6R3Anr~ zbAR#4pGA&3mT@%6D~&ZNsl(5id3tUTG$3k~sv91jsP_e6l>{t;uax+=R0Cd9=y98V zA_P<cB93V_eT?y6FjXLomE~>Y#WaGS5i1=Uj-SxMdma%qxU4*Jd?==IQ+<Q<>1!<9 zi7uOu_|wBvd$;Ds@{0@>i*D#C^pOJT{fD9!<X=?g`rK)~TokguLtVsXyVIaQ!0Ar7 zoFWm=ks0#{e6K&{isjh;C4-YpY>tA3m8w;J`c(9fukfGPda9q*i8J@8mC5c~J|e8g zJc8C+KB916f3H&ac(mIEF^XA3XW{w|C89%UUFjLfzwxsb_Zv*4v**mrkLp%6oWXxB z@s_2Wi9D7mLa4FL5@eaTC1|VsHB9I#7ZiAVZfqs%Z(><YOuP9b)kj(En-Su>z3tnK zU&ba$n-OE|fzV@}&+i^Ah>A{`cMyw-=mv(Ax!qiqb)`Sl1}8uqNQ~}#xutMy%MU4# z^J_8v)zj6sR27`WtJlGCHV)zL8|gm8RG=Tar{E^5g^hA5oa|OQgu{H0kYFMt1bc>r z1mF)%uH=W@!WK{_o5#M^sua@*r?cb5rq8ZotSHYvNQZF|E7eXtfu}O^^c?Y8`H(KW z`OdSf+{w}Rv{tyUOBuDlYDx4stQM6s%q@LAk!C{MMMF^Z_aG%(nBr1t-r+KQMPnWr z(omiqq3&9q`F=XqkA#UmJ`hh0p*5HZHg3%{O&uh;Cky-Lmyt;s(k_;?%~vMAu#o<u zyCwG<nX&VZw{wt0TCZd4?Jxf7#X~mT2=5o?DITKbL0ai~R)qH(Vlb(x$dMUga`z7- zeZ~Ur2=!s(ky+te<RAEB!#;9+`xY(8q-v3nO3gnbj4d<lJ6JoFvd(K2V>7$9dmB=1 zxV0Yb704!SZ@`ojPy-hZkNWtv4!XRP_?*z$^O<3KVZ|NhB+r2^D61P%CE}sVWS&TI z#i-J2siTDV++i;}#a)9>hd3+CwlBLxbe(atx2rrqS5dZ56qkRT&sb2|7Q|3x<QJZ_ zY^jK4cK5G<pr-1&kM(k)?G(v*O>MoaAM%uS)3%0;Aqo{b{flE^+kYd=ufLTdgrq9F zS}uBb-q;x$c8jXUGXB<_(UoOD+S2jO6O?ldi##v?aW)sxVFvfoC_JlC|EaynZo@uT zk&!)Z#apkd`p%v5<TJV?L#lE302!=r)LHZ4O>QTf7KKJ^tj|u99EHxbk*xbauZF7_ zuU6g_X+fPYV!9_Tva-@wda4)Um<xgO6MAux&0S_ACZqS1OZ5i2PnVLM@iKLaR+ev@ zAJZ<P94gPB;>_d)V&`&)j4idvSKO_132Nq^mQV08lMrW8LS;vY!`aHEi|eXd-V<-@ z+%wkJ*1f8YDEk=2-C^TAaJ;9By=s7O&nk)Q7M*dK0!=BSPs{z6!|W#968nXF<ltHK zWztD*x#++2*>4Jt#@DsdHpu-%ZExpp!hMzW^(xZ)Z3)1-T$SPMs1SvYhUy>1W(McA z5DRVoD<K+4>zt?W@P1HeXG^5eSjHQ|kaFF&o<<yEb`jBe&Oq0ROvm~@I7$IWT2|1< z?Y#ACQB5cNU3T>5qSdk?MR)$(jz#xG)kneI6Vk79`HF43w|qOn?~%|aQZ^<@9D&uJ z=%$-1*9F-$4wci|*ec?o+IqclBT>uz@*I1}i94+hYf-bp>M6;$io$=_Sx19I5?~rv z!IYe_xG6*&qj{1|w9{1dt$ddc=54J|M!QXL-+7-?w>|ULalf$4XYw!RMJ_VaVoF)7 zg$deO!fxS{D5tfU@_i>KeVg+Toe)#^2S{oj)~@-Q^@oHvfn?eSl8FKHh+dF4zSQ5U zL;Ad|8Uig_VG@LY6BpI7{$cbnH(ofPk++{=_3Y7aJu!y8)k$><eeTh`73$ww+4Md` zFWQNf#uYkZab=IC&Gab0&JWQ`yQ1^4TvTR|T`C;M8NDvs8IiZVXH4+<tyTU?y)1{# ziO%vNz8W(&_oof(5C8l-D_$@zmL;3j;Z&Y~;$k9d%^>t+c}zAq6R0@l>A;PTB1dpF zS$|IJ3MFOp>mcWf)a>A+^m-5EWg?4q)evn-TSy=Gv`@~DM7}u5`{k-x<{x<v^~S^P zKbjjhaD1tfysld-+l>s?RMBiGySNA_Gd^7Ji&J!a&!T*%?rugQYJGHBj+F!GZ0I-7 zNQ*3p0Sp)$GlQG#8dqvxU$xvJ7jM-<bBo8|bE2!QpnM-<EQ(SM&_z~adc##wIkjy_ z=-xt2s`{?6|2wm~e0=>uTu+JZ^m^X`6DtSWK;Y4xISy&Qq;5A#c66S~XyD#<N89@^ zoWVDx<PJ3=U~J#tgc(Ua{}u(2^e&eb%>Mo+Vp}S?FPhg=Qqy59`A&}^Fqu?j9Y&_8 zZZ?TZy0|LHK3tl9BeDmNN@whtq6*e$l5u?|3sbX=44XWH{iH>jm3K4xxQ!)t;<7qF z_TEzlvfCXAk=Dez9@r*6B!Wx)&DzW7eWh#fsOs-nb!|bJ72`@}9OtTGoUq;AQ6RBU zo#2~%;~tvN?6ckBzH=10&sl|m{-!5tPR2!GRL1oYP-C*5ALPmRH?+VZyLY@<zU9J9 z9K8@Ri6sO+5rN{ag|BI15E|Gu;vs~}-dCgEckdR?`_7FYR3>esr0HM2L+G%r`K4Ek zSVTS)IagUicALwq@0q2mS-gQzQ|SB}Rq$iyH?!eI1m7}59cm84q;21ZeKEBD){rW> zQ~rpU;p>MH*_Q&!1rgN)Jj6Ts<Iq=jj8BCG3U;cjD11F4)C4M10^@Yd#o}z=hI|F$ zIyBWsqRh(HI3Y)F<bL?o#~#Zz{Ryr?@0W$j6EcrYh~{@HWFZ|qeF3*yybEO6mUlIn z_LEbR20u7EMPwdTId}C&c-FsjRSvKVot#K_n++vp%Ru1RXsx~T_}$|&?GKC@8$3F< z-@aG6`1eSCb6dxM!>rps|5>BU+D08QL$%AJ#2i;r^FWhkQ_&~HPQ;JKe&(g9qohRF zJIdcHoni%t)vG^1_m7_6Pw|UtdOBUk;C#HKnWAzi_QmnhMnRR|ujV3mf6LZ$UTM9h zH@AP-eqZW%-%<BbG?O+hqdJq4(0|i@_9=n<?Rs#W^t^dq+Prx|$^2?=L^;I*2!z!; zQQXQ#<1s0VtxlPko=Y8#o#UygFd{p3G%YuLy3_rK=4=Qx&MxTStk*F9DeTvmqa+tR z5E5nJY@oufw}^ake}jDSwOPAEqL9hWfaCX{pD=n2GBg@ViCaedSyUAHO`kVhR5Z|E zm!I&7-uZjf;329Vv`T5<p!rS}$G7-HXIu7Q=#QT6Wl9aG;$C*=`*u}b$=7^~i_;Gn zOp-f;`XRbCOG~3U^m{t@_8yns74A?qn8FQD7^R$5J3BdjS>v|qAmr~|&W-&X|Ej%w z@a#>KB8&b^y1J3@=a%!p_2ES2I=0~GIyNjhI6maKj*Wafd0HdKeqF&=&*uZqe)EOS zrR<L3nU|F)T}?`k){GpjGi%t@MJW(Cy$-n&4q^xvVP<|79ZDRqt}GQ;G*V?7dG!~Q zt41S-&;O-tqT4DvzdRxR!^DH*I$O@keXB*yBq{WibDPL!qwOLliy_C)UF6f{L{gyF zyjC-^&MfRmocqsrDj@{x`mx-Znho1om1jhGL#mTXWzv2oL%_z4!g5*6(gKg(HvFfs zM(|hSq5F=x6u!D9-hz{PqTf2rU7~qs<yC6uL*Zg?5j`l{#@en>$4YUFo2@#Yn=4h+ z>aSNcb=|79{ViFY_$CFuI<*w3G<mmL<@lvMQ1=;O{+J%{uepRt4BKS%r@~t1^`d~j zZi(iq*D&4mNVRwC=KG6i2fWKgf&MA#<0d0PJ*+<Ero~l#+m8kRn)L@y!%pwKt&LKM z=JCsl;_=go;t?A~L2tg`z(!Akb1pC0<=dzO{CT3+Q=(xy%wn2L(Q=mHP)z<2ERWch z{Ddn)Jij@eMe|`>TwxNbX2C5?q``S*YEDl#%}iZy&Dx~Jlipx$`2)J~QT0Cy+$l$M zOEH=H?vP_m=?kA|diPXlHWN?ReS#uyr(D194!QKEGbx#l$=CuPE>?#fx~H5f>E_L( z+7$P}6sLjM!J-u27|YK6)4Z0n6{e%V_l~Uj?@SiCl$?uk1drMdRnIfl_by5i4p}qo z0fxJ8qU$|3i<C$YQSt7)cLD_5Oesm?rc?Iw2%nP0i-hI|J`e><O6<T#wYU2YWgW8l zo$zGaw)Snx9xl;Z7XwNMV@-ma`qQ6qqM`>bCX^G+d4#HP6-|O*&7t=UHJv_&72IvU z<5bvwrtSkdS^nQ+8=p{tp2cvsX<ob@BhlIe6s1F(9>L9;sZTg%(SrjS$_Z~%=3ndf z7Yxf3nhjFOj?<LBP^N3o|3lMPheh>#asLz)>0TP7yHg~jySrftT{=WT7ZGq-YDsC7 z?rv11cL4$EM!HdP30Xnmo$vcT?;rbkpX+DNoI7{!Vdk9AIU}pKtnqT~BLtiCVY7bp zxJ6KTxnkYtL&lr{i6oDz|9sZHVcw~FhtLcYsae`)aaD4{wpk72myf2`T5Z$ftH!j8 zkosvsjAH4~v)6mgic4AddSR{{2v@dgktK(=dM|9bsGLc)3O;Xe%;sA%aWFc>kHKEu zg(cA65^29O!A`yx%!gWz$V6|agb=9t&>~6UlL_Od=Dq*c(qB~xi=z80c($Cx7zL$E ziX!qAx890{50kN#1ex^gGCsAy2z370D3(wQ1?7q8qI&b^x+^6a@2%#L(j1qZa{5us zJFV(9i+<JqP_w6t_hJ2&4Y~P`c`ZcKwQ)Hg{aVk+Wib`x%Zv9j2E;=nmuV7~g*Ci_ zk~Dlr5ALd6Lz@;K{X%;egwzUnrHUT;{wO+K_qZ`&`>^}P`|dZ3F+L|&erDoMHvZdx z@{I1pzguun<mwO-mcSqVbBuq)ex9eHIboJQub}5rT9tT`?2KSF6`EFy=6><SrIK}N zp}2~g&Gc-Fm0QhExOqZr#QSVkf}p>36s_r_r06}-BsF(LZ{YGSgR*8;B2L;C(_lLy zRFirY|Ch<$RIW-5U+ZbiW&@cx^AtNVLGTdf7E54*6Vm>B+FZk(ZBf-vjC?AxutK^5 zetk)o$ODjr+y92aBc}mj!{hDRw$Z`&5o~#{dVbXNwN6Y^%xj9`1y3wLaySz=CjUnw zg{l;=4N;dvfR$H+&d<mbbNhjX+NjM}-$fL;7Alc656_ZaKC#+b*FA)ED~`(=N8Y)s z+c@!v0m4v$Ku`TzDlF?cC71M@Wjd+fTjUV_H_*yuawpf_l%69=n|OE=7t+!OKNi#u z@mQt{&y{Er`Kl)Ns4A`}soYX03bx*ECU~9<MX*W;dC>`1QF*T|WglO>26l7;*ikoN zM>8boJKDR<$q6&|+0jr%H)|31D*V&IumSJ&R$m!oW=SUc#CGcAC*w>5#X6g}PnVWX zq#rxET2ptB{Lqtm@4=B8Wn?mp&aBvWF_HQsl`$I~xZ$+>o~13edWoqh713xijCoVB z?W}7aC@w7!n#Gzvm1UDLVAX{-h-%%T64dUD$Vif?H_409?W`$IMQ{TAVW7z#HyyHA zgdAC9%19u&`4FF52fi9>R3%xsa>;g~#1A7e;u`Da)Cs~GPbnxQw@CfelGw63IFB)z zIpEf5_P3kzUP+ZAkmW{+Zgb|`)LQ9qb_l>othmN7?m9sY22=JmiBC3}T&p<{J?iYE zZxbMv+Bs1>sk_kZl2B^_7)&Vk$!2qXJQvE@g)1s!XdODN-S}uqXf#ub=@j&AuX8G< zH8qvRGMpeR(aZ^@aeDKO7e?}u8&zKh{@Yh4s8MffYJp*LV9&K(kFhsLyNo5}z#ugR zT3uqZzyTwZ(UQU<7EmegI-tDMU&1_8N*3;Gv^6+RG1sI?k$Z6qqaIQk!0DeEx^=UP z9@qfIqDxA}d5U7mV~kRzn5enO&>=c{uJ#=-A#C&S*&1VuY#Ca`Ym#&!a~j-qUPY<M zSrdMg#fHP>8kLg?Q<X$vX~QE4Q=v?$=z|S*%uOE7bojAr^mb5#`bns@5P0B(Cz~Fp z&N{{W>@dhjy__iD)ZIcab?SdVN44LhGh54b82k79r%#o6mF!LyaeRN6;mJ~T$6RCm zb~<`n2I|yon{W}B%&J~ayh+Ly?YC2Hpq{!qwF5Tq*~Z<i=jhMeoM;Li*L{8%<gRWG z>Q?G*L3hcAeO?&rrLHYw7)R~OaZ|ko5>+(v(~f$U{;ReU@gDaWMnfmaUuVkRK0}J! zic1)^HM^mI<?wqh#^mXuEEj5{t;D8-6DIRhHzx|2y1SWD5(?fk%sc+cX8fid7mA!V zCF&Fz#|Z}Ba@(G2jW+*248o(6gTga?Aws5;gX~Wk4dIX+=g<@{BT1AZ$G*c(rh2&6 zlsuppg|YI?zj>YqdH9o6JkBVsJHbs8p8gkAf=x%2e8368ItQx>QDo4QMM(k5&ZGgF z4@{6&6Md3;eD!)<p*)9878Pm;IS!`2y?NlIvOTLliQQKJ=i~{~5azEU)cuxh{1W~2 zI?ZAaR^VCmZ7=kc2tIR-X^`uj{?hoO$H>N+lXbT<O)7FPRTFDIg@aKn*3+M8>M$M~ zfOoI0bLubEL_UdMrv61^=7MZ^P|V`QW`XOE_jfSuF91RjAixytU{DIq?tXf}$a<QE zb36H<?ItesK2?hRTWJUEY5Wst$Q2F$Bk|6#w^GDxVB)^i+1h%g-W<9W!VSY0?RSWt zFzw)F;Ahol>?Z}EmDv=I!*Ysw1-y*soY`1p1s!EEeGw~oor7TTD@#H{cwjJvr0)xC zN<5lXIwZ8y++%%GI?du1Q}+6xQ5pv$n}C?|6vLl>Eu&#=amOioj7|=s5e#_(7;<44 ztEP@EBOa%1PJ69ms^)~<R0sk1Y{R(6RF!p_C!MC4N$xpOP-U{+)#8q1Psu7$mqjA) z2AGngFmCjabRm)?T^UVvZi2z$;g<`H=~D|-z6q9bd*Nv%*gpmx5k$fW+SC+L1h5L1 zT&Vg>U=3`6HP8gs04P@trdK+p0g3SlH_F*@d;Kr^h#7qK_Z0-Jp)<C8FbG74(Md}8 z;6WBYOvD4|*$iIM+Gx5|WMv8-_OaYN7bhC7<7y3N7zB)nM(VC}W61|=4j5|&nBXHE z*}shvn<<Os9@c@;bO)oUGlhd{PBGg9^Yv9jD&Wp>LJ4JFqT7;_%+I$8H05A+mz3CK zF~KCDiy^8f9~MMlu<tQXpf%sXyZOY8f+m%Se@~Ypz5<US1HO_+Q})bnrO1&SIUN(v z&8O}qY7i~4rPk|e#!+t!CvjV%w7BvS{XnbklF|UBqFD6uQ=P5idNA%ZKYt1b7}RTZ z#6w8ER0nv-e!S>Nf{eNAN|hlPfN@WIqE4RFm-+;9QA~CGuTOY9|MfeP`seCuAE;5o zzvKn58usQ*SQ!B<x4ro$aYDsg%+N2DI7py;p6KMGuhB=}{Mi2W#m0JeQ=nk3kIe%c z6a_vkFsCbgPt=8dC)L}O=<V_68L)_?G>L_Vm_zAl(uEXac>K03%mXKc87I-p6(jve zKx%x$Hg-ihRu@05PxxCt#4%}!FZxXoI}bt){NZq&j3Fb_<fgkSPDO?R+o!m}v+fie zLhGvl&5@OY6WWZPL~C#}^%qm+X9C!G(eTR<%Jiuan`?|^m}@*Pb9m0u^6^sDN2!On z6V&^YAa$*3G&Ny9$=mfU*;3>)4)YZpnt+-oq+W0N29A4(*ZRvovxP5}CUJ&Ithe%V zs=16PukQipURVV1=7|44Z%#qVvYhue85zR^zn_{vH(sZdH;$Wk(#-2m^0S4b@{-V+ zh5;bJV@Ur2k*4Xp6`k_10|a<{89pGFL4b$F3@c$Tt?`(DMLIC@C4jCCF|YzC5<pV` z)OTBimFWYL7eLL-u(lo0IN#D=qeF!6nI52tIUk3>wjquTA5im}Ki5$yr-du#3Nj+O z4N-bL-#3d0Uv@b6!RzduE(zlkmOUj%(;ZB$A$}azwl~XhPUtM$l0rnhv*m3%^eDba zGy|bMq>R^pF)O2I$Jz6CW;{QkJu45df2lZsS0rD?054iAHI~pGpPH(J55wy(K5Dq$ zBqkCuf{DWyArPeQu&>cwKWUJU5JX91X$KnQl=zh(wFc`P)|ovTjY<*mE^4<k5hy}? z85wT>2iEU<(DTnh2W?#brH#^EkwQXoMsZ0V%Tyir+Iam<zH6^T7qWZYU94_rqP`K@ zll|uM|FFR1R3QVw<T4Az$bQIT^E(j}1&3#@Tu$kQ(UkGrJZ2AoM2h~<HA?al;_pm6 zr|673H&%!_7kgTrbZQ+!lbeV_HnI|2xGIYLM8Cj|O3w8^&i?_eirfscmw<1-gdA;1 zPFj=aqcAV%SqEPTk;GMtD6OJU=W_JaM*-sUb6YOvHN2>lfX8?G%RAKeTvuW`9I%wW zAvH*-bq&(xNzGtivUL)w7jz=(n)D6bv+z`bB<8$iQn^k*2%a!P#x{0|{t=ht9_Fe@ z69!cz`Ru9)d4Yc6eU@-8w@-N#FsWE~&j%iJ^pd$VsktMB?lJb4%-2**?#a=;zKrOy zkC&bs!=++pxz^OZ$$nD(FMHmq9^;EB5nZ#dYD@~M*petxcL<$nuQyF9MvSPm<BQPc zQ!^JMjR@^w@V{!_cT7kU$02N!N(0BqNw8z;4!%`xfAOa%KL?ViO#{eXOs+RcjO>Gb zHow40(berjKXBBj(%N4Kbp2RaTNTYJS0iQTb=lcF`6yvT1gQ=jACKw}-zD#f_?g~z z^Dm*vfQ9{BK1G7h)PL;Hbhl3@BvV9DtfYihs7;I1{+-89F1SAJ>^*5$S5o@Ib0Xep zFm*}q$kS*Ok}}{??3LOSBqkL*hEC>^A&*L1n4*8%GuLAk7Ow5QHG7W4c|KWx>~o{8 z^lFah!~|YxwCPHp#i!VXud}@sw5F~^phoov7m~9thl|M>8XTOt#GloiRMh!B(2?WT z4s|ow-g5QgU~{VCf`ySNrY;Db=|h_*1!GaqhjhF}F1Z@9DKfFbs8`{9260RhtzDw) z=YF%@iQpZ$w%}xctYK{KIsPkbDKNJAyL=%Ef=HqXpHBR?mE)VOLwr}#tR+kQ#pl%g z3l*4VLrvva{W#<xenoP$eo#3^zb1dKRktnw&f(s|khJ(HaGtB|rU7gvzLqxbw2=_& zc=)O_c3q`2cGIOZcEhA|xNj>Y4aJM)Au!r95c!G8Ral9YEe&|sW=a1Q%`;%yLF>oS z!QfZa!R!a^U<J~JfpkwGJsn8b1JWM?>E9D-X^9`ZQa70{0A&_{G7Btz&;@G0rUuzn zH&-VBzXouJMt9hje$8O-fpt<mULz|xFE}(dkI!g9idEb~+eL?it#?!TZ{4QfRv7=N zt_N3E_NcZvXLgFq3yER`Y&b!tj7L&FD|K`qYA8{RtQ}5(dFz-*(Zo}64`~gK4JY8| zrZVu$@JLE{YkRabC}mG#KDucV=%q0@)EIc2HSlDMqh@10lU-bj@c(Fk6v$3-c9-Bp z$GK@n?+hnEGPos|=+j2`o!umg<0pp`ST(sN%fy9DNNuf?`Ban+6SY0K=-&g4WPnEY zKqD;Bh=&Vklm#?0EjnovS56u4?NnEy3!?s`pl`UX=lYvX_n3xMXs!A$8;8=(;FQ;c z{)CNYG8-HS4}bjU7{$CYj}FA@A{9Dk3Z)$X7N7LQ;P`jVggHZs+-WYp)c-(<MT07( zkh&*Zi}dF&S+@SgW|WGn_Yc&IUjc{b@ml8U2Aw;6eU|wR?tDjMOeMz2#c@Im8~-If zM+z+}XmnF7FL^C0RPL3i`giK2C<9yiJ_CCPnXxEW<4#8QBs+f3c6S4jQQD~8Kalk9 z!jqx*nE~l#_pg;wly;;32(D9WM96zig2}FBwAWHNsL@~qcVaq%t1|5$AipVtG2|b4 z5slphuQT|l$NjOzl&jf#Y(!@)c9go4{RGk<1L=(!r2#-=Bf4=fhTc2E#T_N?B$=%V z7YJJq*T#$oa)k$)1LSN*p4rIWC8=q-(pcVC)S;RnE!Fd5?ce$*t(5#<0skOWxY=K2 z#QXdF+2>8GjLa6S0`q*6RNa#-T<ebXSnrkSd`C4(JHTOt-uFp1BG(o(&Lte=Z#SZ_ zGAV{-8hdH0*<d%qC-a1%UlTAHbVj_HC(P?`w0jUAg|25nQX4EQWNq-7FsGdLb&<;3 z(gq`@6eu!7{QHaq@h1=@WH;!IVauqS#{@NMjVOGd6$^k5&PW<ytK$C2yKTHCTa>F% zBO^OVj_;S>-iRS94KNRLHXlWZdNHVw2d^D;A2gO{h}&CPCEn}~IxdCC)Ol`|PHIsb zjl!;gYx^3E#p{d*^cC(RcVXGcpNu?ZeQK=<&RH)aIjn(8DxIsWufH``B4I*;xVUu` zLk~ihmLm!Z6T6ZqBmjR(YE4+re$h!Ogh*P7p=p<@)zN=`?3Im>U6S(Y1fB~A={uZd zElw@iDO`!mCN8ncYP9Iu@F*D-CcnL2LiRzfPz_#Jpax?$V{3JKXf!*iz5`qr(Pr`k zd-4GBp6o+Ni5g77WN+1pcEv)An)(I_9y^_EF{D<F%n>-$2*6`^fycH$4b)t>U1t50 zed|4?;o4EDCR2Bm9OWgyy;(pOYF(uU69Pi=UyK`TQA-}*k#}=>Pqvr^obS8)$?=Qw z+b(&Gu?JXl7AT-hKzM+HfI;D0MD_s$XIL>u#*ED~+WO@e`xN;GM-)!{>D|(Fnw{*2 z$p}3VKuKhb)j8G-mKn&qh<K6rtGsh0c#?1Kh@xMfrK!OZ>0L9)PEZ{TPTyoXX)r}j zS!1uJtYn(vjxhXr|1eMP%Uu{8^ka2FAj*{)Ulz(tLjYy&EFF*&T8Rr-=wR9M_vgiI zfQl;p{h#Tz7%#>Uh5@U2_y!v)2~q1V2~nFS2~isX3H^O(^hi{>I2plXD6_~jw4B2C zq=1sfar=D|x>215n%@|?d%p+d_?`{Q(LV#iy^{hK78tht8-y{vgJ<+eP*FZniY%A- zTc1V{l_ilDlo?;km6>J`oVz~g$_z0akn;x78aX-IE&qTeduJJuY*I3jTvCy`EK=6G z98#FQ;Ej@&J0O4(xo#QYyMF1epUN@K%Inb(<Bo_o8l&o<*W~fH$$H=8?y7k^Gb)?V zUc`gjKQ!xIPdX+KM^r@5l25~i+U4=59MxMd%F6FSH{6dH>FdK6VTz~fU?$O94-{93 z80n9}7wJ-ntG^#@g4MyMc)@Pd^)}&)#O)B;lL%6E2-WDXH#G`?9^e1BRMP=VH94?U zYsJ#Kx!A%@;cxB0GOYnD)1Yd%>H0DFBE-2=9p2uC>wyj25hLh1oNBAM$m+H;yfd~w zWy^q-2a`LMbvBkH(6wd=?`aUQTkNX+5T<o=!;|m?XM-lEEhy{aMEfhYh}CS+(JE)S zh)gF}LW;`?PrH`igpRxPK{RFl8r*@~kFnIYLM0Jns3zTpN-P0wIIV>leQ_$Grr=b; zLWMEN$}lHBqOC#&6V3KAA`YRos^?;cg=OM0MIO}}Itk_#_{B5==n%vgF^-D4`1<y^ zd?hlA3jBT=0Y3$zbe9;_Rx#_sCHfL$783Ick-I~lGKh4dbmw%{QtMh{h7197VnLcJ z)DDnN1*F>;@7RVF^Q|2KNRDc0R)H}?8Gx7p$S{C#0Z38J$;r1WW5PCm^SB>G>7snJ zRS045FEedblmpQ$`X>fDZ}F{5L+a?a%__U)<3pxgvjwxmc`ogSPlXE>cU{bg9?raW ze%Vhh*hP0&p^@gY-Rb}zZ%L1^_0OLr?qikzMZO~i)Jqe5srKqo_onP68W{$@dQ|kW z6}(-&y87r(VP1w*q*tnDfW;L3SE;`poAo2M1vlTS+3~L!UzuWM1^O~4fTP_yjTQZh z)J3j<9YW?AgHmJMTz?bYAy>!Fnh4Wo)S~5DbLyU^h`eA_#i{@bm(1T_wA0;K+`T_N zzf-lvD#8v{_+$C=gp%sG)7Mi~OFL?e8R~`}6wnLpy-p%%da_Z&{0;NK=Bd9U#KAsU zgS^~L92H-PvC18LO2KyF>otn4GeF*J+owQ#uxth`QBX-rb_wr#@t-}ZSHb@l98;7} zCVobGu5f4Ixs>3H8EtT6c41<9Nx7H`={-#KEwr4pGkx`nESjYBtC&OOsdT()ySPQ= z!>pni2Yt&!Rv!LyDV~h75|z*FW;V-~{p0oAJo*jRNp_**-9v8(Au@jfiI2*6t?OeL zQ9Urm9#0C08)<wG*n52xOFF8NB(g1k3PVcWNkjozj99oCYd^xQFfBo6)H>SFB&@gf z@le6n%XfXc{UI1iBP8EXV7BmBntmB0y%na|36g->qOK&2+oJ7ktLma8R!vK|55XIT z<CSKWm%%yB(o~OsztEi+e8m5PflqDxcXtg=C+6gjOQn^ei$PQ@b&X75T)O7h2HnlE zAAxXROy=G!biv7U8-FAr5BecRvL-oHO)Wa(@M)d-4!4Lva3Z1EDB1b#KZNC3@GInR z9dbgYBX%^MqT4<r<7p0h!FG0L37m%MrDpK(^}V?R3iJz>&5^4K{1GjM9xr;f9c|&x z5d1^Sobj1fDY`w!muTKUeH^{-pP{qOZ1i2?Rk;^xjQM5w&6UQJpKF3M5kWYy2=T7z ziEEUQ`~rKtNZUve(x)j4Gxy}@^3}y(T7ljV&(QJGx1<qX0@>?Tx@8?jsSF~5zqJD# z3nlE0D*%DPoGFv0DoPT35(bFFjcC=KEu&AHf7s1ef6EO4)@c<Q)^0>j_*>AqT%Ubc zSaG{K!gO+0b~YZN8J1>E>E~0QOh{Jc7KbO0?GkSOwG1b~P_AdT@OmW~eP`J4&A(1J z-mZUnZsmQiESuteh2tet-w|GE41a}RmHtMHsP4~3wEiL`-3V$jrGv0{PS3Efx_eF) zchs_zJeL~ib0BRD&{Jbpn5Uq-kQ(SGAO(Js$Zf4e1IE6WDx`%AMeHQaYSM!`Veh&# zHFV!OYaT4=Ya6+AF;WN_m#K^ERZ5PUA419mf|;h%KSsfHzoD5+f4bC}8o4@FOwLYU z@-#Zk&ZPD|WZ?*@Ru?va3!iEt?>V;rxAA_Pa#I$J#Rzt(d;0nexewg?_&F?KjuPuX zgdAfjeemw~Lz!o7qQ6}!-`5^QI(!@|>h{9jMYf~_33t?tz`cU_PnUK3yN@Zg-uJ26 za9In))9AUSxCB(}?C4E9`F5J(MP7J5!m5(uHU*#F#wKQ0L)+5&HvEI%>e!L8C5qWl zr>w{Da13kZmBeZXm{X_havIL4yUCTs4xLbzzY&;svWu6zzc$0W&)yrNSVCWpBvCt` z=(ARA5!b}~XXf3)3evUJ;!<7=iK&tclgz6z^)Jzh(hz4I56S8>_TJUmGW#`=b>y|l zcrKr=-(bmYR%0?uQmsb1nt7USS9%v;5M($Y7{!D#6JuR$D)@<NYX!`f-;TA+&OF!O z=pdxd6LX16;~DkW{ZbLmDTg@neB^IH%2!u)t3Q3hc{7R!>pbgQ`jzgX?mK9`>Ei_} z`Qd-jJTg@$yoY(3((GB)>>%asjY~wdbyh9A%&shCuw9I@K6;it(pO%6(g8{TVm}!n zTIwPb>3wFt^X*v919q=hpR_Qmke!4W#1}b88yl^I)$@K$9hIu#e+Gss2^gwbcH)0e zhcs`d(r&$axxM!`T=w`?C6aImpWIvmt(HFet72w#Bv4HTF{t})J=U~5q-uuYJ>lo~ z<FC8|p)8q&K2UKB1TwgDB<7HGt>xDp$GR>u^21kV*dSrw5%)v?+6+-m&Wslcbz4XV zi)yj5#LPObtAmyLEn&_$cwqg{JzC|s<ln*2TLK=ISDxVxSV<OILDxKrk)4=gLRZ?W z5#?_Zbt1NwP;MK<CsUi)Qn$*QpW5!U1J@}EZ@<L-YGM>kr=@h4RuK?n2XZq`42j%> z^#lZ|_^Lmf+T5vdvg9po;^?g55%`@cTCEdIOB<fYrg8b0I2RwUDcl6hd+kBQsk@BN zskaPB=F23-ddm>Z+cuvBVV{TeR!h&syIOv@sKOqiV$njzBtl=L5IUtktKTx(_*tLx z`AT+*_}GP5EVW^qT7Il+z?RXcQ*Fq{r9Y(JKjU+Ya4`<B5<>855Oao@EtT!tors<B z``GO}EVWHmxBMu03|rPn0{EW*zY1w~cJVi9!_k{B@!(8HBQ>xeTE>1=w)kwgf~<y5 zQ*BWfr9O0Qai5yRORRsBUDC=rkO=#N;%6)Rt|7lcZi#>lx><NSNB36bfx%iOu37ay zB0YklH||jkH1z(!o<&45qBJ5I#T}6xg^oy$*N8|)A|i|#iN7#xML95FA=1he99eYt zOh|~|gp}S@?X<;XcWjKHs9$;%W955)pjwtfx7hB1D~aStOnY-XYKKB){(r^vO!veS z2;T@LkiGFvAbP``K=Q^u;ochs67n}w`*vTm5H{`uc54%lVw|<_tBcD~Y?d3`cXM&R z@8<gG{=l-${q3Ug&^;MUIv&~XTRf573_MoLOgvbP=cNVOsGnTdQd-L5N^(38Cp4Jj z$3O~4Im;N=NHF0(ZrgS5M#X%cxF47jA3z~BD90y1AV=TSU5V2eU}tUd_JNJbKr%>$ zf#+<lyB1fvnA+D(cf^hx2Og>$jT+=ecfz?ft{$o=bA4o;6m=ssx3#H^IuF4aK^0+t z2LmirCdEY<R%`!h0k+4D*qj=cZQup{^*`$*L>q90m02g@L5>1cToqZhf$PlN-a_nY zoL=<v?VA@h$aSaqbK}3&fF2Sa13Iz#uah0nNq5qD2hO2pu)iDl&am+vRSgplL>QEw z{u7#0`!#J%js|^`C?|p@?lprLpc`2gaOu5bv3eu(alnT8uUQ@%-OU1gXU79JQ@H2h zc38n$?xS2&>#N!jy55g||A0el%bwrc2+Ap!HJ};57mM2Pr^-ce>Uhf}@-#k>OCH#T zhEwGt!*sl1-wlkdXpX44FvxaA9dG<kX$ySMxEsa41OHJ2XY3dzj!TAMD{a9(K@WN= z_MZ<)obWKtMJ;jwhm)0$MLC;OF`<XJWTGi`ydlxNjf?ad1G~;osB+`kb-Y>c@-~)E zimEu+EM(mmS9<i@0Lr<aHlR8AFcwv41vI+W@rJu(g9eVEK?I@hJf;lzT64hy-uu4= zyfj$A`>X-_<^_icwzX!h!i_FmaCml8b4l517{B`;U~zCZ-JDnF3kF9D@H5;9TA>6M zBSSC>Lh)+48y>|}6f0C0oHX|lj~P|{wbc0DgKPj-(#BufYTgQf+C~nt0m4YJ{zht3 z9n~VtaYu)IaIVjV?D#LG-$1*g#h523hzX<zFzloO{?=;t%##%4TGCu7*TX)Sj@raN zWZf1JtE$HL8+NaspS026R?Rzal7ir|^Z@z+5bFrU7Kktt8_k;FtOHEc?uo$eq1{Pc zI*QmKhG4y_2-d4r(H$LSO2$m}vpv0n(*9UeQiV?AD5>|daaV@y#;@Ehi4?otd+-(I zu45tPzq)h9dT%`XXl~L7CI5;7o_)BC3)9V-DCvTV)mF*}oS*(ee>h;p|9u{9TDtGM zBzt`S<|Ux2vH=!qxD>P8vFN9;qrJ;c@xS$VJvVNojhSweBII%1q%-In_X5^yBRqqx zo8-Fq%*u{^MYS$}Rw)Yon~aXuO4$;zhZq$xUW|&kET&hC9pmC+oY0T?OOK2K6m2?U zS7ufST5ihPTjXgRY?lic+Q0>v*HRdjMj=dZ!%Ch>bfrfWg5M(wHS7@;rQ;D5AL|i? z%=S=YZ0<VOi;6HR3U}MaSN@w`cpptV?+4gr;Vv@9e=8Sze(iyV%92dp@HKD$U-O`) zUp6W0HFq;RD%?W|7RN?Uz0Qka%LXmHL5o<>LKdSSVGbe;#~^IbEfGi{7DyKk+h*<Z z+J?ckKE^U^LuYX9V3T`O_SE3PruIg)9C7b_03_~<9B~xw<Hldl10YP&0T6<0x%l`c zxp?G9IX%W7&@cI$YcV=+^7+q!DN!D<;p>WR7Hdfw7FLtTxb(&cEcm-JljYGPi63G% zHrQO5VSle*(ymqdRe4rd*4NhhQFm*?(@@&@qLHR)$1fPt@2z@tJ7K>y&2X{e!gyf# z<IT`8i;1NW%u@W{R(HlpVP21AqNxloSgq>C%5%YMduJ?(Es;?($SO*!33*jVvCY3N zP|Y}CXBkM8UEpLW)ZFpcfM+^y7;=%O>Lh)CKaHAPAz%VH(5GZn>Y;Tfccvxjw~y*p zoGi_^qh3WQe7)O`f6-KdBc}ANtHF{c_1*66{|?eoN=0Yh1}#eS#^O8aew}MNZ5E<} zpRnED;#k`loRaB9LQ~>+()zBPlh+-@kA?rZhEfnwuZ+(>3R~x=!ordQ{xIGf!7q2W zf#+=|=<>+riVnUA0I641F7{qx`eI5H5VnmR&_ti)4f=Wd9HI|j@U~0l=}w_oZxhto zes&uk$fqyHPXSr$vSTEo7V<r>ZdD3@m&OD^+==8?QQ>M75Td9NE#t2X3+&H)WUanG zDNfI`&vGxm=m#AU^%?majqF!sCA?o+>|V!9{G(Yf(!av*z(rfF8E571*S=yial(n4 zSJg6J+5Wx0f8V{K7JW>9+ZHhJ0!HN~PMYJ`qP1Q12ERnlB|OO^I8R<rW;JD=Q;X(& z@nqvkd`O+;t<!Q}LnA^~CO$MZo_mOA0p$J8K-!mEwq}c_Hfu4i!q=5fb4N^|9=R<Z zsdNZqZA5g=?&U7Yaf)(_dg@n?!-1r4N^NhdGJaM4qcL2#S^Tl*-&bPa>ePqUSG8Df zwIB|yo>7bY`C@27ja>N5o0K+y;nLzt!u}S!uK)Za{;z<jqXAM&9@w{*Shs$*Q?t<f zTlHg>vGSH<>v6HPan;iDMin=@>hts_d7p;j<<&@WZ6Q9M@@Iu9f%oQ_v94QCuP08W zZ1${{2MXk7r_>S2tT=|9Ud!-=-G%(GCE#QsyFkFo4>9+|-nsuO5^D|l^M@yvbZy-- zKIFTikmZI=Wx*FugD@=xKadezFT15tiBDWmrso>5BS9KsbEsZqJz*t`HYG8MaLhFP zc-ylNVb3+VDVrNIZAz~e<w?7m@pU3{B_ioos^+B86#WYjJ!ehz*a{M<dVu4Di7093 zAm{(+7}$(>$ZtA4<^3Qe60P_C?P0iEhouIax|k&dltkhXZJ*q@yg&;i5Y2bj$6c~f zjA=Ap4<e58)epnfJFfApCpI=NMNK235l2pcxwbqzu64Suk<mxW^_DK$9elABHxD;n z+%LKw2b_>Fo#tPUro0v2N)e0$+JOO}9SGas6lopCL`>?RUb4-YW--J*<b?7(Es(SL zLX3Z9cT=^wtkHY3aMBf{+8|p3YX8-N3(1lgovB1{p;!_VJJkj*q)TFkr!v6BgAfX+ zQais8g*OQXtDGw<TSPHR%+HbijS{i`Oq)_mi4)u);oq$AMbHG0$Z`_(qLMjymgd1l zYcfX{JV?5T!k$oI^yZPXI(r9==|GAhiVxpRHBN5I6^KyPrVNHF6;b37^A|}0)?`-! zA%79vP=h8ija}pIcx;TSzd}h2dMFyG!dnt^%)}DMhyPeLj&HAyrJqRUq5v8j_>;i| zcN;uQAS=Dey}NH{OujykdfBf2U=7I3JJzC!BmkmL`(spp@d3~)W|MpG{{tBT6`PYe zzWgy%XAhxNxTEpRG5SuVm5PenZm{+J5NaBA%hl*RT@7SJ9Gu7fx1F*G?wEXY?Yqsk z6B$|YJ;^1)Ir!K9QqwHI{s@9L@_-Tn)l_%Mvaye&TXhm|>JSp@{0LR&(-&8u@u0WN zE4x&#r4=RAcBv?#BuSBqQ%c2%Sx*U(06tNe9dU7ya`Iadqfr4z|9fBXo8u*qo4zM; z2ECQ<wMU42P3H&u+%8qRy@A`Mh7HDr@pnIHS5gaE?iYoMm>lBk(SCeVGXk)I2oc6z z<I=BQW`*${^7@t3B$?G3G<)~b^~FpL(QIs5{K1ivL=I;rt~4Jj(i?T(UGxV&Q{R(y z<fhh7c2B{n1E5ZZ#vMb;VSJ>!%^BAx3yFO0p{9z?&jQ)b`9kqk%k`;*WZOh6Npjzo zgVqWf9*K1<MB)6hbX*<*<JTZZ>3w4MRrG5cgm>>&BavNP+UaWnp1s`ow9^JhgaP_a z0R4=mi)%oc4VN@N6Bi2lxkMaLu889S*N732L2LmT1n^@7<1_W+a@O+aq-WaA+xyd> zq)1ylZYb&);*W!>epbkl$~P8`_Z03_OO}e`PQ^4>f^kgDcm*<jGW5eZSvB?62s(Gx z9nbz}i@T+8kL}T`^k>Q|6hzf6FE^r%ZA-tAJ}#}Wa`BTB)aYUAd;g1)a+2bi9wS;r z$8`Y^q@HQ#pnm*crUo`R6;PTeeeZG2P>3K#Oltl~bg&9*O;Xfpr0tS!c$#mKZp4+C z0D4q3b*je(yyYyObi=&mOpkQK;_QFwYH~LAw0lmt#kr{g@{hHSQb@oIcuqw%+udZL zpk7+od1PbV$-@^wR!&?01X0ipiU;b?=l?I*SFc>G+d`qXXh#8i=L?(|Idt*V=kgDH z+;sQTM1G>Da2|W1>|16bN5Ola3$~2|+=#pK-(2*5qWMUlp16ISbmu&}hTb%(>NS0C z5-l?J*F)XJYPZ$6xY^^8Rs$PsQ+Wh><ehs;{R6j@qHZCHGr_nImza;6Ey4C$AZXhy zWSWq!5shUbMjpjSRDQoNy<YsD(U`;pFS^jv*(Hl{fqoS%8UNh3e#0sCX8fJh8|d3; zv4o%2PxO@d-_KCXL+)M{iG5n2AdU<-qN9FzZG%?!dNMeFG#2iN)gt|I5B=7|DbT;5 z|3aW^`o6CKWCgCm?JG^d-L+5Eo*q^iX672EXVz9r`JB6!;rT$VN8N05U%H#plesym zryJ#M`{6WiL?b}2mT!}xp3Ty4oD-vsM``=B?)ym3cD^PecxjqfLYcm9p7>lnRQkm@ z-1R5eTOJl)(pYBT;aBVG%ua7sFPK^j@7jjWcE@*F)_m1<iIm<<h4b5YC3R_@5u_S6 z$XLnsn8IT1Xo@(GZ57S}A3%OOj>aed^&zF)Gvksrjgxdr5DDE(B8Dql->(abmUe8h zHWyedS{cwfN2D(G&0<sBLM_EOQ-y|kA4;eG51aOmqmpBBc$3K8aqN$%?|T}^J)UU% zoW3{U6KY1(cM{(gWqLmp+z27(!<X$NGTOBS*3v;>dcT?M2xJR~RkCC2T-6>tYAB-N zRaVO6ndmSVh~=Q%nYPkb?DHfzOQ|WEc+vi!XVoNKRHRCfsX>Q?*k$gPqTY`RIV-;1 z9aT4iKOYZShlwde4|r@e938j&Lb0=|jxt&&s_KqiF&c)Bqw}~AreT-2dl!>?st@(8 z@5?z<Varre-J-J|j_e_HmLU>;z-H!7WwXIO-2U@S+FIfnp}fs1@R#*S3%L<2JOAr7 zyxG@q!Hx9Lw8alvf4SY8STp2qvwoTq)3?V#iP-d4caftq5n@L1$s}sf5Daq0#ZyzF z`-fvvF_phmjK7oEg>2*}0u#?cgkFs4^B2hz>#u8Yc6n~y(8wz!2Wl+Xe<vnp{f$IO zk>NODIuzqX-JXeHb(*V@N&nU~<US1lf*ZB@zC?Tlu(Q6&t4lL|;~wj^;jfDyPu<-} zD=Ec_zj#6;S|sBb#m0qN|6F1Mcz)6ClMZ4EfWP@3kd&SmrAF}r;?-8g_J)bM?pC4l z^TVNed>iq1hePqM9&?#fa`~B6rdb@TpU~|g+y)RMIM%@A#x)mhBLp(qr@z1aYcwW( z#oFy(PP-#T7Q9YPi6tfN3YuKp?e;LSKz~YT?w-9L86>YB;E1Fb`I{kHXwH|rAxVMF z8yXE4dw9<SEr*i=`wy`zw*TBvwZ+5XRmac8A(2{Ov5yRZL=$vhX`qw-`DM|B^094Q zuf8V4<cQV3qTUU<?%pf*xfkCVo8;o~w>F2ueu^TFnz7G+ryYJENU6-m@7wcn4fcQ% z{`tLGuk8K<YWU@G$a4pKHFKF?I)4fcT@dRb>V<NQCOB<c@Rkz!;3aW<opwololfKe z7PTKl7G`6UzG{?ybZ~cdjm~fS1l-N95MKx+5MTTs723)z#6E{}OW%ZSZHkznoKs3n zgx*R;-%0gw=_*;wqMgcNe7I}t-yxZwgKYK0LVte+r3F&|4RZ5i2)5?U=Vsi@veq6{ zQ3@qXz4rrTJ}yA!(_<WOFL_|S^uQP?%`q|}f7<(@<QHP)B)Nw!NDwUjzZi3z!>w7V zO>q3ICVUtTnrJK~y6;U4)HNhzkEXiscK;!mJ_gne;^IPb^pp_$1QKFaO$0(p6N%qN z&oIHyML|OJ9uy97d8*D%PYpz9(nJRU5jY@16^MxHzulh<L_7f^gn$TEAmSbn0gAD_ zcoWF;z9g5v>FF2e@P>5>gV_bfr*1ic3sX90J$DKn%-XK=m*g$mp6zZO4vKj8?ZF)e z{A=&?f1hDjx|nB=6LnC3T%+nkn!N<EpVn{>0dd?m5Xbe&aoq~CB6V4)WrrvQQ>v9k zZU=?yQBPtV4AdX8VcU3$q8>7CLNlX7a5d~O1>>|`nvd6MITQ*ObiR^)c)6e)KpL6J z(;HrQrY9n85l0^@NXDNI^=Yy+HL3*7&?HH}aRG-G;R@=$?5Z+#6vjT;O5uSPlCI3C zKmBE_X73H$1>dC3voWV45hhLwJ1Q(nFJ65YswT<jn%!9)F(neYa5Sxu5z(#cz`}J4 zP4mnvNRTvDh()4V480DmY~7WhdE6_a^ul`8)(Ne`rG1FSKi+u{KJz#byK~6_VLyw) zr7Vuh>v~NPAH)aoL6bs|2yE=5pJ9$I=+{Sl0o8@lT@nG%3IKU4H&tS}iPE8!s_xch z#==5Y6*6~qt3sN}s#Ur7>x}td@R>)yCz@3iMR*psm&73z@A8>PicU5sSJOn%I7asp z&Gu0sJY6eF;&@+2^P5L*09kKT-RUchg%iMYXzEpkB<wV(a?2GN|IyVilwdZifFuf< zGx2g+oSBq^3C@7f4X`Yf$OHGkg8OvfzP%NVK(7V3Z(JzhZBYTS1TmHN;vb{os!xMw zj+D7SY8IN-SX7W4fpIwp<HD*#`+3C?q1#|oX!_l%0$y7UI=86k6e$U$2wM|I==z%# znywgEkj#Uar59*mp+l><Nek}V6q?$bR*=wv`*h&`Ucn_Y<BR-_=Rl&Nat+SWm{xgZ zbjJCme;E7OZv*M`*7H2?qqEaEHd>u^s7LzEo1N&y7oINfB9^S{ORw?HK_0~7BmOq} z3WU#HPuEWwanD)?|6i__64;vcJn~_lF`aBm1PMj|1<bq);4-cSGG(wz`n1PPe7(%z zo>u|MDG6Aalgwal3)8ydpm^NY&;q!xYXLkF{OSPS@<}EAsVEbl(=Ct#<^}eezF@CO zUx6C571+Hu4mh2N2-8j}<7fil%t?<}3Mnpy8e%6O5v~Gw0G_*?O98}?u>xfV;P>}P z->yeSnA%GjcR{6$i3dTqv@GZOc*>6p@E?=D-Ass($dfT9W&`(M3ey(Pa)QDLPYP(N zN#DApgYpe9pp9oG{fGxB`k)WAcPSv5CY|Y{!D|gr*F$T!FFzuD#=wVW#B*N&y|#f~ zQ7qw>ST$POZx~y}mIfzsALc2Q01vh~HDcoP2@D5T!I|>p0DA4Km%sk6BvN<6sn8T| zS<>@Mr_gqP^*~fmAkNc_`1jLGNA&Z!4R4-ISa0*XLA8BZU9BspjJB<0#`8-P^hJCQ zPTS7iAh<Oop*j39_kH@ByEJMuuQy=+k@o-F{C!SWYWT}0h4&_<s4h+smjrodHn@Id zEbS~;d>3L^9f3OXTwhON>{uxKT89ktOx8^LT18ceD_Oa-bM(kv_pP(hUe6}y|4glm z*zwJPQ!p-COn;6-$$f@`sablU*Pb*$+(=C!Zz5UBu!<N7EoT&~0cEZ1W_mCI(*FMX zr1s1fc;s3$_fb(_EPH>MgkJwrv8p`Xu&jI-Qa<CLU~<U1%6BexmyfJKM<GL8XA+(} zfX7fAski+<?ZVt>ikb<ExOB!o0ys#=1<u79;9T?q&P91rYy)sET9*NzWrSzXgaxS( zoH7YL(xa&yOhOPg+oRzp{cFOEbZVdW&TRUaaP1<=<sCLdih?o$1nM1EinE!&n@|kg zu+*5)1K5}cMCs2>!Pl(-zV5C(@wl<3Au%h+A9a0*kAAua!L-{X`l-#Cm>Og{i+@MS z?jPx0jZbm<#T_Sa94F-|{IU-iemn@8P2Y52;$Mkro4d`vUl*$uphJxmMT0mb!Z70H zTaBr%N}hfz8xU!>K=Rf7|Li!#$!W#vWaJ38^E^c(va4xm+{MPNgSmE`d0b6)aq)Hg zyW2@uIOwO#C5S=>RfW+;s}NOZsz%pBrbaX!2+)7vk^vbZ5JJco4z#I_n>9x~1fqq` z$aygu3(?UtpoVA=<j?Kqe90gwyOS?Z_59f%{Gg8|l%w}}a^_8~dE@bq+*6=YLK5C@ zHHdTFCNUoTS~QZ}sEyYpSW5wzj3LM%kpwvbH6@{Pyf9c^lIIw|H=xS@n2e$}QeGjn zggSC{2*=a3yQOTD3E6h=#mm&)p_Ay+ZdCii-7lik+yGK|6y8n+0q27KN~RR~1z_iY zdUu;#=IN-L-BRF>9Gp``XfvD+zRMdIdeBLzL`S&;am;N%(%l{PI{oajSLC^xQ)Cwc z>tl5cCe*(Tx0l=f)ce7OfntT2jPF)Yag5U%|Gi!o(!!7`9n?m4v~@?V&KRb49bTo+ z_p>@FdZSA|8bNSK-}$5RmXl>wMEuLcu#k|N#1srQ_d06h1LH3$%Zv=U;d((my}W~Y zsW+RSd8DJs8KE0hJkm_ZZwJRez0N!=-cXDOa;y&NWgM#`qGUbSH=|#$v94CRmSF3G z)&&d)HAzSjx#Bga?Sj`W;%e0r!SF62rO7<<U!j2ydiKh8{@0(OdVktFO*M(E^nbnX zST!X4_2yG>GE@}<ZFmZK%b(+|j*O%|+{4v$BNP04&oc37UVgb1{TcBZ)SpM-|7AG_ zWz9S?@kpBg{#WWOXz0IEXUrl5e2G$F?VIDL5jXjb7bMNaw$wp4BVF^y2|pN?sTCxD z<CZRzE<&H}ROK5y#|wi6UmW=M_7alsy%r@P^QV8Qu$MPD&!@+?$87vnm5-g!{Vl@y zD;1TlHXlLq8?K*KODw<Z&TCt*2$B|#uV$-SyKGxke@xU@+IffPj(lzTTpROUe@ot} zBjKOTQq^~jMhh*;Wn=xl$(rQvi2lZ}bRy;QP8BmPpNGEAw%5M?ZtwJ%Vbrmr)NhYC zDa}T{nXcYlIXbW^#9sW}<2Y`}bm)gu#K;7c9sTsx%x{i|*s8YMP1N<@;e{Dw??e@L z9}9nV?9BLQIf@#Up*wvjBq;uJL#*i(@zJNr)=hL~wQ0<a_vf_bqi35D??mnI26z41 z&;J}!nziWHG}S~$Mh6tN{|?^>DE^`G?0u-u9k;y?d9(LlZUk1GA_gObniu2eoAO1L zEEMXp7W>gu@!5(qfBJTu_lPaDm*c+=yj&(4GVE*xDuUu)tRu~%9-?u)O4w%QgWp;P zv%#(P7RooO7Cu2f!9l_2@vXC>vogQFlS=#VtPr=7eo+Y968-p3CwBN%dgli%Gp~yb zI>r}IMa6I|Ua$KpUcNq5|NXzD<F&TCxE1)-+S99%)YrQhj8xIb#t%0Cifzs8E&_c> zjaG5bzg@R-*XRfn9Wpv<hpS$oZi<(k4pPRgS}kWYGjKT6P4lwT@}_(|oC_%<CG(_I z3BGmn^S7Vin`e-}Y6q<^>ps-&-f1N?rzC~nV7d=~@BJwI*!c3IDmrKHMa$EAQ#<dS z>Ep=LbyWDbA5Oba`IF_}hyal;Z27lqIW~|@-1k}bx@K8<WcX+6x8?8OWM^cgA1_xu zFF%`+ZWXnXm1&WYo%>`l7CbI1(``DN_o{#M*@3hmsi)6(M=OV`$R%u>TetjIVK29! zALB1(Aj@N8vezG%o$jT~8l2Y#f175LG9lf=Fmb=rO?dI4s;lR`?#%=Ee7abx_K|MZ z;Ox|=Ca#*gG82s|o>yLE#@WWVtaR&bbsmLz<rI!Yd$!EUN=wfxIbEDWzleUuW`4Ui zC)dj)Le;xfI*K^aY-HqOqiwg2QqaCLD?@{KZ%*gts?Kl+r59G^nLo2&et#|6m8aD{ zS^ev*Je<A62uKeZ*3xW>m72zkxVdTv`B<PnQrzLOAPUH`<{xm6T*3XOEN;PW?Yy6y zSeTkvSSY!T8NOv8YAIduQ0Gp9FbnfTycgT``&qIxBF$WNhC9J44?}mwzO%GCh&;ZN z_*XNEOe=UlP#?)U7`z{(ioC@eo>rftTW+bB6w7#;UDEh^n2|WUs4=FJF+Tg+_dWO7 zzvYwOs?ye~RxQ0{QggFqTf1*nWeJlXnA+%PSN}ZhySw8%2(=y_n4(;KI8Pjxq9~7d z`PT5Ew6Le63D^X-j@{|HMtL)TWk?tajl$wE&TtWW{T_`d!bLAJN~gbNZi&?}on|M8 z<<$}&k4hPO@M8wQ`yL0)N3!9oKk}b{*?TEv-aaQS(_#f{H+cBH5tdoo{U^%zrol|M z;<J8Y1?iaIb;I(FrS8nn?@`Qw`{`ODil^Gblcz&_<#6n+@e{sn7^{ZDaB&vfDc?pw zoua2v25}&<!WT{?GIaYyjWu*-lrw)Ml*XSsNwsUNIHo$3CP+Ams%xZG%SRwc<-Kjf zc1k}=ArKU5XKg<j+Iz-&XJxoJ`BNxOfM^o*=blMll>JLM*>>dL+earuF6yiw5=J?b zOhUIM<&$n>woQf>6yoSJwZykh`CLNk6mJm(si;K6hHi`TC(SgmCn=O|r;N`i5M^a( zu}`1!K_9WA;aOUQia|dJAG{?dB0d9(u7++;@g^x;?&>DVrE!XfDf96XhAHu7_sn`* zSbUp$)V=n$#?8!n`EQXe-K@;KY!%D1@c3Zd!M{`!2|r??8-w8-v*|lOOT&N6?#~vj z9XhaDe_q1bejX>cWcxL7sK#m&HsD%q)n7Nu>;0!E)e%YCc2;$k)J|KUnD2ZpLS4J+ znmT)>-*)+$-;zyY_K>s8CTvu$+6cFh;h32Yu)}`QwIR~fUt3;e+E^ZoFCT{OT8H)6 zSCcb%oKybuIlx+5d{q77l|L)P@+;c_S<`qB(<;MqT4}%w_hp#2ziUfoX%+U_;GuT| zEE6U3qj9(_OlJ9M4<wh&#(A1j(p%o6%z$^aX?tdibEMaEQF^(IWp|-v#6I_T*5hfV zQy=+tR{(u7O&JfMjRw3zjoUMilXsk3&+I&>$?^eIBG;0-+ThB*If2=8F=V!EmTIkx zvu@$(sC%wq$63UK@>@~DKTJo)<1?COP0u0?KEYk5S@(RF_VNq};6Bsrk9}-~S$*Vp zTMT%ao3^FgVz|pa2|EW(+ib~>TVa`^K1;6o23K(R>04Jm^4qTsw3u5CvcAc@^Iu4v zjw#H@)4Y>t{Uwn(aj9iQJomSp@3a!HxBPmE0Wz=YAf_CQ#b_DzD<Ie-i@Q7^g8XK# zh~>pni=Jri?|q+Xr7(bvEnN)IR$iX;%zivAj^{1!vc8rRlq1%gI$hGEmC;0vRFU}+ zxHk2DVC$p7)k?#`>s%R@z>zXe-{q&HEZ`xpREC?k@_Z|Od<y&y9)`mnU5|Ax<=nly zD|0oA8Y6|DsSKYk)5y$PDb6p{d|^K8WqW?rA2@A)>WTMnl`nMp)6nv&j+gTg0r$95 ze4UoskMUg3>U8GIUgaN}vHEM&e&9^h<nN!SqN~nWYVT@ppG=9olyZCFRu}g}nB`n$ z_+?4l^ZKgruEq~9t2Fm4g0)^@;Kr*B(V7-$Or}?^Me*S7)Xa?a{M14+tF)pOvJ8_k z_qKivC0u)MAi#tkv2=cimWRLhdX_ERFjmIWw%js8miv1FHm&r@TizMWs?qj?m^(5o z%@bwR5Szfmrq#fPCA4rG49P9?qiLp0QK=D@9||U5r2$e1KxqMVs*KtaKpT7igVqA* zxcAbI<xdl6_U{GkdyT8_EeEe1WLTP(%Q%07x#E~>h&xdXG7OWkZ7O;ea3$nk?XdDJ z_t~P~G%JDk(q4f90dvzqGB~>@U?4U9wL!)!`ldxF=bW|osAaqXZZj%XHaY!Ap~t)8 z<MdICr(<QEfpYZpg7G^;F4Va4_+8<G-+Q&Kq|&X@!u3_L)e#oQ|4iDI`H1&dXNrE( z5msNR_lbDvQqN%ti>op+mY)80ymB0VV{!6_Q~I1fA?F#1Ch7aTTa8oB=2|lJ!Q!Nv z4%$(%3mmza+yC^|WJSfv@JKN31-&6~H!-EpBs|Uyy%n`}y%nT(2jzb9`WJ0Rp|tcK z=Vbl0tL|^U+Z`?`Y05O6ZQU!q-t)OttgBpP3Hb$o-qvZ-w11FHx)M_F7ChVA?7p=- z<?f2qRlYOX^ZYnn$m!^a(b4l}#Z1raqU}bwq4Ft8kl=y0(7zQ!m~qk^X0M77Jb5)N zOkD9L9+R%}h6z;;v7OUpN=<6RcV9nU<*w7vgYw&Yobp<C<`@K=<i#51jEm`<bgJ`b z`TsG0W9<Jkb>nmMdgF2FqDU;P?p5M!^j5ByU2gRuqiJ6ioHd@!ieJx_vQfh6h+`aC zJM_5VN06-IRFX*B|KaP+<DvfEzwr-Jjb((g(_5lq>`P2Y6lICB&0sLbzGq*Hcf%+| zi<z-xN%nP&C1iOIhIcdeeJPZEEo+GG)93qp+<)AU`*;6w^$6#j*LAMzd9Leuw%4mx zX?SGhJQ1nZH9I&^KFM!pYG-Hu*=pZUnzqFbJN^DQp{BBYmRa-KG2Pt7P-mSru`JNs zojGf`sdYf6qlnpo@YRMV_*xI<!E#ssam>c}^owFI*Q<R60heL&&`5S_Ue-S$J5MUW zieOs6bG~dHT=ZrNc!7d_^h?ITkYDBZQ5!5n-!io#H&~TsqP29Id0pE%7!yOU_F?rl z-PwZ<?)s!nT%GD?H92~Ek$Gk7cD9Hy^UAhiHp5Mb(wt6?Gp7c#fa2Y32zgg(t>1vd zyyT}EV<54FcSaF`W6%lUQ!vAEwgvDh+Tb8!O2o(FyX@?6ScsV2(N1T-Y;%z*j+HU+ zKsQ@tk$J`cel~+0tI}MlmRQeOrG;uO?)yze%41H=U+jlHj8~WoZNs&*WjdKx9vNn9 z9-R`XL}iQKI3++b&6aOGl~!r(Q`xEB^iT2V2GF)CsKPd?*QROu6ulqa<teO7A3)P1 zg(YmlwzC3{I-S0!d^J85x!ZhIXpcsjkAkT~KT#HwVT@4PrMWoRrRFzx=<>+RLQh&8 zSzkZjDLKoY5z^vVk7%z@8db`-dwsCgJ;hm}RG^m;*5YVx)xPXfD=4@!%(Y$pm))b{ z600;jw;B3Tp76W*m>t=b3u6`|M$d<4OR8SiJy700v1GWhgReA@g@o_CsqDpZS$u0T zxmlCQTR73gYUVY;T)el!Xl@-4UHrCQ3-f|SDQEME^g4sIY(NC_;&{4vR=q4|$8oWh zyXBAShh6^aMdu%OC#7h+hx~H>SCV}BeSYnGl_beC8l7#QZVmr3Q7eq4`QX)suR)5t zGY7Y4x?d^#)c0t*^&DR}82LEQu%4y6_$6IDobrm8zhN%TZZ7+1zx&k{7N_RgnwK|X zB^_(^*B^Nca#)H^g^}l8Z;99D)z;)Sla!Ah8$_b^8!Ws99`@&oPU?TYYUFb06KHPw z?@9Gf@rH`&3cfkHdcS1an@_hyOr_2o7>2hv8skqUn}1nO9<TbOWwZtBF8Zx^ze@Zt zai&hG#HM6++kIT#>!a6|J+I|J$p)nZFXeg%5>#p$#!pIba52uEfM!_3i3CYpXdaV) zkv?wcz{zd-#KAn$qam+Cwpyt&`y(B?s3f=kR$<uYxJqEr;|abPIiF%ytkT3&l!nYC zK<C5Q=^<pt*KgF!GgrpgBW%2_hVUAzyX*;}1@!7Yli<ni-s{B}krq@HqwC6vzWHHI z-}dGkwxng=@QIcPUn?H>XA-P6`mbZG3jd`n7Qz@`bZK{=sftrIJ4B@~?1U>bL*41K z@2NI2uJmv3sNjtfu$f}2cDWnuYvX`VYyR|}=kFf#{fV!pZ<l@Wo^1w<R<=eh2IG59 z**oc8J|~mjzd!Mfo`_$(pt{@ryJWIO{xm*9^lr!fQ1aYr;quJriCkfGt<~{lQ|)0# z!{N%vVZO+&bd8}5DxrEO<bDb<KfLV*V-Vu#{=ZRu(X5`l^=;6XjTxcVUqu?*L$W?! z;usS%A9TvYYFOMIbE(HN;qR$BCSt{E@2WbNV7Z&G3fn$MuwPJiTi8!~(?$SYmvt&` zeN|`FgC_OY!xZ32F}jQ7)+NS;7BAPoX_}_1>dgw*vW_J#d{4X=W2kH{=J5PphoMRl z_MQ)c4N=x@&Mv(yc1!ubvE<uf{o9XzEB8AHgAb6<LgIJ%Tu7Q2X%+jpj@X?@vg3Ve zQdxz{@IXaC!T7{f-<ySk*_hKJC&hCrk@XEVhx02N=0?nigmN+XhqnK`Y-BrM->G+L zpa1e!)IXOvmQ5QT__X{ujlW;CUN;q0XRs$Ke0>r-u;?sYH-0<1!H}!4(=qzckkhqw zXrL3fe*NK-rdpB4z$LOCjv+jdZz~Tfwzn*|AlRf2$^Eka^NY!`LCk2Hoz(Zw(}DEN zJMUlZYhj?%9`t!!i`2EAf1fx0ys1xl_#XQCcv9W;#@O`0`o;6e;F?-9!J{7Zhj0TA z)UH8=^G~hCxi1qocBNbWExn33c>z<Y;KZU6B=vdV$wLhENNK@uYjZN)dfVpEMSUnO zcw$m*POk2JjoIjROZnL{p|BS}Le08As28K{MTQk5k}_QaM4DO))x%!C3^nVFQ$Kvd zm#HT!zgD)F`R1KSZ(jG@mkRx_wic6b8FdUej9#`S*G-VeUa*vo5ZfdZi!S_49oo{u zxO-)jqKuV!sthrlipo4>GYrIF%gCQUgTvsa&U<;>9RYu2p?nfEn1xl4qC&wetb`P& z24-PpBrNhEKa}kgE|eJn9R0asi|$lLRUdJsFDfQ7myRI1BoptH4k73)iQ=%{{Rde| zTixGTBhqdT1taq13C2nb@;Vqz10|kH1V%JoiHBr@k!MxH%iWx=jv^i8GczyDbFdHn zd@oN>6WAt}$!8%2ScyfX<UE0R)lw2sN5DXp=wsE@5HUz$JhO$1g&rv{Y^6}u_vVc` zNh(hS+e`n=#rFCwsVRrfeG2PA`)s^f?&b9vCbsb;)_aZ+J0%hiJckgirxRmJM-lCa z#16JTg!b=<L7Tm~wxPmNV+=G>d0{{gBhsY2Flc~b&`{>t%AsC*{Au^i;!xqfAqHZ+ z&HJ#7zSU9mVAJBnM0w@7P@d2!upRPVJ_{$n`tue>blL;<HJa+M;Q^bqZFbYlT1?N^ zZE8Hw87>Ug!^qSrFZ{TT(Y)xfc$QdBN_G>7Cl-^4*8~iR8Jo!rH@4C4L+S73Yqmy- zv{Q+p38Tbb)kJ2tAw(Bn;+=#M1pR8Fcmn;pw4<J;VPcq=(t?}~My3teRq4s|xb@KU z<Uf<euACJ{9WeXz-FP$XK6GO%EK^M=QX{yKmL1{d{x(xjGwEbn=%K%Db7?XYm^jKM z4Yj}4gW&9Zre6*X@z#m7Y{a>FOKM&j%hH3|&A+5It8a~^f)9gjU9}GviHUfr$7#KN zbz#shc&MrVo>$eF4a<P>j;G`qsNj>&C)VfgnAn@YP%Wi%5-zBHC8_I0)Xv>)eY^F# z5qz{jWR(^zQ1$6nYlGjps#>AjrPt2LXQe#F4m)L&T1h<~nT)t^6@(Fu<M&+$LXUn* z=-J53#dUTF{iuH<#z3GMZ}`0v3dw+-(JTOMEc>0?GFOXJwT3!0%bbBM+Wyx2+)(p$ z;&f(;b5Z?*dHI{uA0N^N?dqn7Yn01+n<mVL2Pm)Y>TdczEIsTfj*Xo-#P9U}{B@O8 z+2xbAQAU*sxd1kFUNSy8yee*KxBRLDzG?F0@%?9af8Rbc-7F(WC^`M@QMY}BilAXD z%Tox_O^&mKO~N^_bNGIu06+fY<jHp>=0x`1(WbuKddYCzhM%AJ_E9Mh$3t?OYeNFf zp9QkRR(?t==S@ELd4lfzR=KlZxnd{tBI6jYsg!lnKSc4^t++rO_+el8R?YDE;<_;p z91&d)4*(ZZ2?ubqBUj-=*but)l5i|+1l`UhOoa`jDOaqdQ2B0jOapa@MIXbUsKldS zis2+H<p&)BSiQz9f2(j3@c*ea`eBVUWBjDx7LH^0E+G@Xvy`Wk^##thl-riv3{O}p zXd|A$4f(Qb2At}t>K3kampm$?g&S;6Eb)%|a)|dtk3*(AY!-?1d%NRzfe$hAimjM) zcXML1{yxHf=yzXHn`?AK0%u_-BD%PvLW_yYs^pldB?ef`0#S?m#VWf6O-DG4Z6v4n zobYM3VRV<AurAvuntoQecs@)d|M~z#7@k3|Q*2C;IXg5Pr-hP%41KH8iYz~?G-Dkd zYjeT1T{)T>aitHt8uk=*f4T2lH8iqkNp7YTs?!Y2p(aet7)4XA&-aB&H`RGB_02km z-cUr$_(#J_c?+#3ScWjUT9GOcC3~`#PVHH<b>>q7+c8?)wae^5^?5o;lklDTT%8&W zV2v(tvl4${YALX8gSz2n*)Tj{?4^%Okk2}AVH-nqgV6cHPSNN?As*M(-K~DrTv5eS z0`h>2l24_{HAZM&ZDy)5?ftt`M)+`T1l=kk9J@A*ZYRJK#tYi86`yjBtipV{uT?~_ z2ruC46rVC{@)WiyL^ni8n$$2|DC}j4jxFVKZFi3@UeEn<mJkZxAy(*Q$^IssX5v|S zDH<QZM?&Br2HSE?$|Ea%XjJpP@iKX>Y$y|8=`Xc3PD6%VDz%tm&nh_<YKg@{l$<|k zaqBJtMsI@?Sp_*y_6d5x8uMO+e?Sk%85zhoXpDm#({lE$!aR0Ha@q>Ff}AT`9i!of zyoIzYjJv8*CRr8C0)DpHoYR1u{|!{?hwt#^>SPJeTmBWp@NK6n`3F3KnB9iG7fSph zKnPg!RhxdlHu6E*1b2fmuwKd}DV%Y)UbZh;k1;U>W}>{dlIUCiPAAC+D5IFQR^4bf zD5e8E`85{G?VY0YyQbR32PlHyl5nbQ)s2Qnh?@{}fFdxHEHTDJRhd2_cUT~*cBStd zE3mMnu?LGz(CSOh@GZIZ)B^ByxLtp#%f_#=`@%txq4_v1^Qu$D5?7;RBVc`T#-{`n zx9hCLY{FLia+9FL0^(VeYL`8rz~QQ#Lll%-QxbP;MA2on4}CxMwE|*B5x|GDkY*Jf zR?1!2yU3Wxcd!pn<lo)53Pka&dVNQ{)5#*wyBVRo{4^9CoJ8_9{3A}*+<G&J6|N(S z;VeV5wg+&vT$z35l^+0qnP-*0mTO_cmWKmV-|Hm(3*S*A7+XwyURZg_x%~-E+%5eA z4_GqI#Ix~?iT6ceK!3&hu;jykBMz5MKFh)r_}}1`hnvB4uI6CS#qvloy4YGLTZWan z*qc52u$0%e^>nnZ=XqB;G+M%QG<~7!E>6TCaB2G)RL5dP&LIVgu^_ZvU6rv8EX?mx zH>{vthX<%ye(7N=KDd<)J;S`>r<E;o1SWbZT4H-FO3q2PC_+Wx(xb{>u?9K4qQbEm zBOFPp=Fo4jvc_$>?ir4G!NK$J2s_PK$3ApWXu8767D-DZe|6C>G&_s-?QUr9!zKS} zAqnJhC!Ub%xNB#ksanv7wmndKb*sjgtB@uV9VXNlADCLAqinlSk~Z?>({IVhfVY~J z*D7<rZ8xpc(cz)bIz+#tLvNTC$~=RbYXfcUaGJb<e7<@((Yk;_d>uUD;64sA3fJVE zf}(2Or1!^4<+F?gSov~D$u1LWmq6TFct+(CSwu|W$^k>4wE0#g?`XK;=k(dV8j8|g z?!&x?MusiR*?)lQ#4gJ@6hbkvOLAymnb&Sf&80OqUVTanism;|?4MJ$B|Kmbuc!_Z zt}wI>GVk+_FqZM@mRytV8CnoCe}Jk<t<+U*oG@eHxQt1XR~Sj=C~!%>a4oYpyLaZd zxrHk}5a%{u@Trhy79D1I?WW}qF7`kF3a}67^j7*IB5J{eSySv2`jNHzEu1Dd4gDy+ z$`+?72!8YVLzAbFVOGzDpOvLgoQydSiaedW5Yg=O%Xf3y5W)?HLphyl!o`nFa154# ze14`lPL4o6@;w~n2JOp7!yFo8*}Moh?F*YxYVYMlW<$W&7}te30Uskj#6Ud!U`-W4 zZgjLy`J?XT6r|N-OP=t<0O5wxft*fv;o_5A9pytpB88ugzo0D+4NpkTZNnbamn462 zv~!o<S1p#$!U(Xc0=m}h^v(LyrPJ;(=L#y9bBZ{HcF4VW;<6m|0Lv_aFGD?7vQN_z zlSwHXe6BRBkBn7VA#3AAF}v;^3B7IAw1~GA9wROr3%yyWnTw|cet;#0n+qi=wl7!V zX8Yw(j5nceC)72X{M+;?)a8?4@;{7rZwi!i8E~fr{7kYrkHCTeNgdbW(sFS{F1rA# z<Gdv291rELT|kHRX7yb8tLg88El5}S%=3s{veXCLY|)!3cK_5~scU0SlY@iMxC*zc z@NCrvITkD&C<seLSV7}^F|vfZnsv_Qwklzhm#5XaT)QyQRP_t46mr!wnOye;X=AM) z|1O&e7h7B7I2FOFhbhk8_20JYGoHOyvek@QbG8gp`lH$y+0`!f5x+4SspeSzlUo6e zJiR1mpA8i|y=qbx9Y~a`7UIbY_m(o&I?C<ZT5(abf32mX!m6~u3I_9F$qYE|rWqf| zS9u%9NekfHE`oC77ts?;VZWc$KX=x!pLsIq)~d(I&VRX&_z$BI-_~@sWQfo&YxcvP zele!Q6*+J(9RtD~T1yS4nH6EBd6p;3So7K@k5}&%y_CA5^@BOr=-32K*LIM?KPTDB z)?5c{LrysMf6}x>0v&Yd7tvS?&Z-U!na=EeQg)xo8!QLaYKd)}Rhsn<<+!Se5~{FN znF-fWn7biNwE-D95ukeRXQ4Vnhu#Yg8aHql2dyOQfwJ;hGb47!#GencA;vpl2UCOS z)=1%4^*%IRSy<v%GH%?zHG*+K<a?wh1CoQ!>Pxhu!1p389XzX&14!Ezc)PW)ioFG4 zPE480Cemua9yzwo+P&am@WbqnW!DT{qPNnVmF!c23C}7y=W21QESrBv0MauIXZvZ0 z<MasNBU|7gs~~MK>~BL{?{im**&VMr^zyiN-HxUja=B6*n^;8BL6*9MW3Ue7`w;`h z)Go`R!-4tink_S<&KIAe!zv!@GC4z(9RJn=pNP(e`0q-F820B_K~_x4P6hJGqj2&U zfys42VwlnW(-Xw2ipL{NcowBO?r16q*cAHKQO!@K$V^~w-ad3Iqp&U@dGt%d60j4W zyc?Teoqc<z7gF1%wyg3?TVcX**kBH=0KS7L*Qr?s^g>@awsZj9?k-G?$Zx|c12(t- z*kCg?g2&a+>yuLco})lK0!*L81q={r7`I-V5_z1lUm|TG9}N%SE7u|9fNTy31_aJV zENn}@2U2-y8}S<4kXQ_;AeO35b*H-|P=|`mFrv9iJn|1Q?(609HGZ;~j`eM&L^VTM z4;w0r&}dE@M7SXXgrIA}hZ#UGevm5w?GXjEY!FQeElZKP=t0NCQX{BfRm3APMrZ`l z&Y9RDG>o8-glJy`M~Q7QATEbHH$jC}minA>p&Ba7eJ*4uQ|*$RV+nNFuv|y^^{Pqt z9O$BqJN?@$u)yL0n*kxYc^J`dp4hS0hoCGvmHuo+zoiNV;gw}D%+RGibS)GmauUoQ z<~fwpD<OQ^a|GSRBdqH=jHdI#4afGTx61;?^J=Crb<~JGSD1YTXm1G7u9?^okw?NF ztKl_RX~SwwE<tkwgwKi~n)_<uWX^yjbQh<I3oNWPhqI-Yw8e#jh*;5vWd_OxxzjNO zYJ>`CEQzYU=MMX70F)a<P%MFRan3nZA)XZF@^yx8ePK9=t~7Vyu!ww}EM+(wqNFW3 z5T5YU2Iu~uv<>?iG{ysr_KX^VcZbbzC&s`A5$!^W9k5XZ<+%_oh+V+{L#l^OQ1355 z2v*?%p@33!!gmfH;24Yp__n|bY%drWuK}xpg%f0BWa_H%;Zq~TUg1RMsbNHyM&g~R zQ3RbSQ9Qzq`kbRC3_o1|)3C&H{HWe5sk-M)jVcJSflFI8P>r7DzQs5wQ!`-4%)+`h z0HESvO?AX-!_O8YqNlvzZ-`;&ROa#1#&BLy=JD6ZKnna}O)llG|C4RQ7aop(QGN;~ zfIZ(kIFad<zQtlFQ~9zS2okygA9QwMi7D75rl4=Wc*HNsLty*hK8E475|5wl4ZZg3 z0`c|PBy2n|AK!O8Rmhw0$G1U%qa{I6dw>TT03Ha!hb5eCyrM0R37()<*oM^s*4qFJ zaplyA4FI`js<wzL>}xoH+z5hFE@ZHo`c}Yy>Fpo$W%TC=bO#jbDhh|$jOO%yuS!>Z z${+^Tupi<$b3rt>!a*>f4ntT_FI=T9b5p59uKDuHX>Nvz&H|?Y`^OYgEyn_WSS`Hy z-w#z(r_$B{VR%HT4uKyK_#&MwBp6?1ZOLEY2_cA}8b!YCyEsTv4Zbm9)M`Wi7Dkg> znMckLBl=pINB#~*-c%VcC*4`Kzv~xxe>3$;J=JO7aN5SZDm*_6iaK2F!$g7Pu_8Ak z2BI?<{r19Cwth6lq-<WsxCS4tK1l2}2I~<fQnK$0sF%XrU<+r;K4LY0Hy!hSS{1Mw z{Oz8eRZ%RXTWEB$**!8#-<*8nb+?ikI$7N&nr;zp`u}ZadBN=oM!2=g<+ydQLp7Su z-CR94G+#Y$U)QRc9`y55K5B2Wx>F>5Pt9YHfx{C%*KDLWMP4R0g3ZRxSEfm(_@_;b zbzT#*c%#OtYPTdom39Bm`Ap5^<zx@AF*TfzX|7quO5rmOGpdEwct3a!LJ45k>zz@! zO{H6w57=q^2zDjO%W)ObNQf$$B-$yh@|D;@6{;D-inaLs9z-`pmgUzruM9JqN>Wt~ zk0XyR{-Z!WPqbF+Lfg*&ML0-#s?K8u-9jSNuG&AC&ra@0d3p(N27R7ts&*Ca&{6VL zq0HfyyED-QREPi-9)SuH=AeQVs9+5$$V#5M<5?zjo}A}rLRolj*?|e`_<Lxos>m<7 zjr!@9jG*M1(9#N_x$V&T?5Mz$r`l8t=yQa%+Er(x`D|>jr0s>QBq^Tv&IQ9MPlYVZ zp?*lP<%791|5w(0%2P&7&~jC&pGo_b3;z6axekV1^yl)NWJzi}y`1m0+`<0(lV1<x ziexJff>k!Z&u>orexI?#8iD5U9dqaK9e3dP{*x{;Lmm-1S4kB)S2ZDWj`T_7+;+Xj zU+5;~L7DI}=E8CJry5#`&-VU9Faif{0{8xt6}cA`Wv~~O9KRP8$GR6q^jTwoES9BO zgsXTkxSxi*aQOb55Sj5C5t(^J6`An|jrxK{{RTwNJ)(%5^Pdt)_NAw}WqlrD94hr2 z5}EOB2c^eA=`K*Z50q{Ns!WTV`;nq-%+Oe>=~ytVw^n&bT(`)oTn`4PYh)9eRSD<$ z24b$_a$1hAe?F;tXcqkl1u-qzEXURxE`j4N?d8}5!xeo*>s&cD#&B65(LPj;?MPVH zM^N-oA1q|r8cc|3p{Iky>1ZuWip^oLI2~hRNr}i06o=V6SyHqu0>ohsp_UW}%|CUj zWNf68W>HrSyHQyIppF};g9rb?JOXui{?tK(I)~st4!1y^g<x?Q+LpIGr8iFIe7<u_ zJqqGa988fTjH4ji#NiaVtW==w(OaNf#8gUU+%l@myR}Q^c1*&Gwpsg<%<YbZWo<Lc z%rtTjvs<CO=PK=cQkUW&)N?#i#V<`guli@(F9g6Xv!#S@?nkBHJx#E0!>nE|bbbpn zpB;B4<*7ra1yn!8;z#j^2o&irWz!QgP^IlT#F9M6kz%m5WL|$c>kB~8p&68A8NltU z?)>vkn?j*CzND|_KUA$joh!D*LUX=<_F+=MKFqWQbgLeqdd3Q%dJ~}9rb6g_&`8Qt zE^mvElA11(0HiI}0HofQP``RpwXSd80HopI5gV3JmQWM$h@<EAY^o@Ei8<E}VjSiI z#^JtM!add9$(FUff_x%1;6>y1jc{y3am!JO_Y>#%tG;Cq<J#&{v~6^MHEYLpM(K-c zGD*dpiZHu&+0i5~IqshnZHHJhmV11M;o&DJZ}YB<w0~pGRg=;>V=Y-8gg5Dniik<Y z{7fi5&n4IJ@NO4TUzF2WYF>Q7HZK`_bm6e2vzsNRP;K>A-Z|W+R7|1y?Ywg}RVC-& zjRdA>4;7a`jI$avXL%sipf4&P4E{cB6Z7k6s?a1Z?d{`YQ0mbI_?xuUFbkFk9v}2Y z-I*<~-7cG!1m&!Oa*r?QzKB}A;3w!;uYJ2cg@sezQtI~Qi2cT!8+$n9?Z~Dh8*?M0 z$VgK!f5qwFUy~Y+Z$W&dzn*Dv{Y}HMjE*XQ92&41p2*E>jYO(Wy^f)wkRekqV|v#g z_76>sO&~ravPM=cid@Uf%FDv*Yt=4Xr`>ryVXJaI|7)y_mExl&v+5z0A+s8#(GyrC zQ^mKWTeZ(7l+yLrjsuVEjA7i%E)$PYuiA!`0xOCiKl|Jwb1&2&2`}L!AYg~K1aBU_ z-rOFXr|cft+)$oVKI~R>%s+Z+Hvj98?8Hrv)aQr!3oC^2ksp@VP4~7N(hjYfkMA61 z{axl0Qq*vgH*vcB*H`0);|H9|%_f#__g>;hGo?-zT(d?EdvZ2Co=mJKIa%5lf|RCc zK$vx2mZ!iXb<><$uaepLz3||x%L>k=NQneR=ia=m{?MK4@GJ&hUb(&{ZB2!SxLdls ziepPdjz6-T2#=@2KF)sF!HjaV-J@wfjy$+2nAdiJ9B?oK(<o2N>s2PR{p^A1l&9xS z^rSn{jmU<oF7#5`ZH%*q1pnJ!X-q1^Vxr8PU~c>e`v7^~M48!FV<A`8EttL2^V;72 zo;vyGHi{?2lLWnKRZ8QI-wkmmY3kT2PDTat2yWGP=$6)v5!rdtD<6F9RVZ0-@W*Rx zq~`TTlG#G+@uJh|PVF4d{M&snZjn?+_&F~HaZ%xCD(PDU_B)NzBj}`#hP4_*lC1QI zOIF9}2q%*05lBx_AU#!&VRaU6H+ae$B$YWYZ^s}{dn(eB*PZ!EZ7@twniItenctFX zH&cm(3%%SX{L82an!|TA(7~HU)Oc1EM;(|Jke*!;@rej~lKg9F74%EBmoj?Y1DG7- zp`ko2s>qpNp&KTa4{Xxu48GWMd648rLc(G4^Rj~J7S^S0E<CGMRPg?gPl_n#;ln|P zVU{6>VF4hLK;T0T+_7DXT0eSE!<1ddzj2dykj{99tTd-CKXL*BjhC0|RzRn~2JQZ` z43|-Zbl4ePlvu1-A06zpF14xvl4MnC_0p=e*D;=*=s*%Rl~!>`>!2FejbW3zpP3z* zlK*d#05(0{pSZtT9#d+A2|K545fY8+e!m_szVNZ5*r}+aI1oq-kQ5+!Kx&FQs5Ui- z8u+m>^>zbY0jQdDaJR-q+2H>W8rHhX@X5a>UUd4yb=ur7rz)+B^%YJdITalZJ7h!> ziKm1opQpqE2p-4?5S{|yc7qMMB6DkmKYkcG0*lN{b!s(s=HJSx*XGm!pM)hKC3aN) z4e*@s!n@l!f3j0i5w1nl{9T8rfdRK;cvk(V9GLDSJiG42C#pJ;<O`%#W)sw2y0d!V z-Om7F0`l7(?|uYi-yJV+-TiPK<&=8j;22GIUjAN_(PCK447&dR(|uilh8opB-B-Rc z;1q)_7A{2=vjaIUK^CtA`CNje!jueZf|Y@iR{vW`uUZ678o1x!%b?T9H|i64>#TGh zL0_7Kj<>aEyKsDBy$1=he-bbMN7J6FPAlMj7vTMLr>-z^!k9f?UJ!WyHSqod%-8O| z_Fm&V_2fSw?S+lZUWpGp`0hg=$t~zO+^HIi`obeP(CHv{Xys{mGu~+F_jTZ?2p`ZY z2f%$Fj7cQbsS86+_~4C~H>NAh7TwFo4F1QIYk;(zP^9OG8I+F?RP`pwTmf(2dEarE z0a_g75v=NU5bLq>q>u8X`}Slw5h~~dm=@%rkQ^=QsWZP{7fcKafX@knfh28**Mv3W zx&hATkJnp+c}n)4@~kQtH}@*8%1=8)@*u!kPCPr(k;EA<t>XNwLjsoKLmO(akpp0Q zhdi`VphbbZEq)y^v1Vl&vBWvmw;K$mW$r&Zx}{!wGCo#Kbr2o_;R7DO`*X@6a>UxR z^>jS@xGRY>9j4+;?vU`5zcMgyO5Q<u<29w|3if%(Sh_MT&fJ-wJOSg@eC>2-KxU?b zfTZVlqJXr2(*aMYMG)k9R^>+=BB@BvR;_sURC^L9H&F0ZhlHUDaCb5?j7`NLOVpWP zZV09mo9fi=OHSZN;~`>33c~66#Jn~$(~~Na+iP`#)5vUi=qMgZxCo4x({ZQ{jMxdn zTW*K0I%$juaQ@x!@o&i*nL#>oj|g<UnC{fdMrKQO!*h~Bq^?Cu&|f<JvVyA|U%n!m z0=@X@So}5fX{%C!QJBT&Ql63xi+J<8l8)k|VTWOM57Nqu8blz?s<gpne)qOJDl9Ee zPnNv1?1g9Gp!3SUuQ=$6d+f|VI1S@IO>~5-pj6DS3a7uI1!E+J8NYx)1f&WCp#~t7 zAqS=x$Wp5`5Khv8WB_@a#$8lf>0A^9L<PuR1#l18>Dm~E4KD!sVvb*yy;72xiiFz` z{=hPFE?k)m%>o|si{C|{Nt#mNSV2lhY-#OSTrcoYVuwU&%I5e`!_*(2=OYiNfX^pE zqn$9ZjWj2^2HEg~4+u(N!ix>?Je+#d;^{fzOoC2Ik2v8v!q%!0StuUC%1H;EJ%new zZG7UvfCINPk$bu73rs_WnAfXIW<xmRMbo<#9O98wPh}cb_9T8M^~cWZ0A^jmw{3j& zeM1+B?s(`ReZZ*!85ZG**USYt$p<)5pv8?l^DB13xEBP-iwYx4>Qs=|LULJ&_5q^Y zi82na`oV#U2JE%d1gOq-LJzuG)NTAPrTLqDc@z7oc@s3^|3iMAG2OG_;Zs~C^({s4 z@S|ECa#@iM0h|VjG7H?f2CCN{H*^@aSee+D6H^W0^dpV|BI*q@9yo;K|0$l7bN-i5 z3+Z{CjO3kEPdr0BUBQur)P>0db(~YbKf9OD)K7lm^9FkJI9%tXD#tbITH`oEsm}qW zS%pZt1v1>215>8eijcyPpJ%&Vd?LR$2_i;E1|9UlGytHCWyx$yUU<<+y285(By~rT zhCQh=EcnPM);)lJ1L7tR!j%LCL1X@XM_5J`A`1i!U#f!+2pa99Jc9l`4%|KI+{+3R zFbyhj5Q5Cc=Ykjg1|0M^l4__#!`_ofePJ{WMiKL$QMBC90iUWw5YoX5y=V@RAWXIj z$FqYl$+-_xS!nK%P?rNE{2emPM!_HpL<`?3m=1^*aV5_D{@pO{W-!8s|7L8Y51`-v z(d{S2LAVxKN(+kL9RqN@0pM8H5xWN9sKFyh9(CYuRszlWgMi_K*AxUm$VJ9_0w8pQ zfYAZtu1y1@W?j($S7CTSqvoe?v51qQfFL;GJHkLp$Wr4GlpA%>0R*8P5Co(>3Bo$h z?COr%ODC^AYK28Y0TB}=v#ELFIrZoY3x!Atq5n}Ze(tiPU8OzgzxHz?K})1Z<`uz^ z!H_gPfR1lFVk5jsoU0%TJq1Ax=;-te83t1}$O4huJ{B1p;g08|bt^c7FGrOsfs`ZQ zgoj4{S4UBvRk<MtR4lTz(>H#XA5CHqlU8|O*P)wGJ4Te}NtYYRxJzhuUheOOMIM6a z^B=$yUGbb3Q=RC4kq!BNZRWW=ScW0&Gy2sM2&_h^=p^9hRAfVjqCwI&NF<5K(>8Ln z<alTPNB_bwGU-l~3z$6{3BI?Tw{y2s9RnC%C(1ZJ@4sWH+(2~GCCGiA89*;t=lXwe zziXv(_Or49F5G$9ZyKf{0?@GU%>Vr<GFDxQ7N-Z;-58Afv66G|d|H2KgiC<tXrjza zY=2CIR{&>Sg3Ll~zxWg<3f_1j#32BB)bZZw{`wE#=Q?D=8X$K~K({>P=`}#^D(DIh zuaQ)cqp(k@YxSNg@FZz)SZa8Qv01Jm*-Mv~^m)l4hz~`%|J0x}Lq~VfcPzQuldgur zLtVND=AVD4?0s*w8@D;<_)t2G{;(_*45>HB;tNU0VrC$_Jx>&zdgtTh$s&4*J&VMC zW})j1$=tfUj|RXi>iJO=xBk58Y_nA%()#%5U4B^!X@B>(plwAEa`KU3bO2lsXXH9z zItyt|?ds%&R3AKqHDTOzRVerWlz2Yp08sgl_%0L4eM>?-{IBqdY8=0FAHdZW3<#ah z%Rh3Fhw6Zc7686PhlxE_FsNYzUC#tvk8lkT2|mgF_h3r7Au3##*Kd01k_aD)zOPI8 z{&Z=;N0(<wyjJ!o>}pd-=IeUKr@+;5$OblLgQOyG*J2dL)T~5Hj&$b#k%FYIU8iB0 zK$|=AaPVe{#lhYs#zR3=*MD;3`CJ3!FM@vm^~an~fjPVWYfdj<PWr%nDTuOOc+Ew+ z!puhiTnwI*7Yv1WNC_JS;Off$P$7AER<16uFMUb-g&?XOk{dsc34j#bCOrN|YIOq0 zxdbf8Yyl#KJ~;sCgcm949#~WafgXbw^#F0?Euda1H0<u5{(1z6WC_0Q<;rD6xCe-4 z@uAwmTm27)mbmbOHt+>cIXEsc_PrCP=RP@L%n2{k*gf#=<A>6&_y4!J^cDVBicES! z>h73lfTneVjC~2fB07L`GC{_<v0r@c9O}@B%J{mY*2Qko@j0=Yet`(Q?B6}$lnK0i z-5`tEnZL3Rro#gmg9AAM>41kAB#!&1x(A31CiJ*8^fO0z28b#q$T;NpcO=L+V8>4r zBAKU`gz*1$v2jO%LTW&WGhXIqYF;O9!T)eai56w+%s(&*6FVe28QPHzf7<@a2#`c+ zDm#zlX7wNZvWF?o<n+g=gQ;DoF7I}3zqmRl>X5yI@wh|9(QeQ&>W`LuXgm}IZ>KlN zumr$skRXV5!E^wPYv&{<sJY`IoeAUq`~W!@LHheEmz57_sROhu?C)SxY{1T*CcIGV zOzfG(g7ilO@c?ckXF!N|UIy_X62ybn3uHDhXA~<3`CJ*O*hADHyw+WO^l2&Lz96cV zKbKX_BY@LR5Jgu5dGBh&PCAac9HeG;dAC%lN-9ivBrT5yCz~}w0U7qeGk~b<n2Xc} zQJL87oLbodno9@G(Uu}=1yHRlAdR{OaFzg{)bxu-IR6<XQ%ge4a+jqA{hO5xaFNc- zTPet}HQ)~>XZ}9xUwd8>I$^0!w~fgOAjNS%=K5W3EQzY+2dVk<QUnMKt@m?T$DIN= z8xv)mlYpO(lYUnVrmotKHXST>n=31KGt2zeE`So?CyurdX<sV})KYv+VT%yf?~doX zzx3!<wk9~8G4oMNrw3xj-p81zCe?>kRI@T2wlbfYI)VqP{(c<#TG47I4J+LIx{?+M z37<-?>@|XvFx;hxUc9I3kc`EgHc&;!^#u`puwa4L1agB76!e9JCxC)lkTVIde7jsB zdI>Lm=?KV`1n!CQ!=iI*7x-k+I0#qJ@-=jl-X>&hk%VP>{KmJ78=|M4;!9VAl;nKZ zXj<3gjd4F>)_hahrjz43okuvo-fvCOjJzz=XGsw~zAV&lN0Dc`oFVt{!v+HZJCogd z333C5ucUE7!eJ?uy;r!P2#MKbV{svp4Mm<+JfrfVYj~HFs4A>dp8_orU)xH>YK(wG ztz}rI5pbwAA1fvSDSM_W)kpMUPV?P8-fNMxdSAnya5Zbl_FB)oHs<2kW;^_F$z9f+ z*OhG?^JG`f#vRV*LHv>?NurFq{II^LTa4y|v07rMS<F6bF&Zsp<+@3*?(h`qRM<!; zSj{|;;pVpxdyYjlzp3mz4Jl!d$1-UWe7oQfy;9I`0Z55>_(8*^Hg~Rb6XnkR!`l4r zJScHAA%$xXA&SnL=Ysw;qpXy_@@>Du^#Un5ixt<N)X+Ttr)<Z6%U(tkVnEry&{+pu zP~w%@<a(|bM6p@oIM;omc=hMSE24<*ceKlEz7HufS1zwTvY}{pUKa8-qloHV7J7uB z$m1?&_?ozew<`WAc;@n2r5(kc2D}u>wKslsHmZpWIxdE;nSbR=mw{YaJ3k?^Wp>tr zyaa{Z@FY}D;Pl77u|keKQ+!)_AUIFFZ@WZ}GAB4bvki7qMS_2MZCo-ZyG{=*XV+k4 z!O10FX<^tz(L}ud*z6lD>ORYzlBPnfVF9~r_y7L&Lg7R_i`n`eaK!x`qj`A0kdb1M z1=MGCC}(qW-l)el`brB|Wm^(gQ0QOiB=W;?%_DIkUoDF08F8UUcR^poGkkBmHd+Zo z{&DBgkVNA!TtR9w=p=5gJ+*7IQKno_HR;*7PVI(a*kQ`~x8G~}f@<u}e=;F2spYUK z$7g~L(G3uvnYb*hwIC&*Ut));w<w&JmrL<8ToxX_IqRBDSbODoTY!aNuAq9*vJuxF zQUYBQ20-rzTnd)F^&wYExh6#VO#fKP9daW=0!>f`9+E<51#(%GI74uy1mE`4kWSAx zzLYE4lRBE`{~m@lASqDm%WGBU6n7o~WEIF6Pk<6AL~rd)rPZyoi3vZKE#Zlw5`EZ$ z7Rgi_YqIo8=2m^KSA3XFw)<^Zmds>b;nC%_?|E3%9?P9Zp$mnbod0w5Of<T9{B}0y znNtE}yKIQvyldLOj8{&r^lc|@Nw`$EwgH%y?tSM9I<TdPSYBT9x1%uZUl#JSq;Ljb z7V@{IK<coyjS*L?QAXw0V-$ww!gMI^$tjhc!CZUw60=byT+jmx3g@c05cxI*5+73= z{_FCol79nVVugA1$DE?E8Vd$vE}2-S1$|Y=7g#Z8165}{mfM-dYd=)tPr+EMu<pGv zrv|J>{=G4mVk}esT~)^_Ffi|_I={nmOPuxM2$B3#@FP~33JR8DHK<?&SA%hc_wDiq z76Q<`51@GtK=bxli`T0`0Gc2q@pA2rNusl)xu9dP*<>TG7h_jviR{{9VvoVOCrq@2 z`k~YKT-t^W;be=W;kb=Wd02VaVzi}wAo%yk$(x&hb>EaVYe5W~j6O8C+t=3dVrTtw zy!iR#-u>&NH6P9FoBvUzhu1aT@{%aKA6?HCMBLs0*Uxm=d4JAYas~gios-$Wvmw9f z_3X%yw|?b=u*X}j4F&7srcM~-Xe~mom0|GxQgYIJQ(ZQozdhv2&f^=w8t$teC9Cc$ z9;rX}T9)>V4U7ikD{8APYW7^w&L`RV)Udz{)^_vTb|+---ygs$X0FPudOc93<6~$U z5ixcaO(n6Dn{W2j3qCG>4lDTRUL>>kG5vI|#1ET^w+_9HGHBel&6NG9OETYo9B~B` zW&8+Im-atM`(@p@#PMPBhpq`E_~8AwvM#PQlI`=o(7$G*)VQFjV8|f3EKFYluYJsF z6cN_g{Bks}(Yw4By1#uUaOY!Sedc2I{>RN}-WaR8Pn3CdGo>SQf9ZHDa}mG)v9rE7 zuVHNau@WEdO1)w|_=_0@+Uuv09mg6W<A;;IU0(b5rTwu>ep%h%;=yHe)Ai`fYa~mG zX82{HN^6Q}<K@zzG_DupqO;ibgVp~2ZIy+v%*pX>l{t=;rBDxljb5+K&`CexQX|!j zH!-y4h?sbd&B^^w;hC0Sww;?TZEMVU!@saSee_fHx_U@Q=5-VWI_QV7<>cj)^25nr z3g(ygOZxZHK2mfmYW|@zDqnvL^Bfzgdr#H=6;?+=U)AAnEQShFp3O;Ez|KeGX{pUn zTbEvI{LRAL#j<~Q)hn77r6Y~cLk%2f6{PHD9eS<btcE@g?X@PBSQW=>_(TJs^uGO9 zcJE^-SJ2YiRfan@GsG_8W}bQ)@@04iXML~R%QG3TikGVp|1$!S6b1qqfe$F0yqATx z6S3Sqixql$y*E-pvo5_iUjBJ-Bp&<_-g|?vYVSpvEr!{Y&r8{?g^v1b$X?wHz3y*r z5J+15tiXqxtXE|2$PCt_K!g4N*xd6%ecKGj<qZX`IT4p9Vvn-gE^(+H3+ANB?7yD~ zpCVLRE#6a|35Vc*{WTj`aao9LM1k1N{rCC1>06n+0ec@w^&Me-{o59cVYYo=wsl*? zSa1)jeECzYXU+igUaD(5OAG(<-Ddb9YAy5|yKZ-Fy<!abgAN6%IDKssbl?7EmoQKK zl+&{=4gB+|f|f3(%!MEaeoNC>v+$&GuDw*T*{FH|yeqSD2O#VHHH(e;uje!8_G-~C zy+`tOb?i67z7*T^vQCD7$(`b<HBwavzUMed;0gbdSVs%DHT$wHGFOaW0jT$pgYNse zJb$hMb`6C$<}$s{KZ<Uy&wMZkjl8`vU_B?&bbDjabdKTTf{Z5><!w;2|3Ms`b?c!h z1K>N3*;ujDcU2cs13qCN*JttqxM%rk$gZq~4*nPWDSv)PudUB1Gb(gSh7G~5PQP&I zy<r-(G4kq6kksRAg1Gq>&);9}G`g*QF|)HAHYvlCg^jOF+K?utK^nQz0&DuU{-L#U zRD46uY)(e!_J%xSuGl5cCNOa{#=Sv3*?sfThR>-rMP13u_SIM&fJ=w>Sd8vnRrJr* zdXM4$^~b-`r)u2YBl2qN^M9noGBVj-SHqpubKSUDu&c<2Yp&nQc=fpdisg@5J+CJ5 zwLYof$cc+J(%khcdY6Kcl730z0Q|76s5=1sVIZCBK#qRBS(Wihn#jDTI#+}h%LMm+ zvO)iiw?~U}?O=4fl2?yOtxH1^GL{aDZRMe-zsX&<%(NbmU6_;nviFx~23FX4zTEie zY3033!NjY61jwa*K3Tu4aWKB|jRIaA6leXKeIlSYN#a6sD2nLdWg&TUio7Wxc4|+` z;D;TlQz5hXo%lg8Ut96{WUv--K5)QZ=>FWNZT-WJA2!aDBBsK?c>OKcS~S;_iki>Y zx7ogIT;r_oJek_{d16>&oxm|BZaz34ht+@@j4dW(nV|Zrb5U5aJp<JRDUd8^b|o(! zla!y7fd+YX7b`VDSDwBTKK-py(K6F+K-POsGE-yz>URVMn&dZH5XU;jQ{N{2vT+LB zC>p)AUoW#2wfP>n2e3L0u04=D892a@wWDx?-05#gfdp^;v6T&Y%&W(bh5_mCP8mDk zTYUbHs!dM{@0*=?gZk$#y??RT0IC}^wR`O=W7A{NN4U&O!3QRDA~)x$@s~h;^sDLb zVISlVKF|euBNTF!0caNSg>eI6CiyYfizTU9q9$PV$MI7&D^sqE3%|18+x>D4fF}7X znl9?#H`#R8YyIbA#E-w?{@AQF0&ws%^{ay?|5<408vc37kHBC5xF7t4c?ptKXlu32 z1SmB{0FX1LXa)mnrAHC11JufZB7YrFE3yANXUJ}@-27e(5v+8)_SY!e=Ipxs^g&6B zP3Qyg%Ve78<hPeUxPtfyjleZ}vCpT_ab2j>@l%-Ou1HO;&{nOYG#QNe8esC8xxoGU z6j3p8A$e_ze4BWN9PGbOi279{xy<Y0w;x>KKSo%MQm(1_oNhZun@SvSDn5vTn-AH( zyaBvT+Zg?QukMK%CB4MTV?2e`yZmu|eSU4q+lsm;rNxP(wO1Ry?e1mGx)uj}tfYCA zSbKP^40bBiFzdE>yn$lq4^bZE9kAr5`EA<kcLw3rZp^|&7U_8R$<+FjO|3w7*<DwM z$yH(FS1TxUZLiO?n(mtJ?uh<zx}lQfiKO+7*YB2kZ^*3S{k{w}mCe)cY*ZF)|2@(u ztznhsF6b^`=YUy^DXa+Hh}lR~E>1|A9?ovND_kr*-uRZ??EC!03ZtOD0Ll@%N;7_~ zpe)mrcMDhKGoJ)b;7j#m-cln*++p^KRBcUgnCtfXNBP%E`L!WFf$9&-eKr}~9X&&G zYV%1scsHM#-xmt*zqS2YEK-)p4vRdp3p(QcTbjqjzI6BoTcouN3e`O!5F4nuE<>&u z8m?|e*ncp(k&yh!+skeFi^-9G^h>ZV_sIdJYhBvO2iD~rNDSZJN7RfHRz3J+mDP4v z@(U|mD3ecy*Lx>w1oo_~(mhHVcySGQsz|rHW;(*}q#?Fp1RiMPqF+F;S^pULbIf7b zD!+ZiQn^rG>+`0x`EG~xcW$tSvuCkrl*x0T?e)|eHQfHA?j*4836hdtoMt^tD)L_L z9~v4fo#=g52S1bjm1uVSi*>pGih+R_?IHYq23LKX8@Qzi#yIljQH1nXl)q0PG|ou2 z*(%GTCVCqf=6i*Y3^YqwR;5;@u6nE6I^gKcI(QXGwAWjX#Z?1pSFR6aY}NtdT~ubd zNXySN5I>OoT3hl2$pf|2FCLq)8SWcsDz7Pfo`oMOtRd@8<1-qVZrG$$(rW5X-c4pz z&x#mz*iH`F9DPjt7(cjE91PbT*^nI_YO)~&6@kkiKTFS#sF-P3+D*1z*$kK?9nlgs ztPaZk-*24w8Nkof@E|P1Tsh$p;4tOxW_9qw9_wC2QtZkhA)a?RtkcQ6$U>v33@SM7 zb}`&EE$Ha9O4Hy8X&G;6U4^;cvR%<|9I9)z`ni}VCFd*M;<Jsl>8RDQgV(OXROn(w z<8h3Jt`YY77k4|h6urE`pWQDOqzdv^7E98H+{Mq>8Ma)kvBg0J;R0h2*0pnmZTF*# zAtu=(jm#@#y=;bXW`V6daFidSv>+8Nu{J8WR`q}*kW~m2yag_@fQyl6Fq;4uBg<aM zHUthN`-2My;E1v*IHG(cRrZfa`i^9Xdqf}WccG25L-Vy-8qGX~z5LOqGr%F)d(pZX zysmWSXbGDk!8J0{r_yfbm2K4K_e3p}H@IA0t`!Mx|I84zbY8G3eZ^{FyulgahA%Tw z(qT`ZQhra5h2FXMC@**_<D37-OjN~hkInHjld?UHwZV1X^I<~HVSPf~0UR3arI`!& zw8LMFUujvteEU8l=Y^N&xYVBBeuX{Datd-z?dpHDZ@;{(1^I4i{uza`iww4sg-=ay zmZTRSjvTu;7<_nD*81QB%!He8%6;~omY2=B!l$1Z14&CH*lr~vTN8g)sdb=JfhmZj zc*B0QwaSyZoZ=Uqh7dJjl_DpGj-{aPM0|W5!|>%8BR-gj4#!v<<c!^)Ofye1_ii9v zI}tU^2DgjEDi29%PvOM|H_8q@+&T0OKP*l2nb$+`Uyp`%AN(HvzA1k-AfP|9=%v-Q z^ZGl}`Pw8t6=ZYc?5*A>*MH%JCbS{p)?S`ZHa7(xo64$8HPk$0N$zxw3~5g89Xh#P z;r=u0B4?(OZ_DCM!IQkbxP0yKa7=UP_sX6<>V3Fevkt~{vqJF1JkFyVm%_1nRk<!m zH~L$cRO1C6#MM!y=KU<elY3`fdus?{65ngn{M*u54jz)U_E#LFPz+)M@v~60!={hm z<1$v*g$e6)Kb`0GJw5+;F;DOt77H5jQYvlKT@5#mjoMn=-le-f8JWn;ZQ@E4Fbx|| zxugsA@l=%C?TnQ)JR;>(f7tugWx_fdE_qEyrMjkGt6ynHkm*c6>_K0*NRWWX->!m& zoONN6g2$KN)r_}xe&#ATmD^bL&;3VCn>1~763lQdB_<}as`5t;3>*p!_xPI8<@SuX zKGF12!Q1#Qln_@vF8KDwH`L2BAr+0U%*;YuG(TeQj&*KxLBIbUwR|FHM`4jQ7;D#t zScl}LqwZE`_Eqhgl#|pfJ*C)kCQaU+Z4eK%d>C$ojdod$xf)?oAl&fp@Uv_|+qY-I zW&Yc$#MYZuFiMAF;L7*P!u!}H-qqWuLdqM{E}M%{Qj|tBIyW{xk%{f|Zf<c|m<5sn zapR%&8ELskOw~7jf3%Im8L!0r{8?&yH%c*3+jaYKt!*6TAWT%ExscakR=eq(AYnB| z^}|Ei_Kx8&Q4#MU)*p|^pzzZ`?W?9g3qj$LFwr+WqpYOw7NGFtN{nhqqitOL`mVP6 z+ETgt>1((l&lI+g)SK4k>76~^wZET<uypQ^d`I3n$YOB`8{4Q<@kJEL5o^u+b&4yu z)O)rfwT)C+HAYP%pTB~5v5ZDRCrW4in?p+z-=R>V7dyU2Bt6!uziATQUBA$yw`HWN zrp0klfD?Lc1@mFGK~8IO_NLTPf4qigPVqA-74T{ahLvjz`W@7fh`YY^1!?B(kJfc2 z47!=;zdomid?=RJ{4z@XPoon?U@TXjC0v;b4pWo9M^pPO?qY;K7Rbv$T@!Fm1-^X~ zON98|RjIyzalu9LS8&MVPe~?8Y)eK$>*$L&4wV9VmL2j-4iF1tRjf(EBhSA5sueo= zUQsx|*l=a#_SLn`qF>M62|Vx=_%e1cd`0EXvTfFT|Ji|aE2D=B8l~=opD;QXt^F<; z2VPgv$ml$5{*<LiQwc+S9y4>7o+Fhf1vcqYWuIDEU(rSzy*<lavU(eVFuy{fQsA6W zi=k(}q>oABMarCcdT>9)NN4%$S);uCS)=tt;nR5cw-l~2MG|5a1{;72R=B@?YFXXW z@ktXu(3dhGBw^3`Q1HjfbhO>qkdX4C{?;3jj22_VmgzobmC@3dEXQDe-08Qy(Yak- zbl&=>i?=tvy1fi1Uh%u=e@Ddrg%Z0&kp65nqL-(h;#efWdgHPurV;D*^b(qP^77hj zAmk`Shr&?HB7D(sG0++Qag4J?XT5vp4makabwi2qwu8_{sESJtC4XbZzfDrf*E*ZU zj&-QrlCj3uAX}vzGV~8&{6*>*F^0#sS6k4us_&mUSimEI51}sYi+WXZt#8?2e8gcw z^qar;e>~!GJ-H7VYQGn4r7CJ72;RXlgQ#Vd;Bm}}AyoBI<B?1Dc03KL-DuJQr_g;A zseWN#Mh`A<;|8g@anD&lBPdY1Oegk&;I|vLE76c;v~c(A#i-Gs(JvB{6ZHF5DzsqN z(wB}0Ckewv!cX)EVm-`*cW3<_ZcHqXB;*x2{$=*#>2d`66#hGpfwa-=D65%^_f=@V z_wq9(ozTCOWpg}Nv)BJ)yI%eoRfF5KlxZ;xeUjyQUUReLf6?`oVRbV>xVRkL-5n0@ z?(XjHTHM{`;85I)yB06*?$+W~9Ez86&v)(Dy?LHwlg;ezWRf?T&CbkT1tzlJR;w)b zYiSn6c@SD2){VXJm9ayL;C7=2WhMJ(<vtRYyJ}S`5ic_!jeAXvAr`p1YE&8-Eis_& zo@XTAcrgy35lU~L1_+e9npP?aEifQ`2oqXcwyE&@N>mzw78%eiy{5+b3IJ>-Wlqq$ z4rA6#N-HGR7HKN_*>#$p$qNkdnqE_*Tm|>H-&9_+8#Ezp>@qC(7z#A9t2JqD&NHGm z1*{K=W@iLZuPb=4n*l$Nm-1e3vrJ`s>UlMFk7-&jxZ!hr6~UNc$Zm3k>u>-K;g%q! zvfq}fFwCqoV6mQMM4fuYcMJ#|@9P%;Zb3GBv^<WerPhz~;5I5XX-|G<MDYt)TXv}M zXP0ZT*!<3jsq~y0M+UQO%&CgYV~+~>q%##LZ&ImLs#|41a`c=Ub0~0cQdssOBI1T$ zG-%fFNQqbn?`bqkc%&q(bBztdCEhhFSwi)$<muB6={mFA)CaK;1&8%cm^Scpw<{`2 z9PElKDb_1l6Y<Ee_o^@`ff?oWoEp*u^HHI)$lVC$<02!5zk1eHf3=rQMt~#u`(W=% z*|lEtvz{=slKnl_=%U2WV>04jAFVPBfn}Ps{b1I>w<Ph#YibZp;P{|W#jo@8^fF_u z_v1Xw(@LoPqxvJbDs5K>c(%$PRc>HwA^$r7FNX|>-}!I~35{KNM#?rYk{t#O1d;F! zjCE@LR(~Ik8Lg(Fc`S>P?rZl`l6`!CYplSH+4_>6q+0Gh$*Vx;xh`_SdfrbKA6Jd0 zc+w-0u7QT3FdEpriFm8<CAu397XS|L8J6oSS&Rz~q%%emCII$##RCIvSQqNiO|cl# z#irVJFIo(zAH6Eh5vu*R9IScZR7EgBdAaEqlY*U_$ECyII#bH~!!Dq-vorkvVrXJv z!8(|Si#dbvsv_K=yx4V$NyiXY#<8GKZk4^U!@~YKIThcE#d5ouf*VC#8AF5ey6qD) z4y02<+XbwQkN35!@>6cD;fmBDK7%8qEDg!}+aDI22=EnWu{>RrgB`z@#^L=5%!+h0 zTDpx{ah}e~<9_<v?+5j@-4&V$`0BD6_m*XmdY_jk7l6rPbTpuPbXjrcF3RKPAD4#s z``X-eSV{6174eGB%VSi*wbA(#?15*Gm_2JVVa#7r#G(U}#r@j4GL`nm&5NH+#^)0W z)#e)$y(_;Z3b_bq(K3$^Q|_fzLuy3IXaUP?gIWzQ5B9ftf>@9fj$J5kONPcNFKo^2 zS21NEc2!gtR6DzBF=`=hRe~+d?|uESH(zk5pu%|#1s_xNs-Zxz{MCzbO)#qxVZowk z5i_2_sEW~rQ81JXgVW0>Z-ZdPtr|)z-1;FWwKCofL~iPc35rz6Ohz|mRBTUc$hP*c zZZcw3u3Jz<VtQN}W9;*6GGH~X1BWx({<Ac`4VFQV&XU)6Nmd;1cn*`$CZ<R2bUE*@ za(aBZ2KWCKws?TMS`(*8!wM^_RDG+QMl;{JxYczm%$)BnV*pxuS$|nd0Vqy5RYOFZ z0HwNl2_z!$JF`~*Z0`~1+02e4>@+1ome<NQ@D({QcC8)w&6*iW*=bq=>5FYi;uZMS zDvR5_krUOn=v#MRJA`l|ct$-t$riPF9wBLC=83D3l+V94Qit5i#yRXHlxdD?W0jq7 zPH?h6iTrT4r#=Vu=Ydav-I7CTpH1|u%5({G8H#80Vn6?|T~EGwo8lbX7O|*-pQFUc z5Y|1U?4LMg@Yrio5J81&B$<N+t1Rx5tCc0MEb6b*iO~RJKi@1WvKv=yGdxL8%p`Xy zL$V|#;?r0jUE)o?$<zo<;x4|~)R^RDkBuBh&RglntnWA#t`x&cMt^s6f0vTk9;VAW z;x-@bjU7nV(?AyiwDvi}a`~v#kZfTc4)euGcB+l#rdLK=##>j0@5gI@+yQ(_;B>x) z4NZ+RiSj3fg{_k{5_roYU!KbCL&(yix=WGKCOHuwObnVQ84>SK467#v5+BkJxbcui zB?4okJM*Zb(us5UMpL7fi8o)eso;xTj}A1GgaXG>lR1fD`KHG#EwGSEua@|eutiQM zM*Nb1frF`uNW}b|6R8PbWH^q@Cnr2rnSs_p-<zW_;0+1f?%=3Me_4%;8-idqzV<gM z*D1s-0Q+WRhrDH!F3m=URAihkEk*_fL7l!?7-%=_2Zup2P(taXkx{GXDYx^EAo>~0 zkr5*q;Eegmn3D|gjK#>Pl8lfNxJqOhC?!?2p|M;~1R7jbj#9Qn3@lB8X0|8{qI3c` zwm1wtRdxw^GOCPQc8N(7e{|Hf3Q6LrzxX9}$rw{F_$5x@<PUxcFc||6iJ;^%31jLK ze2zsJ0q<gof~DLSq~<>!8#fEm>zs*AWCHPZPQ@m$65P2aVvwy9Ai7csBcNR@Qxt~f zf#`QEMn-f&dM~*cMBfQY0+^{-t~n0&iDUweET{*3&c$CgQc&+u0_S6g&_LOp<FQfi zpbg)F*oc3k6>$lRAYb2b3^Gf6M7EB%r<_<AU*?}$W@bij#bV|{RJ62g7Uq;xJSt7O zB$wtRqb4#!mzE>r@F19%+q>UnQxY0;IVeC92Dd0{s#;Tq#$&iOaE~AYsMJe~gw!}a zG-jdCw?U1BmuJ0io&XGV%9IY}9U)+EHWDHaoS{Qfh_e>AZwAtHe}yd{Zz;ys$IYOf z4%e{OSM#SzfVhe0v@nnIh4@)_Iw@h^092Yujf&cAX<}EzMYWj<zm9FW1&6v88JngY zNIw8==rNFr`TVnQQOjmT!qT)dH*WztDWye4#I?Axi{p}3Ob?AOw-hty^HHJd#KAA{ z9O&!Q3(+BA&4VW}M*`Psii>KJ5tWc-wQn8<4D1CaKp>40xHTIHg+5U?PDt!)>u2jx zBOwuub_myDbS1Y_qBXf#J?7Zw=>A*tkhjEUNv`wD)d3YXTg(<YEdMdmY-Z2}9w;z+ zP2m{0a(l6jwt?)%vKTg&R@7%QZy&3+lz_YHzWCo|SA}NCv4bNHRT%5C9eG`uGlwxW zItzcx(Rm%o)F=}gde~yQxZ|T<=`aIPNY|G5b_R6yt8Tq;b2|&7aIdXO5_|OJ_#FT| z?Z^aatowuXaKkw--d3|b6)R4~pQ;SSY;UUEt>_<KF7A)3mDUz}t6PXDsY8@WO2Cte zA<QHv;PJ#DN0JfnbYd8g6j*meKTxB7en+olepo`$U^A}1LYSmfcRVqK1%_qK)1-bZ zao}uf!ZxvA=WuFr4>9aZ#anJKzIw~Vm}F1tmrb`ewAUmmtX&VQefT7&ox_R2pd=%q zy$MOtJU^u6jdtrpc^P6Q+mTUZ86lxj1m*z{3||HqoI46WF*fI}Z{)e(s6g3#Q?XH& zpbfsc*a$38C*Ndjj3(%XZ&ofec{!qqjg~}WyG|8f{UrkftBBoVADN0r1Po+;8Kc0t z*q8^<%S(7F1m03a6FU!ygkV_$h~5Yc5^EWt5g5qYGQ@$Cu?dbK-_E(%WFqjpA(b>T z!tES=Yo^XNvV}s19>pT^o=nEc$tseAREB=lJkp(5hQ7-@vL*Kqxe-V;X5rtVvJ8Eg zc_as(481k@G>!~$on>STmJB_!dE`BY3_XTC+R$*MXRPg>unhJu+mR6$86X%yV<s}h zU;vH6gM437Fv#A)NG2CXAj4Xw=nsnk(dR2Sn)S!&gVbt9ViWm6eA&~n34UOJ=3<b| zvtjOS9?|$yAhI$1JRTVEIFEpeIwfGggQCJc;j}oEmLcvPiA{h7`DRbXCP#r_e66)+ z8@|5@LqV5GH@McmeFBu+pfzRU!dnFOv$MhQRGk_c^VH|dra+qVvEJXe)_>unPJoCR zC17Wl5emI-l(i~x)xxDsnj9K()8F8uK!T^U-ap6y3gv%|N=~-M*u!#@0fKPQit`>T zThv~Z>L$ndQU$@o3koOF*XE@YK}wi@p_7|QWBfZl?VC2#Qv?e+>fDpYuZG-k{bV`i z08xaM5#ca{&Z1KDCH(&J`?8f&J|)8W@~z5m&0TtD0})y_S}HW6s?J+wp0`4>0Ml=| z2+M;=)u5VuRFfmNe30U~rJ}S^Lz;O(mxbo`aHocIi;|L2ePJR;>1C#dP#}Lznr$WD z>~Oml&0?O?do7xu<Bt(3q}&OdLf>9@8O?l={#DHaOhAma`9TU07)Xso1aG~+C#>JO z!HU#<H8nI2--6K8_%=ZG=*0@cu#LoR>$6a9E51sFz2dMk-wy(dg&b*$!AiV33P?Yk z0!u^KQXD9mk72MdLV(zc)?C4cm<oGL*;1S#h08NH6^@CmuU1Hjq@ZghuAcy;_xrC( zo4aKxq}B<0bKI_&LyHfQq&T60#j{jHLn81bXB1}+3@6dvD5N{qW_c7uTZ4|EI9~3t zAtITV?S{HI+``)!N5>FRv(TaLFlePZ0=|Kv=-n7r?<E-QA?~Y*FYrml^)leTa?FL4 z+SupzEz^~=vv$Gn;g#L<`k@TSy0}SbJ*4ztJd+IWuie(3$AWH})=_{_6!GkmP8I|v z>~*b|q~^A>ctNqP0Ub;7#wKgAFBT7>zlLZJZ-|0ToS2cX9axVH6{9S4NlpK_t%W@V zeJ<Q8TGZ?4I(jtJ-(i&1wwHG$cHp<Z!%r%hC#mH43Y2M;57~MufO|k3cSfJ&TcMFP zwO!TiwJXv+C|i1gME_pm)uV2Pc(X^^+RJIejq6`EbYH+$y+z!AwZ9pl7%2e&SvA`2 zxspTYKpX*Nqiab?NhNy&6yQ0T77{k@Gg@i+H$eB)7X>a?nm}`<XeOh2B`>eJAz_LF zHc%s~XwKY1`^lY<ZjC?qA9oG$qr_*isZ3?AmZ7<vBUXFVt9eXFYBblVZ*|B*BO{jD zNz~UtU-ksW$jZB#YRT;BS{=95R$mgv8h;zy7;Vv2(K9?0(L^^oW-mA!wo*1><slz> zaLP(8%9QTfUi{&1n!8}auUu6c0RB>=nPy_8#&~ok88rtoFDmaVCaQPp^tA!t8t7o7 zkX9c|>F4Q;oo4HY4V&n5lH&oD_71-1pU#A6qZv-Fe17Tg@E?}LjeOS*OkCU@`!-~a zRb1HVX%}=AHCrXRenfYEc@u))hESE|L`rZ7z0bs7RD@NgKe|TW%7*@d6jiJ!L_QkX zN}Je}&+7VSVu!;2iHGQlq(<GJlMQ1y&l8l^$as8PC<4`&@$-L}YG;8W83PLz%;hhg z!x(p}O$a<|d-AZc`w@`}_&r=*V#9)>9K_APXs|VNGw5v?vmD*e!l`&_Apt7W!s9IE z!`x#!_hhav<CzeU0D|e^aU}ACLr?A$4z}Y9;Bo=ravThLdKfrcS}Swg>aglz-p}%b zBf4O!dN9>#mpiPw;5;2H7ArL@(nFa9d&8TJmbez18x@0Ir^$=ou<3XdOE;P+Q%}`> z&M{-@lr5MpA*OV{(yfH)%c97&nw8*Tt0#92H6y)|Jb;#k4i+hED#}jFw(L9^0I&r! zxeRXWrE0%wA(OXiKizHk&b|kK9lxjp3CzdcH?#e~E_Cj{-d*8U`_AB;nr~+2mOHL` zu|)mYn;3Vo^HSV0uu=2idQ{WHqg1D&s`dpJJ4?fdetr`(90e1*uefT(68)oh;Um2} z?;P_TF$B}5gWHS)U*F3y`1*z&!E*5e=M;ACTa8r4{8JuQjppU{O0;7>X%f9WioN&h zWv>%up?6XMw6P;KHg<~X0xB|+;|1=WHjIqad}$dLIv5!Ae3>rgjLxQ*eoqWed}Y1b zR(CvBd{@zEa^-dC_P763^HyI*n%0(5R#gJfR?xsAX_i$SUi4}%{`MkoIc^OWt!WNi zyP<)_@+dR^I{%jcAA8~&#m8+%hAX#;BYGRe+(sU2yrU)FE4OWYTtIpyWdJQLxSri{ z<Cd~$QJ^k5cQ243Tdxos2#h5{MdGsOI{1(bS-Q<C2>JU%_73k8O-&IR1{>nP1v4^G zpV8B{6$1zX@!yIAfe2w`?O|{0V#;pn<8JQiYVFSAYhh)ntpy7Kjgu&6OW)4=QS3to z3Bhaz>0gqnuZ?aeGb#XKDl~wHb~_54T1#+5N>5BEqqrY#R#JIXadhIJ6_t9D=8{6j z_$}ZyXsgbb?rq(&jpnEP>bM!&B~Gi;ac4~|s&7q*cF3zfvE&>J+%|OvEE{YjEGOp^ zp*i)Hc0Yr4?ZC@f@#BZj?5b19uF3HA{D;msE!q1x($g+ZVF1ebf`x<VGwxi_wqwWo z9Z>Y@YxAAo0q=Q}A)V{x8k0+spV@QFN&EHn&d|k=TEpQ!FTcI)AVTkT!lcd0pN4N0 z6oT+r>EC5(*qz~X1%IAaeg=&voA0mxSSauc^MAdY>2Cfah}0-{^<se#{W)}ChR}Lo z_VQRiUJ!GX{g>?WTv?h&d89*v{ma`GC1$g4nM;CHQGDenZ+MC0hVf}`wB`M7rq_81 z5x}x^Q$nR%)&5SeS2Zdlyv00_RYgfb#!}!bjg*XlZ{0Nv(WGu7az$E0R=4D&v{l3z z*5aQ1UE)9+ZCOdltDin0Az@1NCpy9TLUT9PEGV(kADU`)X~b`KMk8v{${r=Fq1^IZ z1P!oAcdtjXQJ_RBlb{QS+lagyU=T<}jPj!LE`z&k|DA15(3uG7MWqK0e6-wopt;G3 zeOu50f(fiNmKgBaVy}Bq$t#b)Y16HGQ8BcRSG7(@y)E#L$1a+ZxkJ7!cw!l-Y%!O* zD|mt*t!yzBx~sm#q7%vdS<wA#(48h5ie|m7=6KN49FU<D$jgJKTmPsb-`P=}(F+;K z+mXDAX_^$I!EkrHA*vxC$j^h0{a;3e??{&Ak4PDC1~Tixbh9NWRItH7v7+O{n!!&h zkl%Y_IK8!tG3IAcmNJ8%WFSvR9NkD|i=u)F`YvKD>lo?BZE8nV#?iz6u<jOVT5_A= z%WHR7DqT4h+4REq7B_kc9SzJko$yfiT@cAgED=6I4V%IvZ$x3hiw+M8!PLFBM%s_e z7spL8<+F|A->(|Bw9akf@-*O_>YUm&MuvTn%xCbwqQ~1mU)$@2s-V~~LrEacE>j{j z742Iot1kaqU8XZ?Ss;5$9$9N1awxWN|4xBMm5hWb$uY0jV#vUEzfEseW;AQ*oOyH) zqRCMm!-ay?lV><F+@#ekF0cIt^HA?ZAs?EVVbY)Jd><+QwIOW@Wfzb_Mn}f;XV2Vy z^NxF=*fN@!&!ugnO8hXr*#jR+S8_El^-MSSZgN9BH*{7*!!|PK*fGm0!y=ID37yu$ z3fQ3tBugZ*ib#KEWac}ril#-59-^-g<n;Er7m+@uy1GC5kbRTOt1Ay=?9na_WDKC* z-_p_8Dw^WaWfS>&r4d9n-5}rhqSt})`^a*WbrHOg8cIvH-o0HMZ!xF4`GHHdr?S19 zo_1!rS)G>lT<x?n`JRSb?5#cgufIj1`rV)d70MTNFC2-54{r}wJ=D;Zr4-`3ojGI$ z_a+J`P<?9`aO%aPLlNrVNK-#)&_IO$n)x&?aTxZC0dLzk*hFXiiE`m?;cB7e*lx~l zt9_otu3WUf<TRn8&{DrjG^XUd={*>e`cuNbTS$xQH5=Yr+q*)a@;HE5lvR*1hzyVj z?@iYrjVCVL-EiR_$?J*nt&HiT_tGvv-3bea-=@($I-aZk-J|iG8xHGvZ8WSTCi0EI zg~|ct*>A2{uvOPDmzy3fH_32cA2;`O4*V2WcfIP=x(iL4E;lhz9WJf35x4$X4vK~I zUhP=!S`V9*Nw>MaA{MQ*|Ct<@8W)!%int9JZF?Zx)@lg<jlnDT;is?dZQTiY?)3a( zZIFkodp<YUmHjm=KoyiY-tm~3YJa!UF=RDHxbpZXKS7?L`<G_~T{7;uoMG(O5p zK+W~x<)=r@>QTVD8rf&W$Y1uMChWssXx)DOCnoZPdC-xdIYVeKfWmz!0C+{TdnU50 z(QV==aAJM2)UVF?>KQBL3JcIPM@|-xGJAoH+s2s~<#*GMBa3f5m<JR+$VQpbL(8sv zvD$eW`$%Y{G85&JsBEA@HW4YccrGq59%S0ExwrBD?<doZ^W+kDIdSGVt)SK5H>Kh% zzCj_av-CLXoW$_5m>zO@B7;*~oVp~+sr?efSCbg|Ije@Hd34Dyas;4We$ysGJo_?P zR02WQos=>lx8rT6`Pc%!K`tL_I+rbXn_gl<MsrzI#xUG0Xtb&XPHq@smwlPNe0GMI zK%gv@y=_c-iEY-^t-!{=e+D0rKD(qTQ^B!WHzvJCAH~b&ZnNaDdqN>unV+bkaLNRS zMueSN-iA#G6mrtB(vffxZggP&^XJ`Lr@gDOx}uy5%+%$#j3t}0zNJhl2sQINv74h3 z5p`Y6K9X~+E6n+c`Dqd3`R2N{K3m&S!_tT7vm{@4p9?1el5Ukh2E91*>7nCqew!Fc z4P3$NsTQ8)>B{4*9iV(Il@mX~kGA(FrfDXmXG1k3(bo$19<@W-(GWqk5Yr^bjSm;c z02Ci$7MtO@hqr8gJhY(kuG^_#mbF*>tsqUutlle+#{GYu^L<Qe^X<Fm!HS~ME|xym z_n%F7)4>Jl`|EjQ%HbSboKFhNcgh>n$7y}`<2<7v)7(=>rP<BAdR6=Ojd`W?z|ECj z8-XfN;MO#4lwa84)@aww^8kyGPjTnzIq&-NDbbr_!UdWC{)=PB`-c0U9}s>USDq|8 zRb--Vf*~Q6x!0Y<%8jBvMn=p0_m;t(AiA~spE;hdStZ}0yVwQ)^gA|qh3z~=qZ~rR zkGCShJQp2`_!O(4&GM5G{lZB)@0$K}uU-EYY!uS-^t{cB*J|4My&L{#>y{1Pb!W*- zW`N>DagSk7<8*_NXyb>qhwHZY&JUBu%JWB>A-TvCqNyYHe}K82ZJ_|Gk}6^sWJ znVhop+}3%bUCy~Q#E1+R%zY3)+}8a`S~vFV-|mkJK<Vk5zgXtZb3D}l$HDVYuHTGa zK*WjK^xRRVe{!r}9U6t5<onXik|*#7;&`F>cztl;%eG37*wvfsO~;q@ZQ^H@Xa1Ad zlJ$-u_P#lEuH6md525Q}lB&<-5`UB9FSgG*Kje3+iMxC|>L2sU-v3@KUwkhJCN?Im z*<D9*IP5$%J=;2tvwbyP^LH*f{X<JZ6hqWSDu6P6+0$P;?VS^x?$Bxg)>8J`ZQd_C zSb5HZYd>{*#L>oGX1)2Y!;H5I)<de-zt4X$xXK~)XfWBw^$zhpxDjS@aSll;syO65 zc_ou<fA8*CEg!`CTp)fn{E)mA82?<-?|kn3q8jr9-g|pzdgwY&&5--Byzubt^u^J; z;GOyG&qsUx_507K{)@za7=>1Cw{PM~xyS{p3q^@dgs%#=RBr;OFPu3S_FNB2rVW3% zENy0AbGznr&oc@>OVj(!8rQN5%TV|^EpO8LfFDarrp<Vlnwzio^*CiGftlR+e*OQX zZD|!9&$E|;Y2UM!0tXj1E==vXJfg47{vlmu^eyT7P04Ad2uDWQ^e=hj&yEr2s!ZqS z@Er61Lt>6vm02G)JKX-(n9sZDM8R~--;Z?l*tU4AtmhcnCzF3S>kjJUCn|y?GUenX zvHY^6l=mm(c6k}5zEL5>ndzdxrT1UT)wanxi_7)>x@%+9+o3z3?pZk~k$U^|pd|U? zOF&Y^&$S@kVpB5jA6NBA*z@;3TPPgOJj#H+U}hhkiND!~S8$JAyZu*Qb0>4$R~@Q9 zY6YMDM}vN>lC9HA-oA17&It><2%`9`1%-SFL>GC7T=V$^>2EpPdZ&=|avw}o<!dUs z6|7j#xoWX#tFG1oRw+@@x3R)`YHgZ%94|Ut5~Z!QR2JX-l)di>xsy(_Fvrb!&GFY_ zBiZH@WsZr7P-6tRIT$ZG&Gd+6Tai|)HliHdbQ+k08km1l>gSHVPA>_FI<dyCG44wB zPkq<IzhC2<ssfckD}4BwVrv@^D3WC!qBILrxuc8!($}`rF*XWNOgvnW2_ODpQ9g@K zA>N<y1SgZmu33(Qv5kvBHwhPR(+;^>i-Lf>==;pJyhO>WZIJ2#=WS>(9`gZxq3EaY z4+qpPtU3FAYJE;z5@f|)IiLZn`6#s*bYhNThg7X*1nbuo-dw-+#EUzMHk|JdUEo<= z@|bD={qIubq?$#iLcN6%PV^yiOtn-n)eV7CxmG^HeE(#zcla5$!>DsG4~vY@C_1fF zR8!MQ)D6sHb;`rSYU@v;p#_9aEU6fCyM7{(^Uyy;hCSkKyg6z-=i`&I3R?2+xSD=7 zg%mLtD$n|}lTN?Yxn(=h=n7D{b?apn(AKLmmdX&<?J8H;&e<cX<7;fNSp`X5or&Bn z?HdnjvTNw^hqN}Q|A>RAU-258DL&C8mwt-U6;uNi@vyVpwItlkOFb#}x5mN&e)t`7 zV13q!LQtaLC(_(eYfBIgJ-U8TrP{oOs^P-uLb;+(vp+g1I&;EHN5Je1y=$%tMsggJ za(%I6N`3ZrHieThh?72*Q<9M`^H4e|U;SJ<iQ3)0*j0^B#dQy)K*yIdFi5dBLPX2! zP?k2CNaesQp}nE8RLW@2F0neKxidB)<6u=5n-P~tP^UGqtd2=tUN4!bMPD<xtS<kj zWQ9DuvaCR3_?wLV&@U_hX7hz?iipo%r=Jm)KCC4T`1Z<5G?pwWJsl`WfN*nAY`l7y zC@a&t@NW}cwXCTu3+l--`&lZ#%-7^2$2Dhjr9)bI(|D#&6p&|5Ya8v;MWXRVZpHfD zE3IayI)mvHM&3r{5WaRiTHoi=9Me0A_x|HVD(O3gp;5@s^P7&wbEC^Ye|Exl=9X>+ zDpkjfzHt2PKvVdh{*6@XzLWptT+9)DCc+GPg6zaxw<5+a`^z@YNkHFI4)?6LKa?a) zcy3&1Mr*Uscm{2NbJjytjm@mDWD<S+bXEC(id;I6a-8BiWjvQYXe2C%W@((#d$+yV zrWEshn^)jV?2Ul{?ut^Ix<hs#*S&H@CduJw9O6$mroT5}1?&%T>*bqh@HO}R8!NB( z6<QD74&d4Em)B$#RL}i)ZsKXrqSNf4?%`{sQ&PBg?K0MKn;uoL=GWtvR4@6bJP=u} z=;QOp#m@e(hl}L~`4tX}yMF9k!bkz~U(k>ay5zjxCa2fG%sltb6byNCCyi+5vL7(Q z)Tb;Qe*14O=okr*l@hEe#Gb~P7mE0?rP4trTg%}Y&xE%1EbUxuJ*sPVOm-~R^pf{4 z^4x*`LcnCNS&64)<aet=9Z3y^-;2kh$-4OJ;HRdRfF*agFgGShDZTDhupY@9Nw?x< zob}*BiuMbYbj!<_cSO)jR7zJv`)TsJ6NhC}lE>>T^XJ>_z8VjUH9Y&0AFZg=!xJ6# z!xNqq^i+<6gC<I)t%shJR_P<#0}pZ+(3Gpq7ehVn#2LRiIWN~Ezst&AS%yg?&0#>q z#g$XHo+cn9&}a$ea+AY%V9qd!BLc<wApU@&yauL;V+b%XlLUYeD`2*SXNx&>%^+xJ z!?CZ@uZaa1PwJg2DbdsnsM+j%<I+dw!CaJI%zh0YbZEd#Q%;-)POeo+qVgd#*h)<0 z#3`U>5O5J&kIf(_v>Q}wRbvBa;>{=cvkSQi_@_5Htc;9WbNHv()#Jhji_53;OIRUI zpj+@YU|@k}15iz!;jrbQcx);u5ae`Fq50JmYG$x0tpM^<bTX>1Vz4P5@z8t48fnlB z$a@DchyZlh6fAf$PAkY!tb)^mXyVB*EFn7ZoiG<ivHyX-JnUGqm?_1_6}m^2O09y3 zQmK7Nsp=ZIM>QH-mdhSB>AI4eb&-+E$YQKGeh?%mMTypXgM>2f!aVNLFn-Kl{-m%1 zi2=_;?!H(KnVQgm4E|IcP-08E!IOSZQOANdl5%^1;$Td`g^<RfzaGFzQ70%L1v$`C zGmw{Y@I&Gz;9L)s!W`+q=+vwtKoVpcqp3wzKwD{fbCcuL43X$@x2CA`k3wKGIx&mM z9OL4a;$IIO;&3qL0T70pltMvMv`|`fXg+&O0B~9DPzwC+sucAc?os63uyN?fa_l<2 z2})@=wRS03wLzv|@e(q}nFs(J)oR~atQO=<b4ogmof)?~l9y-*j`%65T(PL*PKix6 zin9AeI|!pALAF#HD=i&L@D32s4?Nd424>(<Abd0lMOoF1w2W&rv3Ni9E;jhciHgz! z&T2MP&~+A_I8#$%!3O9GuM-!ZGKijOX{{F~c#CX0I8m%nJ;z>Uz^KAsGeE+}Wf33` z4v3}Bj~k7BdW>*Az^D{^g#!tf@fS8@LSnR+yhi6>GYY2@x|R;l3YT%bqX{rbq8DW^ zE@RDx#1_Mk0i39s>6VDs7IzK$QU{i2Er3mTF#lUPXiBOg#X>TF>cH3O8@h)yBtR8i zyT}_>f<Ns080||NQ;LC)KXH!QGJlcx-(Rh3UqG!eG}QZ4)qJR!A{vbF-w&xG8+mh7 zo@^s2(IL5E*n!$}<GJV(xOx@h5YyNQM$Y#6WUj=u9c^es8pdH%wH=;Y(AeNDDw@I; zs%Hwcy3i;A>JWM#q^N26l&VPUU;=GIHM!nBsX-eY*V1TBgVJ-#Qt<?GcgmowhNQts zIb--?^~h6pY?xjRgGix+-1Cl+s6oV_!&wL_=C&ae-0}1Yc-oOc;x>WPVge))%p!ep zAL~MZq8A*oaZX`1IZzviuds_eOD1mP7X|}*?rUIaalv3q0l$BEGWHKUE0AS)@`xfg z%=oH4IO8iL67e<xq6QN<MxJsB)m}Lu{7?yoEbc3YzIk<YAhvEVF4WfOWTbg@#Q?if z*dEm)yGnHJkOnOO8>9i8)N0BlHL2J~Z`~Hwe2WUa2&Mv)wF!ek|71psHeVAY%3in? zj)|WG!HZlo@t^(G(NSD{bbF(fF0t6?9Vj8(`+UHP*MwJF)goaGlvj<+om+lrCuSGS z5T}!LXqj((GWv_0^9EqH9zO8fTfKe>!4W^|i(K6TutkDX1zLkbc)dkTP{n3I)_rlq z*{#NJ9qC1mj~JJyJ=*wD$EScvL?h-jxK<gK8UoS?r-nZXr#0XGYl3!(e*Gyo@9=nY z>=lLjsH}pmWnw%7Dnt>T6BVojEe$4n=mbDg8&XOKe@zM_{1{<f47{CiE)1Q=>TrWP zKCW7d&p2R=Jdi@TO?4^+j+5k?SwPUcmKz*URqNEN$7$t8c1p~qAPlQnwI{vEQA{Je z9M!l152%_&fO9pmFLFod5`o{yMKA*!bi^jAsw_SrDm~L;t8$3RoJ1;PsoP%v0!|SE z-PclPWtFruVt9%Oi2Y9^4aCLxQRB<wC3Fw<=3TX5m+Dfos@?K+$ud;XYE|`WD9Lmw z6HdKTfE`){iCW9KK%8)MCRBC$)7#&})2o%-2eE&5w%d366Wz}8l-N5!&*ShhHLf+J zJXeJehCL<K?b@5#nafxejPVCNnZ}}-_r*h6!qWX~j*7KTJvEoPaLvM${zU0yJ<cwH z>GIaCZFS5?r;KGB6A_>(QO+99{NyqSJ&7)DoEq&e*u)w3H^>c02t}tvfjR-FM8TEX z++<6YK0rcY)i;EqQ7YkTY)(#2Hcmnqh+%vPh!Hov<Oi;DUTg?xHkTCec%zY$ov#*t zl%zO^95x&*lo%U6I)Y@F5`IM(X}&D<5af=n==&j^&3>q7pcVe<qB1;40<fXNn~s89 zOMfR)OZg*oeccu|W<K{-uiE`YDlhx!^Q)Nw>RDG`JW4#eRsYS6f@gBKU+sKz{DQ|u zjnO|Gg5#uX<I#X%2*5%y;^Ha#dGdfR@EGEu)<;Lp(I@qJL%>SYYIL<+k<DGx-zBm# zfg+X6m_%fmreik;^Gu%WlTejgVzsVTC0r%c#i#?V2j0j*zRb_L5jUSwi5HE+NAi5) zN`fP*=V|o&16<0*t3qm{=u^OcJ@#jXmNc#e+DL~E^r)}D|K~%&`w+wk2I=?qe4L*@ z^Tv-St9~`UYTnPA6n?4xH602pN1b<u+=vsUKV%+$6nf_IelD{<cWgT*tJnZuvwT>$ zvpjC0qP5rWRDa8F3zW$Up!bGl3MD0HXdf)Mgggvr`|%3Er0rhce}(uqi{h}GPLB+& z{5R7<u$4cFO=?{mpC$|CY8aE@z^ci-TS0_UC;~cZA+I4rL;jX+L!<l8uZ0;khDjdK zHluiq3-8gLzmcz(uwT`Igb3cz3$xa`d9(Q+YGZd}iPgA0EOm@tLDkkx@<5V8EE>%r zD!K<O{H@<i-UexKtjJ%+DPZN&<ySRJ+u-41B1~#q#9|8;y)^<&&~4UwNv9063!nMq zXHp*lrNybMJzwEPf-Nf7;9Hpe_Z0}z9H@cFPeO6+&MWC0`?S`ei+H;5&U8b5^-=FC zuCntlxIuOP(mDH?M{fmXZc1o+CvLamx6Z8)JJtt#hF=krSIvmR%Q1)8h@L7+tZ8}| zC;At3hl#j}cI;o_$aW4WpEdua(?SttqtBKZOIl(e=aZS8Pte&L`i)<C4eG(I+~0a4 zQ1MN8B>o&6`@q8pvJTZXf9yrZB*N~CTGRQ*r=RncI`l}b%^K?UU4{Y(Bs%NrK5~bE zl-vk+_1d)Wf!Z^Y9jEbr;G}m9Yx7-<aDBnHJiQ^b%?a5gBEqy2wU<gPr+`Cb9Ao|I z=hvzm-jXN0tj|I~r$!?WOTrqVa6v^Gd6J++CQSN^UY8nf2hS~JOStD)o%Jod3s<hm zJDcD_q%-D&BVK(7Fn6t?I5-fUo~WL_?{F3;c?3Ce7ujwuLM5^`qEQ2h60~lC*B2km z{s}kT#U9)@Xdfn;|5peVh^`QjlH}%f7;FAH`lyi@ah|T!>K!6pyZ;YjR`a>u(<Az> z%k`)$Ci*V-R8sdU705rfiuJ1Ewyzb`<yiDQMGGwsG}6?M!X9#DNvB}HylzxHg<_X* zs?9eFKdQXZsr_r=*a71M5iWyyOP%}W2jd4e*8;hoC+$DJ<SL37VmzTw?Y^%qCGZY( zyHZxv468av3A$S*p+~a6pOtBE#`rU_)pl5Ujlo{}J?${cehV33A7QwX3?T)R?;xvJ zkKoHp+>mHoBu}yC*8OA~>|?yFL}XooO{3VnwJx>H*{JMsw+uZq(#KoIj1o98dOz+I z`23V(eAr0B@>r>{=2MirO<juBhP2~fcejT3-$TBkxKt8FG8#_ytihA8ibd+3+6BM? zm?&kJRl8r|NWXAPg>6P5P!1U$J7>hoO-23*<@n;I_z1T2Y)Jjwb(htBVg+Si_2L1M zsoArJ+alpZO1RhZnT6|b$vKDHeAa^DwcUzbr8b*YmE$m5wVu;hK$GO(qv3Xg?S@-G zeCQk0N<^I&{Rv?Ve6ETvj7<`s*(nxH+T#jeRmF*Gpac=MM7h@NJo5<f1ksP2xVxC* zNn(aDdkqAaHzGBDH09yBP$eI_iE)4wl=o$~Q7FordqYhpe=w{?#UlTqO3avmXF-v$ zw^Ro)!`$)pDh}&iT6FDLsO8fq_|%ai^AO!jSV0FuRy;sB2qwl9TIvN>>OF!D-S1kr zZP`}r-4XE}%Pb^p+()I{QO-LLAl-7dX$oj8EP*D2Pou(9JR>DL$C@B97an~R#ygy2 zF}L>m`+|JwNoOeWG3}t1W!NVsc+hicN=T%%%y40_gheyK&~BFJ^hJ@L#!zuy0X8q2 z1!d-Pp+rupNlcEzLUm2})ULgKmqtfo0GpM31^#@%zv?lXCoeeCVA6%FdP!@TGJ_>` zc;~SZi_AJ`xTwRE4LME^P=s{eFFbL_4i64I3e%wlo5Or^v5LFz)Clu$`_vYus2`1R z&8C!96-5I4&dVWU1{@h6;(I;uKn?F_Yvq7barh+bv!-Z^Ov?4TH9w824Kv&+t>F}X z0`>s+Hv!&CvRTN`T*3P43aju9uSKz|FQWoOI#$J19bdV{>L#UTFwL|at_5ez5=jSI z;J<&=I9!;7Ex|zA2;?ubTKW>kO1*W-!AT@>KB6zO#mC6T9-woFNKB5u_Mw(OyHT73 zEO`+AG`ZnR!oR7O4ER<^%u?|+;JQcL;G|SG#7+?Y`!^7PEvFY7SD<(5Wp(1&LY*Kd z{yn}**Yxj2FaV_+ew9BX0rGR1s{mU1vrvVozY^QZ>Vi+2A^9l-`MHXmp#)Odf`1cz zl}TOTN&H9C&4xF8>>To^MapSwV$+ZQ2~;m;fDzwielEv9lIfuhR|Fe<G6joY%4{9l zY1#g`G?xQk_SRbQP9*3=&pW+~Xf1o3ONFH9wJIT#=drJ0d|heSzQvbuhB;k9Z-(jF z$FK{$QXu%*MWm<-8k$1ke|+Z;7D9gDYtIf$0znSY@0KvArBB5liAjhwd&uf=;*n>W z+3vb}VhqP%p+(a}rjuSU{;NQH6F^M-mF2YD&B@Wh^j9oZrrSXnKvoethArv)t91J& z?nRJ>>*_$ra9dw*%_@^Q0t#po_qJSuog2yXd&38FE1X@;svn9)Jj<#Gv7i&CNuJ1^ zfP_6&5+eW24yz(_A#6X92d-tHSIRAL=&y7sx7FZ;vIMGSAq0|YTk-ik62v;Qf`dsq zU+^-v|3HD$25X6sy+Vb8kG4xN-WXF1>4RM|qtYJNYz7N_ll))guixv6;RLne(ivQS z%9ck_1t1k_09<mGO$P_fzdZ5j;FQ64GxA^(S_`KOJkb0MfB1-FeXoBaHPtuwBm3R( zNxw9Mi{8hWBGWC_Nb6jM4fl6~re{o4fwVEq!4HWj`>4nK`YTRmJU<dy@ZYzz*#7MH zLOCUgY8L1zV7?XBFQu}xEC+tw6&L!9-)Z;Rd}$PuZnVfA{G<srV*ygeb_^;|=Be@1 zP4->Cj3M|*D<<uJw;ZBy2_LG4r#88ps1h<W7F|=)7!Ra{w_y`lI{4dcjL`k-bo-%5 zY`L+#fCeLwnGN4j<ZH*ja-?PUz8o~cM|akDOz^Snd?p+6Th>}otAx!laE&F-NMyM0 z3z8z{6Pz9yo9cH_`A5nZVm90FX88~g@3v!p_Vl^ol#T5+!O0PHr%wImnK-~&`k&wZ z=_RmFzVa%u-}Q^BvHJz7A@ko5lv&xUXk+~FvJzBHDHzG%484cKA^iA&_&FmvyUbyx zs%h>@wDBG{L^gxWIUB_Am7Nh<?2&E3lnbW$xPk+U`F0zf;Ubw#JICaXnlTK+P*Z!! zw?6x_D6f5`R3dd7sd$KnMa@-d1ocD<99tC2#mx298lTh#@O{!KS7KE(`v5*5?*+N{ z<Xk|&7t21nyU7q+M0KCAcf4YT#?!|}LzD9f1_wTW;r4~ai54wHNXC15g38sc&XJNE zWVBVnzz7u-?a4X7e(LA;fO9pCQb&#BejEjJPueIbFlftpd0~_~M^Ve7YwKK%mS^Y! z07{$mO`1n2i}T?`<G?d@LN*d7gWZ@YigUHLIzxs=Bu?iv5$#hk^bB{TB<Qsj6<@yM zQcP-!a$kTSI7BI!IDc-aVo};K&+|Q%xZ^HQy5b@1l}Y{%C^5;kg7X`Dll3o#gp4k> ztR}HLU|$`nDZ2>_ty=mJm@>xiW$dK@wk31vKl1MEZ&WDl&_29lZLv>-$Y(22h?F;| zMi^mB4^YKS`LV0@U4uze4rVF8N13P1l8hKl4=1wXuHzYho^3nzQtW+|QtqU$nl~m- zsJ7zyMErG$i&Sdi#`pWxzFMv-OvMoA+_f1S{TUJl4I+M%qm#5Qh)Wm>k&%R9k9U#s z1HEl?e;}<}07Ri^0?`SQLCxZSNM+F~B(bqc(s1jWU|JBtyMAT5yi-hM^1$DS(m3XT zH?amx{d*XMrv|d9X;-N6psm)3GwCedB$xx|1e|&obXG1#*wAxn&Nk(V*Ao1V@nypO zB7Y*@Na>SgTbkzzS@<tyMZ8bio@KVdU0hL()IU6Jtdj@?aD>EFev6jXUg-_ke(5+= z>p=C7BlP-5u|_C+BDy*^^I)^1{M@k@R+*E-vHb}JF)i87;wxDLm$@_`NGC>^Q&}+i z!u=<>nLJ9`->`31@2z&&?gQyk^6xv<B;Iv4Ww>GWJ3Kaf?YOfdo%ExJsU_mc&Xmyh z!Y5%Q>8}~e0W!aQg$tf$3nhnN7@KC=VnaokTr9<}tlX1`#dZ_)T}|$G$gh<!G_f|0 z)<t_`W-Bk{LyTh_T7j33#$TaF2b^e>YdMpk`PdPL1@;?97Ybv<AHN<iNn7VX;&|xm zlRFEvgHA85i@7I=GbyU!LGGrwCpxSp@O9c=#Dcm5Tg4MatVfs82DtK7<k?j}22Q8B zEiYA>v5}RbIBDG7LDLu!!g23Jpb*}Hkud#{Lk>@wKL`mt0>?fjtw*opA-Q)|J&Ooy zq`cALWxff31k{R&?d04hANR6&&4loQPJ}ywKgYF)Ye{zERjd$FL%bHW{jr`SJkC-U zThO}P>ooe3F+wV@T853nwd}?unw>mknlpz~KT%}!ViJCCgxby)Q7CN?-3$~eM{iV{ zR*t58^LD~>sWjqjZGp&Z@AW$0P5V0M>6rnIXiy$~7(|B<D~j^FP#Dk|BRXv;2itx2 z3frUXgUPKYk#d!;VgC4}l}nHrUHyAPeFha@FS~=xjT~3TE2o*zP1*djppe4mI8y)6 zsjs5fgLJIF|F<ihVPa6zUh-L(Vnh81F{$;re3tW}SIN>yX;x`QV6~Tp6X~%&qWp?B zlcI5x!I$Pl6MkWqN&Hm7Rw9xXGd<yPw|xCshYCJ)&eevO1TOTi{8`&{+l8F}TDvS$ z?Q-s!81yn;<HBVOtN)s9f0eLy-(!tR#KpV|cgl=%UkhJO|Fn<y_CAPm)Z?;`-3&ws z76}T-xWG%=w%IsB@HQA%Snt}y`|P!pj`#&>HP~kK?_=D2s1#yO@)TKFzi(zf>RLm0 zCpXL}^~Tf}AJ({mvZ}=9--U<O%~QDZcF07>736e75QXy=+GK^W*|v8->co?;=SUDs zkcmZt3ftl!@;XAtZb1~uTJw@8?F&=L+5<S<O8ETcc23wRC)=n@$v7*A%hoZBo?%Ei zfpBb+e|nUpKyx(u`?%5+jgA@JE=5$S;HjTxaqRm(_UH+-9IKq_S-st3{(GdHYJFPa zfL@?C`HbxC<SGmqXZ&xfmGYB}FVz!GwM&Or+E3GE>p{re-_$*m4{CM1Y#8G$BYNua z0oXU)N<`9nINnjfZr_kn3Ax}thEqDpW|+01q&4P^4th5q<9S7fhfJ%0pJtU}8ESEa zZO-Ia^s)l48WWZo(MWS`GaL)pJjKK8LT~rWgn5z}cm7TQ$*EI*vXzwLFU@jIa#v_M zJ1J{R)%csF{61lcFEE%IGmO_da*`Gu8=lwCsQ48Moo4t%jV|&i0<alW5s^Yn4-Fz} zid=}g(M}~X58bS5ly1COdFYLGiz#zBHlcph$4u~c7L_x0yy*rhw`W@FjE{|3;aVY9 z!hOTla6X-O`~li=6hX*IF~2uIRgz)EjXUICLyA|zA(##%eN7&Ve!6J@)?yaE0bfMA z%nj@B+%O>_+;SN3@Os_1FLid{?ox*YT_I=16JcE3Z;+<;f;tbs<^Q1HBwgWM_@`a7 z0EbBs#+1F)2F-t5ds6MID4m|-b?i$CPlx1G<3+G|xwkZF^b=(Q=~A)2$xlq@@Eieg z2+Eu*)2!`_bhkKpSwy7X&87Y|;H2FnKL{gfuxc*2s5C%qQO+qHvQ5C6FtcgUAiXK( zEBf_Q6>9kJsV(e*Ep|TG1G5tDJwTE(up5#~%s_tMT1zY7B0r6WN)RHgXAJKe+n5Q) zbWnv|jpxUY^Gy^fE!h+{YnzL&@vrsHY-E>9yXazu^@!n+IQhy(GC`RFB3~$3AZ0@Q zuD@gm@!228RSVjz=e&w>&8?=V`9f6?bYjyJTg`K!mGm1;k|-|(@Vdn@NGjqEoReKo z3)fxkK9v<&^<i&4C$S=C)8#1glE&m|eFa=#CgH7?gO(7$e$a<mOl{gUcogUT^0tW1 z^n_!=IZHB{?0ui+&JL+{$huYW;?djkOoI&?vdNhCGpNd}=qC=o_(s~u`mj1Df`zpO z#C0^0o^z`Cq!7c2bNxEh@&<1Qu`phk2u&ZI7$v*d5lp@_;XOz4--z9yER`a6ER>^B zjDlHG$oYzXrzzc+2Mx`(1M~j%&f`>~Fw*U{j5e@^&O=I+MS0NbeYa(y=Za=QtdWn) z8^VanZsdm^TMNC^T?1UOGy7?1JrmX%F{Q_9E%=YuLU5NmpWY?mWRzzsR_=uF?ZfnB z__5Pu3y;F&OI}Vwk{XL|Q7(9-Z+EM9mh-yjl0Yan;!}$qw|zq{sZEc@mxzrS{>E9m zppO?IAATj?D+L#wOADveFD=E}L73EP&si)jn=n7^-S(X)A}hdSOJcn>7U++_XrGQ1 zb=X{RFR;hHuW*&U<6?%5#cD^=`T%vvKA854fMh*#+8|ucsHxa9agGT;Auh{v{iqY- zR<5nWv%~xjzd8PF{^QRV^hLbNSu}EtaM=1Uo!8{llqSnTt<ar<m{KMBb-f%u05|U2 z!ju4>b27~h-cJ?$gHl@=lLH;Nm_OzcuCS;d=qleTrs!y9O=Gj+mytGsKYzrZTi79T z)?_Kn>eK7NFKd2Z;>sr{W3HLcPJBP6u_1!Vt!?`!Rh{=SQ{}d0Lm4XQd#kj5ib+VX zD4H&i|9jn(*k$6+>Mccz*}saTr^gy{cTpIA!-W^L_n?^q)2dvmQrQE5JKxqUjEAs7 zlxv_FYY0S@)Tb_M+?$O{ZTE+%$FdhgS$MX%psDE5Ahp9`RqrSJ^R9kxJ%_~U7SBiR zG|g<eFH@VmvU6p7!hQ!|FN>B-5q~Ww`>puRzvpQZ&smBnwUGZ~OpNe9jfp?ra_#?s z4T~!v|3AjW_AZw0|JRt<IYn7@m<>7l<}YAZy_WBMyqn3o6i*=2y`hvPBbG~CUjY3F zsgE|V{oVxshU(vbw^~`}n1&2-Z^6@^sV9@fDL8xYv7myCalTXHq$x-HKgq~jn^!t- z|BQ>U%}|j!6wp0CgdK5MaS8|ftEbmvI%<j_Em=Z2ccg#`t`(mlEX7a$3p%-YRPSgL zmZ?XG)&^Cv`<Irmh57tI^f4kJJ)g^*8ep6po`a;7dqvn#i!EyE_kzIN%i(in8@${k z5i3j%Rs!6RvY=$)Ldu_=6J&))S~@I3UfAQjNjPCfGhT-afrh_@J0^YxlR_Eny|+F; z{*S!=m+|#1ksur;Dg?xTEB61(_}bmd{QrvUKig|FI{r~th%HbCvHG5CtjBFEBu(8A z^HHQ9764%kAEqrL=N@hY8?9mMJ9B1li|*GJlqkbE+b}oer_qpiZRCpj<m!b_7s{oh z)m)~u8BUqd8ue&3a^+wVc168L$Og!I$eha`h|xJ2cGeFAY}~>u@2~^TgNxq%)oeK= ztO3}R*cuA((e#)4HVVs`*>%NAtq~tnQp%0fg`-x+Y{h_K?cg8A4oL(z3i(8=0V4W% z8Y`wK%4qM{;Av{ohpme=R##)CcQ}EZ%hopoR>r<_&$XLL?@t)diTed3?}rSWU^VYS ztT3&rP(|Zg=2DCxzn@asQe{nk-HdXUFmx5__tN2VDJCkVAGOZ!Kk->C8Z5j`o=|2o z=X_0d?7w<$HY(x%FcZ10r92->;T!$ad#SBdtVQCdLR&fMw%JI|Wb5UYZX%Buv(Ffv zeB58vf>^1o6M0vhEs=4f5yIAMitvYLwR+Mjgbu%jrF?muv!*E776!_X%|AqE#4_%c zXWWX-%G~KYd329ecm1&4LQ4|>dEUSW<TygmIN%xlt`L*W^cx*KJiAew{Wt!rCnsuD zP~cr6of%x>{~+uggM^2oEzvf<wr$(CzP4@Kwr$(CZQFMD*S77~_uV&d>Q3F6`Eio$ zN}ZLxQ%R-vNv*Xi9V-$4saVJJL4Uh}9IKqD+)S8!XxG(TkNk_@mGaTnSl(}32U#*0 zB8)5L-%KUGvQe&yp_-ywyUPNj(OsENTEZE!MVs!aN|_;mJ5_3hRo<i_$Gr{FZ6zEn z2<H>n$s7m*GWH6Ct8FUN^^tXdcq7E_qUGNIO5oM$>{i;_?j*ZRGd|>KcA8;5B+w0b zsh0k?-RjuY;i-inxiA`=Bv|Nef4avc!Lt!J8Kc4JNW2bWt}tU<X1Q?m{NZMO4|n4; z{C=knt^t<$?}+3mubWHi_j2ou2C%q}o3xw5pU*7Y(Ra9s4#ep)CdK>So1BwxT1n5= z;)oJV=qiZk0B-hB-?ZbFt3<$%#B+}3nzUj!VZWOIcltMr&B#%~v6fiXt`96l_LWzT zlv0?72D_i@5&W>#G%Qxh`-43ulj+w;G^fe5{RPsBs2fa)qb6sFu5Fv@hBuHyA?6)x z(Jxc8s!0onuFK(=)*~ZUY}Ni5$*u$`(v+g8+{6ntDVbF7Y`wO<22=kxwUxVN0dajn z2Chp{V$?Dj@DQxu2Mp^{ojMHzT1-~iMW;5*v&A*h4wG&$-l%IoK%1UL=NTOw30l!h zq9Asx5vZbAPQq+>$!?vGUOiRGY*^U8ydRgjzpik@PVeMt@FU`=EJKU*!_G$gnHtk| z^$K>1woPQPhlRqAub)mb46PpXN%Usoda|L(7N@}C<eB(Td}`wkoz@JaL6{3w<SReh z5^3~KasysO{;{SeOo8%V4Jsqlx;HOoRAG)CDdN}xD?^DS!bU;}*HC~dm~)kwr8rM2 zX(4)4X3Z7bnzVI=Lzv>o4H}eY6Csoymr4M*xJ>*Ak4WHxp8}Dk9N;z$0#me6`7A1- z(RhzF^$MtPDYtejJC*_mj<BF`1P2Z%hO^n=-O4Tsv-UbXp!J~>^C>if1oE(X{7B`w zcigH=CM0o>GkSawHS{N~aAaG;uW=YBmcl8MA6HZ0pl<x-io!r#(EM}DE(<jjHZeAP z(A1~{%9Ss3JW3QFEIJ?()OC9d?vXW9D#8tj(*hQ7yv@3D2d?qjQd6GVeVY>qvEyl{ zwZ+M%oUM|26d!U}FU@rb1DOvlcATE$xYkNkvz7D)^-djBgY3yBJ%{>gbK!6#@$_KS z_<?60dDM3*_F#UPEYJE?&gHV>2Rqw(vxV02j92Pg8+jzuN#V!R`jDf#Zeq~#FnVG= z0(;0Y2xo{|)3uXMT_Kqq9=hu!VOLS4Jd0jO=)$S3F6h8rXO!rm9)bDBa8aMAHjZr1 zqg|&4fi874woM0@Z+|0|cv7__b0+5ISF&f_4m;Me*#-(3R8&%zRd)_zx@7SYF=ln% ztq`(Y5fZdw&=4~(PNE=kl<{;cM~i!#zSc~X)8hS(uyGJ7FPxi{1mO=rtaY9>88CM! zW|M}9&LU^}<a7V83D)G_1^d+KqDkh=Hv+P_QKdNvc9IMq_vubw79j2vOum751IT^X z)a{)(v!y$HnqrA$)l9V*tQ&+FD(t}5=yv_jmL{$9hQb7y&_U=Ai>^1Dt#`QjJz7oh z+EfqI&no^+dif<N3k6WR6W7Fo@1V~xKpjn28~#-urSCuDF|PKQF^jENT?zOjv1^>u zq=v^Tpre}N@I$dvGn&!tL$OLdceu{V+A4>yvDF`;{hi|*f)SXp{&~UN;n2s7^~Ut8 zzk5o+dkrwh<Xbm{I5hmgcBvpY1gu!J^z>M~J$f`iLZ6|nzq|l(XnH<lCW42OX10B! zi{thUso{L{cldPiY`?Q1Tkdc%Z|?MMIQQYwu*f`<F;V)^=v50*%E4G$SMZ$YQ@}<8 ze#(H7pb3qW5HJxC#50P386n|84&<2sv|Iur=H1c57Scx*(*I=;N-~%T8;c49Arv5% zSO5f+6Qn)d_D(_LL-&I7Z#*I^vV03lxK1e*drkCIDdiTZv0*&(c@#=lueivc?&o8e zq<ZKHcZ(aV{cKs{%h2ZFU`@!CFRN2`qVh*8BNZzkcDVNg5k%BOzuc(4hTJqn<IHl+ zu`0}f*a+tAzbKNGp2Wg>!{DS<m8X7mXdRJ+eE63;ZM+rP!YYE6g{6_DH0Oi5Z+5oF z8kY`8-fA@PBt0h0rQsA|PHOgW2CnKkwtxyJPTat#GjY;lzWln?4`Cl%lzf;JzY?a} z;RVAV#7;^;+iVaNTzz1>1wnn@N%vaCaE<Zw_SC<x4kxf}3>d|>{2g_Fpq30ZX4odo zKz5OI&uqO(SC}cUO1-j--wh9S3Kbb$Z8Z0O<{{BBJULw^b>PQ?NRfRQZXEEJAh#K^ zwCp&<``9^1aVZ*iCTZ<Oi^(b*30>DWF#0Zh58CZq;S3bFJ-=@fPqPl9Uu#5mrI}UM z*$pAf|MA_DI7=&91WAD?s7T#!Qu<$8EMw}bkX2n0P*v4i#Nw$HOPGjZo;3%N9@s|B z&&b9=uZA1``dlo$qb$5vN_p3%w4!sjPdy&KbJ&WlF^nDKb2_&dd(~rPPZ)eju@eT& z!aR=By3vBe+Uwq3&JDNEYXd)>hI<4hNukU9_lde(nxng9rz%xe8vuwGU=Jm1bhrTf z5XqEr#$zNu2-lhE7Q`c@uBv)AHzSzk$wQV*-&Bg#9Q?(}3TSOY1q|f{ZVshbrYI?I zM}h#fLNmZ^oJ7~1q=62kJ#=8|DJ>>iAZoXVs4R(`MW?t)^4QJJNMj`8<lk5!9)u#H z8FJ-?Qn^2$S(sB{zed|pAR3_In!lge{@q>mnqMKPg*R7FO*tFto}hQk(1rA_BU4%a z_Ne*(Th!?ox1_6#Vi_KCDM&Nq)g0Dyw!A^;+LWUT<T2q%uc~IDCBD`M+>a6#XG2`| z3F_ATh>5>JoUuMW-QZsPL-lktCY5=Vj_bp&Urx!uc`(eZX@&<PnrI1Gj&T&Z%cYDZ z5~>=M#oxg^?QO)U-DwZ8Co+gG?*!{M<k(R3$+Iw;%=Q@nAo}^+U<XSU7RIJ?gf)ml z75G`=g|p(lrK?#FX0F%J11^WaS~yt8+4BhiBJPw@HI!@MNj63+d~b)vb#K=wAkQ?@ zB)&QZdk|$Z2|TcwIi@^*<~z=0iz8B%`LFBw`aGqsA#$n!b&HM4%DZwIf-aJkZKSm# z>3tqALtoouvN_paAn03*_|%nKiETv}z{o0-;g2N(W9iVDA(C7~j?G{phsSaGDlx?j zD^TV^(_kq%rKP=SL#QoVS*eWA?Co<ob&tW+8w;gFywVQdvvykYHl@@LBChQoKLMf1 z;C$tbgj`L?Qb<R4v}^_#Wd)({Ai$h#)wQFg*ZeZS{q+yPi4?4~j$~+O9g6EfjJYEC z6R+-}V><-Nud9NYxyWtbBNPs<WdlH4NzPgw6YK{-tBpT#fn###MR<J8w8lSCV$AqC z_eE{%(`~Lorisk=vZQ3fK4fI+Iy1!-{tZi4kXIBKFL5D(oNoBLaPXn$E+W-Ep^E@? zBsYu-lVcyq0`fHquAoffWMW00%I-u%LjH-&t`V5k`yj(VGA>yMZ}a;wX6FP!(U8r; zzPI3pS>sr1PZK>Ha(6oE`q?JLtNpPDAZw0XH;@#6@tf&22bhBZ=7>@3%<?Bq4rt0s zlI-<&3!)M(D@re;6}0hJ+WnUV|6P*8LuC}2iN*=Mm?7~8yg68W0d4Cu$<)rcZ3H4G zEGQW>0adVH5gnW29S!PR@@VyG@?*8i<|jb{h(K?2_~^}aeDc}a_k5u>AXSH!;HUT> zW&F}Pz(7%kcOvHO+G2;8^UnQ&mpLDcurRpgIQRaL<iS_0c)aT7n>PB-R3kNHfWO)% zgnYR<b)-$#&-5@nz7q!>i9i7o8mew@e!1uxvZ*ch{VRrA+3gTL#YYog6ACaLyPih- z{eH15PH$j%me+~PlR=i0pSoCxnSY*xC`M!rd81$_O1wa>q;hWi)$0YN!E%N!2*WF} zis#aDy&tA7wz!j+-?gi11L4mJ!;Wlko8L-Lc!3seaUu$j$Dr2UW+4Ht`zQv>MIVmT zAz7;kwl<W7)d?7KF4sohpV{6$0ob*gVbPjz5maJr<wuxaOkQkZuwe>Mhb%(Dix9(B zj<I^inn-L<c2&|%EA;J<Aj$pY(8_t03Z~drUzK3;e!)13E7zs1*_$`2cuc_IsfQB2 z*AS<1T{c~;|Iu9jXERQ|FM~b<1OSlt+gxJ&H_fH)Z*xg&;b?2~|96;IDY~+o^vJ`z zFBCPD(m>&#mXfFMDyLwHd4Vkf;t(P>m#ko;Ggf59f?wB>iv=M`EmEF(8Do-3S-U(v zEwWp@-T|K#PvV3{Ava#sMp4WtT`M7_f>e)4@l(Xv!koU{9soCzldb1A-LQNVg`ao$ zt`OFz^kCk=<flj?Mt|#IDk&B9Dl+E!G4=`i?F57<@+28C5u1mLUFy!w$w2}w36~2t zH&~|OQRCJ}Hl8mzFOPWMroGu^C<g6L-=<T1AqL5V0mT7e`z>3rd^&dmBCqF~mZ?8n z<4H$WZ+YScV%&W?<!#wwR@RvEBZ|X^gprB`O0pDr0ej7(%R-X)>==%YB9IV9!weOP zBZuMW<(&qAhY&I;D*6VL@)3vt|6)d`r-2De5cI#3809T=Y0XH;)w}c~j~nP+=s?2C z$`BjuQ+GPh7PpaUaF7uu|LM`ramjLIM%<}yRO1RwFP*<PIZ2d*jYdLsi^;aVO=##U zxnZj^Rm3KRF<tLOlOmBoBm@qRx7JAORhtiBRIItDqhLZ3;t3HgyYBGV1_twJ=kkuX z2(SdD*R`9SN<JObtC!($?~HH+go*d?!;OGKV>#f|xaK!+0{V<kyAi;c8ZeUL@c#@^ zDA~Nyq;<+eL|kAXd4;W_9-v+mPzFC$5EqnYQizgQ{-9W8CiP@Eve*T%Np3rXc}~$# z#<WSXnPHU}oL2a$c-Xj5B>fw9wCjf3?U;Z7#&c20QfK4RFElZBVtw15zw@j0=Gp;E z=(yEA-7<9jcvXBCCI)Xf7f%d<#<ihUw5atExKOU4)D@O5mJ{n=VNVgHd`85p`R@mx zx0-E~OrM;&7vu&Ff0g(CvqDEA-m>%B<LkJ~OV}K^bMeKt5P4eZc4u^6G=uU~X4RKj zdf`*t^M;&7L-`A?;BZ67k|)CWEBQTE&iZK^qoPyxVfVkj4WD?YmL@0w0GO_T|NT;n ztC7k7d=a!a6Dm0qymM<AAczlo*GE|qz8G;BaOQXU+7$+l6W0DMklfX1m&&Z;CsEN! zN<b2Pd;awPd|vGSc$R;UYOHSjGX0)C_F}V{S{hqhT%#+kjc*>eH*$D)t&T7`S2sO9 zoyj;C6w0SpwXCFr#s3X2r7nhlPf~4bWZBS_Fe*d7#YC{8EhfcnyKkZ;_&8Tvj-47` zUKeLZ+8-5d3U<5%?#_Z1btZN%$XcGalnO>u8G)Ks*B|;mIIcTQwV9+omR0YN-5<1g z=Kl82_uhm&CYvwepJ~;*C-(<UzPXdJgI}_TqgKFtak*tEpCIE^I7JdOF|;IFJS>$; z>DE@0$_R$#4W;m>a`VeHOY7e9p2a^eK}TR#sH<A#pEy@-wZlYC#|pa;?0=rZGWH%p z8SMg^x)tcZ7<@N;+u#q;6P7ga>mD~1zSy=gF&(5vL!nW66%Ya;{>l0V_bADSR`kkm zo){}-jlEUNOYvG~6ZI0dzEV!x1yopSPbU{TyYyfbqavfl{c!@xJ;l?$SKesUh2cb0 z2&K9g>5-GfZu8cD%%PDfga>pvp<Apeh|mg?f6zO0z3_UlSgR2!v1@+);S=hoTWkhA zL@lS%*oIBcC;3*ewv<_oZ~H-cSCIK}J+mw`CalvM*3GXMnei+fY`*B45sG=uepmQ$ zBrSWnS(xx~rwgp|H)#0FqMQ07fRgjMH&Ls^VQyKgTVi~mi4rB}h5B0WV^#<-x@ifN z=&z)t9coDICcCHo&OSu=msn}9o<)Gb^_Y+^x(f$B@qE#qWVayJAJ*w^{vZk?&_HWQ z9s!#}sIQ*|xawkFley=StdWY#k7TK!0N76qG`1R2b?X-5a>mZSGA!NEs@qFGl(~T9 z*|kX3)h^mjb#50%5LM=YjH}(&!RiVEf21E41(po9Mm|^qgM6gNjLGcL)BHz1Hj9!o zRC6RNN)!9;D!?B6Mx=fFSe{yS)4pl1AvfyP#ZS5v1FhQ^CGa-*;d1chFAogDdp+-# z#liL7+i6t8mc|<0Sg;&~;gangwD!JZ=VJX6`t<>hR;1|4$nZcFVC3nX0oP+K%j~n4 zz~-0C=8b;(QA+I7nS5BK?^F_=4%d9F=;{Q|vGTg(ia;mpq4X)}>r}ln$Q-IAz6NEK z#f9O;APS!;We-#8fVX}RmQ3$A?Oh!zvY_1SgEBQ2f9c{JAgdEMdne&G)fOOKK5baj zj($y61q4;>Sy6Tri8#U|uoA{Zgw!D0J9MDg!fY#&r3Q~DivUrg08(h9THne-aLg*0 zW-riu);Utu_#!Qay_=#td1|tI)u<zrBY{~vYJ$C8?ks#FzVr|@B`o9fJW7DmzG3U% zu0ce|<<ov7=P}Cn30d_aEa&0=5ftKXE+lZW{b~Ip8aBLh^gTm513nyZw5L*J_pKMR z1CUspoYyi!Yu>B2Tq6^;T!KQ|-jUA9RIpM;C&+8VQGpP3NuBvwLsd$>tRB|cS~J1# zOZq?nfUba-_)c=6&rC({u-WfpCC~V;W2MQ!PCLS#EnAspq*Ys)C*Yt)El`om-w<v4 zH)Ie*=2Vk;n*0@CPR^f1OYho<S><L%jq^xYoo0cDx>_XvHsc3L2H>bD8x&?yc`?YI zEEB`A?2d$B@#nP{MI+1uDLaVe6_yi^8^y~GtJ^m``J))4BOG@3^pA2s)<#$la&8p& zD=cpRK!%<ZQD9iq=D>8Bu<UgVXC+`yUWJ<_+H*S0V+OlzpHc;CD7UZJqh#=5h<6K8 zg?(tmY|sM(a9d1ChS+6yB0IVBbbfx@Jt;t1K^}BtYhEP`N(qzugR|3){(RlI0as}> zF_kN4J3QK-mbcwe9E8;(1rrMgbWogzRVjhqG%^NlpLm6$&&0h}iCS$xf^b@YNc4=* zlxiN~Z-(xAkY&@rG^UrmSV^45a%zkD`7!v_Yh;IJFKn;i2#Rt{v4&?=s*!n@2DU11 zO44XTz;lWRt}qAccKpxQTfOds1__p>C_VgnBTGmEpkiiXK1BXQvvUc9>EcrBXv~nM zvQ6SqoW0yPmlw`>m|`!S3S*b|l#T9wK3ro*4mVnhlJrV@W`vJ#oVE;25WBV2m<Mf6 z`^ZQG{J?z(&f{NHk0w;5jPw}sRq_S)*(UTOKX<psojn9+nXm&f0Ii*$+w{?vu1TU3 z^JyILsxBATT52U>#+p+{xhY>;ea^Ax#xj_3E5hMT3T|hf9c!qXj?gJUM^)Dpa~WiR z8iun&-~9<PibHWD+V5YBJyf{yr-_Nv?S)$eaL<p?{NAA{k1!Rn`l7vZ-sO{#C2izz z)N0E6+B&EQH4QB74KcnoI3~KTXYA@flOr?CZa`nL)wCmVUP$HJf?0C?bE@?&0<D&Y znVQ63?C(_9?C@AWT5~yhjs&JVY8!{17nvdaOJe9btUen^WOQ2+oBw8WB!=RDX*Nz{ zV)ghK6>hZ-a15<}<zv^)P`*fd|5V~k@yeP>D4epd&TO%YR#_Z+dwAarr_0=knG?Xt z3oa&u<nOrY4VKr?#M8N(WM{ug?YW-JeAN-3wjKj_qIn`*_?q71H@qj$ELFmn{;cZH z_DCU;aTb8*AvwoEz>TDY`jDQcYCkLCI)wk>VPhg{p?0!T=RO^f=~w*7H;t@a#-AiG z9m%9j5O2`;wam;8+=^j!o(+Cuir{Rjn8Uq`oI>j~EuQx}99>P|dJm^?x7tR1|FHK8 z)PH$ris~%Jr*o(xaygeE1?8cAk?LX`)@0~N!fe+W^PvAzUr&;!Tm3bW6!YTgt24_W zrwAPv@~G;A>(I&_LHi7ItR9srA0HIaaLcKJo5OMAyAmx_?(i=hZ2X>k@<zcO1z~=( z@NNbN4l?9`HUXq3{4<K}*TvHYx7dfx!hVD^OG=2(-UJTkxUvRV*Wxz*dnCQGAS>>@ zAF!2RY9xzj9jp<3?do7%1C|rrMp>r*_~A9%BCEeJjwDt$or*o3cr#PHs3-d9uJKa| z+BWGn))Bmu6?uM+O@@mznb%r*6<+CZd83UPHTN;J!Aq!DyGvztvi20-1s;jpN9N#8 zU|%zKa5s%YD*uKxwoo^C_TUkQ>5I*yD;meXTVS*Pw(Vv<(akIFb>)Df*!ICq0z{KL zN6g1MZg84A$H<8_`S(O}KThgcwMSgf^uZXI0>Rqx-M_%L`|>>v4{rTRUNNtWyQGCy zcW#mZYg}1k-GA)dIZ+2X1{|@r$G>nQJT@y{G4lcn=|^2N_fQ|(n|Vbi_CEr*T(uG6 zWc69A_Iw3ZvtKa=#@xJ78k{;PG_O4JwY<riBOgf6poV%DkqYqu6yLb9@Sx+yHW2_- zNs*i;zGHN!U!^dt9=tgyVw$6j7~;6IbVfG!UYu_v+<c>T%MTRrZ6T46IkDRZbVjHT zU8%Y&5B*^4JbaHFE$W6|6}WuAX+Mc>k;Lf?oc!JoH|`oxF;<oH`$XzEp<RZV{)g1A zRk|tzd2XxF5B@bBOzy6eOAfS{J5F7n1H@gDXDU1g!#njopkXr+LtbK6w?Q6P8uh#h zE36*ZFo<I&w^BHXeOyZ$VzYj|^oW~*o)_5b#gAoXUm&P@8E46SR7<W~>Hz?QHfJ85 zs7QBN<g)&YL2G^DI=r3t@ybIeL&ytzcw&7V3_1H)MRbgJqe)NwwG$2DEggA(o3fzt z05?&qd}WBQXO>57sskW|fmu5bD=Rj&8~XyO!`ea$q^}D%W_mrGY*f@LB5X>9Nf0Li zwweko$NaP_Qa7bKeG7tkIL<n8D>L%clTR(sf&2;<)}T8n)~bWSGKf%jZh^HDb@}A@ z{2RGs{b4R8My5Ja)kIN}n5Ce3iov%SinotwjtTI=yDY-m+ap<?+9#U9OdJR(doyrB z60Z$^HJDZxJzn_PPo#$t17~S}Zfhu7<0?!|DYncJ)}@&Ob8LrX%ggcXS#>G7mo;4= zdG_gl5(VUgktDF`pj}WR0!j))i^kvO{Q+$ue~?AkHbU>`d4%MtJ5E;M3%MRnUHv5@ zY(6dP80+zC@_|U^xA#k+U0Gq_;A3@5d3*YJ2z`vRJII;A8wjb_!lVJ2SP7N;Q=-*E zC05asyf5n@*$$K^2zx{;QbfDXX?$ZlF)~>vySu0mtJ1D=HbvRtjO6QsXFbp^iMCYC zZlJdMKOjEvfmT{KHht+~Eyw9c&fdlmYCh{H;ZX>sC%1s30z8M5L%gvM30>hz0{aZ3 zG1r`7+KO_r%$RJB?0<mX^!LAmVZp;awOFlaX5MeztLJ<8E4fF|+~APbp$;_;-li)O z;3qfYDe+{@G|nCgEC_raYW?5gKkz|XS_j+&XQM8qB8}@)UNEt9ke8-rjq4#@tfP!I zZ6?N?)xEi{fghi!#)eTJ^f=dyKg#6;(J(ktmoQ)G?5JWt(8l19Ug(_I#kLL_lI^z; z<|t$DKz9a(pMly}+;U8GXL@ZH8z2zFChgOYo=mC=GuCzjKiMpQ*}B8teksPFZ}}T( zC^$_mtV}REk3U)pmRr4j)!f&*_<T}Zy>ol`_Pt}UuU#u<x_QdD2T)z1;g_He&3D`# z0E8p!Dr%FM`y2-kCVYLBw0vHHs!^v~va_E6z3{6fIpuHuV1f9rK%;UBR~m&FKFA^j zq1tw@*0tEupFXrPq(jNRRJ-X<c$@qEm&rUH!gHc?QrfjG>THvOI35Wh&4^8&@6yBX z0c9QL4_k&N0fscE4ktw3Zk@TS`sTqitu#uUua=h_FxKK)+dW&33Upt=9vjBC-y|Be z9Bua_SO^V1-953szQ8X{4>7yfTkR3w`-nTi@sUqibc!OU^SK$Pddn(H8|;lJtz!@@ z7j-Qb1VddlCkSlk3=l!OeKukI<Io4AcZ6(Rs#q3xIqlc&nY^?@SdV&UK%3Wn@oqjQ z;E3rW#th?Gb(Xg8$e<&lPqYJx)j3&uk8RXCm6#?qXJ|L$W2ozv5Dp`cm?4bwT_Gh( z*tL7|sQuqOHg5+jz5O-9O&-ycBW0^PVN#-d31}kiZbeDM*|#yS?@ZHMj$)EHo{sKs zgf_J5F^!~=mk#sG!Kx&$Sh+Ein0|F>&mxXiah8wd0J=@+TrVySgw+xZA)kN^_EQ#v zDRe!2qvQ<vI=-IW5coV3u36?5UEmbM=r%~l{V8l6j|`E*!IX75RB)FRCd+IPqSOX{ zm<$nC3Xv-Rl<ljy$APrCdvyMq2Jn~@{#!)OWE9Mfr!Z7TNo{P=i4gZ*8^H+Wbuyr) z9HJN^II{I;jj)4V9%xV*<5n`hFsQ77%M=9bwwy-UPeM=9t6qbXKR<@^=6UdVe)}ri zzf>10@#nH!b7C-sDIUs{z>)as%8!JOn>VjWQ&lR{n?_7UouQ6DGn_&!<n5tmI5&wr zn)JrrfOZw(8!YlCkyPqHZx|x0Cz|#Sn!SZt?oarWj<9kZJ(qGk3?2VRWvaR;63H?0 z%wTBCW#bLN)?lY*uye570;LcEBNfpGVr+>)xR3m<NK1#rP-!-0L;kzeWW+K+6q0KM zbzvl;z8QpdNi8uSDBA&dP9K}G4KMu5UG5W>)p593{SGMmPfS-|X%qupoWv)^NH!X~ zGt;=V2^zKPh?r^&>8=17h6T)&rYJd{_BPLn9Hv@$dFX;3{FrEy<Jyf-or4sK?=GRr z*mi50^20NYM`e1W?fk`YB~C22_e>pkK*1P3?x?z%{>~rvR8f#a%vUOWZCr%9i~V{( zcX#2rfj?e0vEzWKe|pP|p520O;A!TBK^;YmB+@7h6i2xciifHUWR0uzlx8#Lfp00> z7=7?{!G->#tjPLiV%8+D4ij8WEflM=IPk=1eB@ib4u)VbTq&BONN`0<^MiiwmNv>Y zdOEn<FfiLoz4(oG$<x{_ryDjAx_2F)b9UcYd|j>HQNrPRio<kQdCRx-F=S}X{*fWi z5zD`D?h~ouO0~{s=ufEd1x(Y_%T&lW`;3N`9));;(YT*uK_h_UsuZ?^aXcy_$e@KP zLqx;287K^!x2NGo9fyBB$LzEA+h1rP97OAb^0lBP%_c<Zf{wL6RWOLyAK}?z4Y0dO zicVs-+CLrSxkL#?th7PKJI$tXD^CLAF^$k776l#_<T=#@!saP$lXwmN=@t<S+g3FR zdEamy<JXL$p+-q8>4uDE>Q!#JbrX0nPae#%p=l)8`^^03=gg%BihB=Qv84CMwxh{3 zxo`fG{%r@+5)%1Clq;9OH>ip-Plr!A0|1yvhzfC9)fWVEa2;C*lT2YGBotMRzS>xk zpr!VYVkQWbP=s4euTev-j-OR&c+|-rU>#HR*TgU3<Pwj7at8PpfE40%$xfh;RS67> z(hzuOFtEFYp+;1okWf@ZKsgJAstuXJ5HugtrRpCRrP3HYr<krRr5i)PLKckT<mq1D zl7!$PnHoU@(z0B1k6Y;?yZ9%FOkh=w*%aMfQp^VlAy0kpkV)_>-TXaWJd85MA%F{f ztz5W}^B)Z#NO^l)h%{sd0e;iw|2Kt_I2hA`xqm@alm6f_`|&?bVL5KT8lsX(u?HcQ zV|bf(vZ8}9Z6Ugi*U-@d)GL?2oWU2h<RQV@V#A!io%(<^UH%n(D0QRoFrv|A*VGY( zX`{AxrOo#xt+%Fn$mf)lyXSA%lQoSuW7}rs)yMX_yN{^pB4wxb-*~dwdK208kBHMY zqrv^;jzxMD!og}yTfMeIl(6n^oI1)GDg}|<W#C|z3so>@Q9t(wxqeacS>?jW+Ml~) zd`rY+@|IgfesXTN6VJ@9Vi~mF2~?n;r41;S4r8k~Fq?D03C{2V6GF4=7K;Lx;H4ci zo9At}DCG;m1&VNgdkS_LY^dB2&{vateJqUz?nVqbcx;^SW(Ll;c+6gvn27p}%4M~! z<95p12Kw;{m3XnYDxqGmJZuIPlpb+_BC9LJ^~|MZGUDK}Sja)8J>eVOa#>v-|M(6B zA$uP!-sJFe5hyO!9k2uWpc{;+H%o>5++Y6FJglz@qGUc*wWT0!l0x;dg985L&{IN6 z%*UqO_`P#r<u73Wg*rpj`i#5GNKeVi{##bUud<d-h%4iBx7S~2!`j9pCJ*n5pTbmQ zs;ov0ed1ofM-(ojVfw2JlvCY4xFa<+_U~=0s8nUv+A{vwz&mG^abwXI^185hMu_7S zw}Q5x&fX>M)hsK+ndcwC|GGeXt?4}rgarVQ`8!bmo8J|*X0ArY|8t4f*&S30d*}YT z05k$cZA&|%lMC6@K0?zzbvWPbZvWaQcv#;)!qT2@Sl@qN;qvLF_lD$U=W{n*>UfMT zF>xyW(1_QW1Voq{0KgBZ5AtEvwLQs(!XFsmeGd>|sn-CwrRja>`06yTIv9i>V8pxb zd5PYkW*`4@=7NILV<d;qVvfix0S9JKVDdpHud9WCU(hZM>RkQtsY99>2uEi-to{ai zHeDZM(k4o2e2O3Y8Z^crlFRGPd*@sZUXO@%X^mjQFcYl&JXr47jU6UGh0<BkQD=Qe z@zd&22tD+5Mb&WthA^aBOaYPBs`MzvlL5Zg2))TmP{ccff>n77zi1w-TsziWtl42h z^Hn)a^_o}DKt%Z|1SL8{_oR61*Dw?Ce5`flrK{NRsJ;<sSM{LDR1?U;2%ys70l<%g zMK-<XlbhUwQ5W-=w5kH$5m=W^vusAh?L*7$7|u#IPTq#7e_nAZ&}j0JMv>NN^nNYJ z`QzCC_FIUpEddxuIKHJjd5}sgS4vS<dSLCkEETQbXDOFziT*V6vRhltY<(EI!e$F_ znkZNKuVh;|!;6h!dXs$eQTG|(vQ?FSNRsp$KQ3)%eIPdl={->{m=9U01&QY2@|+pc z+=+4HUIa|ZsDEay1_Fz$&N<ti$~meuH}j!Z5~Q+A*c9)c1REhNUlR~6Ur)+}c`2_L z<Dm>><<B$)6~Noi@seViF)a=R0JjMMz$pN?UvYA0b#o#lStiUH7_1-6>&?7X(_YI; z$`a47M#OT})l5j^%I(g^m+%`n&8<X9S^1EHHD7@eod&KMeP-JWv%EJaIqT*&9s~qX z+33I>vv*}wL12HD8z(W~>~QUDukPkeZm4UKzU6VObcnN+CG^alWpm)DOabZc%-ZTP zvX1RamtM;!SmKIWUGP;%O>8w750603O&rDXQjD3n=6kEnS+c41ZQIu*TZ0i8yF)iP zZPh1j>Xv#`;3W^6rjoZW)b@{!20ZtJTIDn^wg(mX=f)Gf{A=T=YyXT{Yf+o@)(jaX zxgN<Z0=JC4T}K;z0Wzb{#m(YDt?B_wPhC7r_qso=6`X8pZdJSU#Z#3v3+#_gVe;dM z_p9;KX)if>%eoc7-jB{#o%O{cQ%Q#g6bD2H>ShH^ZqKIK*Mt<Rxr>Z5;I8{iDKWkX z<V!FTi+eh;icb<Nz=eOpc|8<4lLg6?7jm2W#m`e@8nog_5ZVjW6X5(Lqow3i1aodZ zlUnrY@?VtZGe}{~w*axvo&g+3Pa$ww&fG+!rAZdw1b{8{_IoKFy|MA}mJ}gO6B2r& zD5k=C8OKdg{74pp$l?4TC7KEgh_+W$X9%_v+I0R8)1BM*F)3DEa?0ClFM;hV7bSU} zKkxH6F<12;<NR>bvjyH<)AjE_AV(tZRVS8Ajv1p7cm5?4a$cQzu6|Wo7Nb&#f9>{) zC^vUTO^kKW6KI_f_X7pC`vg)St^>t3b<u$FVH@feDz`gg>7vToSfT<k<2^<8jZSSg zivFl?ztT4bZQT3xDp0Ry&pXH{=qkM9y$DWZ+ZkZwPRT^k&%E7YXxZ$bi?U62<=M*k z{57bifb8D2b!%}eWAoaHcsp`=y7CcqD>Ge*JZSLp3#7?DsB)OCT?rI5#`v}vFJA_~ zwEy~a8^vq*Fg$p>Ki0chE9u;nJuO^(d$hIVRB({Pe>At}{#LFt_0Hb6nQ%LCD(}hW z`|$4@6_;mmUSMy5LKqo0TwrNyv*TFjdt{EUrfemIN4X1Z0Zv|AODF2m%ag;t$slHc z|LBQDNbEe)=|p?KJw&$6h9vWQw~z&7@nCclI`%a{R1NX`2rd_PBKL=+5zrQg@|D}A ze|txx_TD%e>963s%J!&GvDMl=Y!3`3#6OnS;W;gjTjR^XcLFosFciXnJ+_d*p;aM8 zRxePcI}E@Hj!UOxb1@4Y40&%D?@PZ?FdW~bW(GXWeVj^I*4+8`KL70Sk^@gVU-d57 zrGu`qW~hJT%5VP9ho2+6c8jDP9iyDdZb2`F<d^eNs6)sZ!I)`U&gfa*S01~nLWHqU z#cJO+_ee>hLw-v77<V6cvs*H+lPHuFjvu>>f)XTO<yCXaGQ5L6ZWlr7#Ancnau_tZ z>0K#6l3~j+$A+&!3UBx)&Wbq3I8N@T@t*>bX3($!%iN6+h9a-rw7ht2W5**ms{{(X z`8PjmH~5VHl{i?vf)}~zyS4kuz2hURi`}VGnwh{~@<tuiR5TF=-bd}VJwMHdM4CGn ze}6^osy*uoR!t6EwYfzq?MA>q>>I{Zz6S|4<8Y`&fiZYb)srb#*A4da$^wqO9NF#0 z-I{dD4$`Jr%;#yGnZ<!UUlks#RG83DYp<O*$v)4vZg36JzIj{obJ%n5J3|rkOJf*b z;*89pRjXze-rjW2LDTQiTmmKvj84Q=`%~6GanqP^ULYED$*$6~mO(l{P(IP^!=w{% zyb@dFppJe>=^&eiNxj&O;S)c=+mrSX@$eH{9)60vy1BwxxWzB9hkereh*Q*Z-v($7 z@Ul166YH+_iZQg~`<Ng*;%&Tk13&NjdA5rZ_#=OVlCg0_45IhujlcYc=);jX>(BxR zshWdZQDlvzDJ2&)zsHyK1BzC|G7Ezt4I?u%fq#(KjWKa>tl-ATAYDiXwbw{}*Bj8^ z+t=uR7%%N)St?4Gm+BEoBKlo$f&LB6?|Rt5f4Ey!Oo2hWQj891GOu?1F*PJk+qK8R z_};@j5;j-+gGPA^=qdE~ev*LD3r6nAPYOO5V8Ypr&)k!8_FKoc6bo$5q2CUW9x5&# zwD4}Yo5GGu5gM&|*+3or7`nK7RnU$b{-x`l)$PN&16+j|bTkyZsJYio<A;S!C!Ed& zizX;3HCdzD%?L^phe8&pj7<yF*W5UmEH^7mK@@%8A`^9LQtXZ1PG`AiSRv0Io0{yh zbcWdQLnSG-FZ1QD+{cLg@d2Mi@^Wx57mi4-oce${<WKcv=G=ME^QFu}F_SxpSCrGh z!N&a#T+ajQnh27|_8%uuMGp~-0*OY^wK&kg0l-X?-09=eu}m24jlm1y&~?6;omtm? z51I<PYJsYM2%Mp&J#DE2QU|xU7~#yLDR+CxnwHsU+~X6H9il*Ugf=WF5r$X$4)cGl z3DGcn5OsINy|TC`DfZZVY6?iZqXzQ7N|~YOa=?lE7-z>)5_N}|RgYGwp7PTWEDc1L zPN6-<Lv{Kzn$%C0im$SUBoM@4W~wY~_~Huj+2(nu14V16%Z235iI!O;H16`iZXrEs z1mnYcZ~&!=4zOxb-=P^Avv5MHvMCOy_&wh3Lb9kiMU>ARiJ-Vj6zj_~<BPh2jq|+V zoo{j{i^9K}z;n4Vzp<xk4>4~*I8^JXZe<X`(#GpqEy(e?6MFRkXZk7HqHCT=sLe$J z*uy>B#+%A9=c9z)9qk~i6l=_TN6(BN!f+B$<hSQ=Z-hg$OEW5jiUf!KLc%1|{f3Mv zw!VR!ENAoy(DSC4TT@!@xu+lq^JJMG-E;8k%3tgJ7VXVY6X!!oAQj0Sz^)U_gvU$> zyL@nCV#FL!VJo3JD93%5S?FfVje-Z*WTImJI9T)%<gZIBQbShe6?Q_`l#jTt3P4f) z<F2n3meT^C9w1?E!ULv7$D$9Xd0Y(o1D|L<K4V&7K%e^Z^Lno*8@N3j&JZ%~;AOc{ zLH98XsxCwppHWg$boU$*S*Wlku20|4a$V$+nD7sMenmdm!^o>F5fpsGW1&oRCd8KT z04Vftq2@O?^<+QYQ9LU~;NC+236BQR@>OcgxjNfjR<4+mkDvr}p_@E?FrDC=;Slbi z6$`NJdB}y^4u9%}3>5uB_-C%k4%){isiNtjxf$=pML$>o-pwT5?h60B?3vk)w}A*1 z2-M`r2JC^{5?1ZiO6V1TS<aY<)Z=XG+$zU0r%6wuB3jw}45e4BKb6nWZ|5x;Ae)fv zqAr&wg7>o_wEbZ+ueu`IOtw4C^E};!D}eXmVA=THLb1pP9y|3EZ`j-kGKfbEr??Nc z(DTPEUmPP}zTIaOD$@Y^<69l+13d__SyAR}?-4NgnyZ3?GF||JX?!5bRO!dD{2#ct zrZRzPR8!SZ$Q!g!VI_{aZUYur=h1}-`MF_z)HMoTJKHtXp@JZ{j8~bP^lX7W*j|$u zEQWMy>({fT!B2*ef$ysBw5aUR5(!z=^EM#DQI0%{d=6<5!BNn`#zP?y90rIGt>Vp2 zOB!BTEvnC!DhV2Y)s0Um4%A6{#>HQOa5XyCiGrHW0jGb`C|-dUukD6X%Jio+S}-bX z8(l4{=)!2j%za9o|1<+lyqwwjb0Ji!g`9x8O9Q(;CA{U_6*n<n2x#cvF-*c!<y0U= zTQ$&;RMFdPRXZVYq(T%Oc*-N}92^N`>^xc{e6I5&?NYrR*~U_}SAiW99RpSMqP|1s z>FR|%uL4m@${Z)6d0)+{7-mfVJW&iMH=}RCZo!XMW*cgSu*>c5s%l=p!`CY|A=Q`q zPu}{iL)9%|#9M01>{Q(lP}fmU<8uUc)Z_(Xe)K?f!SG#TCuV%1<2m$>H_8$pKJi)G z6^5h*&7#MeHDCZO9D}fCWnOr<S!J**>BHq&fsMww55TmMO<1OBBA`5<$G~`~aY2sH zL`Hp?YWm9`8UW7#av-lyHTQE+*rhcv$HG~RJWfHD!Lg|n$|fo!VKFDsZB3_?Aw(H> znrMpX9PJWshaO;w&ZMHS#Jd>|4gS3%;Dh8#7*GquR^#%y<?&i)tUPCJE#*!>IX{9@ z-I<Lbuw;Qt8&%sk{f45=`=xu(G&3QkQP>yc^3&A7NK=J2wAf({qXL_K32czkTlXb? zzA!4Mx3sAY)i@(UaV@9B@t1{2wnyoEKtRE|ebPwSn0eC=I$=s4Y~`TSeyyNhSHFG? zRzZ1crI^&bv~CSsBmY@X%I78X5p9xSPPs(+C+hK<?XrbdqG9xo<Q#vd8TME7Rmr8q z9-32BjP`pBbE2_Lrv+W|s;8RqwlfOM`ju>st2aXLodJUjtB3Fv{P4((h%6J%qjoKN z1kXP*rT&;_#CyU4Lw_<ygS^clS<?A?3UXP4whcjw=4C_|Dm(%)U=YtD9c!~?R~?6s zfiN(u`OC|WVn0cXQNb=36)@*4IHPkc_rkwNA@LBTJi^dlH#HrCmA031YdV1UWPu?e znzj?l2OUTw=zW~a_6h`P2s%Tzsd|l-InMd8KY9<z^H~^VqvlvW7g=gsg@ugier-B* zHAs1M<jrR}XFQ{nD=lgs+nID~&BCL83}K2ZZ7cqRvKLuSh-YwbB~stKTPK~18bIf~ zrH>iYh3gSwL(L%XpGgq<nc+gabVDh-ptk?|cIBTNq@~ywrY#;=<K~%Ca%lMl<T(0M zZQs5|<Lx{2zbq<Bx$>;zqKMv(fu?llaUX%*)o9^Sq;JKjK_f!f;?f4|n04e#WML`a z{7}XjmygZ~YC<YbjZDjb)mslKH1UQkS0lCYnlo8@U9+({S`YWbIV3Pfv_DMnW}u_? z9($a~m`#hMQMc3vNynp>hiFn6uh4PyrRkMYs5Tjn_6a92zuw1Ss|u!tQx}S*c{7x8 zE2O+c^}nv2taNzYgVRf%){_&-nRuO?h899}-lY^O3C9yIp!5^&eJ;spm&JcP{m~^d z<R`a9d{{j)I8<Q^q#ng5;{j}$uZWMr(woEnuEq8eKq<d7>PRK7cx*5lB!_-b|LicO zpVx$mOZrEOMP$33Q=iz<Lt`}yYcAO8Rh|G=5atHmUd8t0vck|(>HM)M2C(Rk!J zi3s+UHJN@I^NQV-3#uVpOMY#RN)rNQy$GB15{*zcDBph?h_w9*(dH}f=9-S&DD1%L zBL`lNVAe5zP49c*uT<Qom!MyC<?&{nN<5>xWI6}K#P<49FzRL9T62U9E2K4kq!VJx zWZ4<_Y$PEpI_6UW)9tHqMN;$4s*86e;kz|jRNc5m-g{<9l^W>bEXN|{uJGp$NH}VR zl?aV}GDbkg9>02*44dN3nF#18)_3FNOf^DSjVZHU$@w{Z#k0VCKZ|qG6n#+>+Wt+< z|85dotR$VM+pDA1MxYZj!ydfy7Jz9?%<(P&eXna$&juph^2FvqUKFOK;FlWb0!a<_ zY~Rj50s`moe#dJZ`d~z}TYu`@ReTPVszdn<g_!FU|FG1!#7=3_!(#uyVZ5;gv!xVm zFwfBbrvd9bJp&wvH@PST7MI{x+l!l9VX+v7T>7}b?-lAobr;J;ZOTprfz1rvrOl4$ zfO)EQ!3#Hv9f(h}a^qJObE7V-kEKkK@NB8XL}6^?G}J>a;nHbt<e+{KhaB%`r+<~1 zRdeY*{tfo`tq8mO(7+i@uuK!I8FxJ{@tZpu$YfmRMB|<1K>WJ@FYp1lqjG4ypmQqp zEpD;k^L8WJnGdv`L7BgfzZw8gRML6kBevlLITGe<fvO#oZzKjTV_nt+%|EvU)^S-b zOy$Yg&=B+d3lMgUYn^-aG`2B=>rRuS-da5iR)S?i-<+o#1MF{wVc4^H-Ic6|0DRzT z$YN{i2!@VJxpDS6JZV^O%v_h8@W7n}6(su?t*eE~<!4+gYsVEIiQubwnk<%QNxaFU z^kT5r)RbT4XkbT~dH((yBA83P6c1nOPS8B=tdVL?w5{jV#g!U((p3`FEg+In7p6Ri zr9v0dzA>AwmMJCsZ5QY}xxs&zi1Ln^I?+0W-pe#$yuhW)8BjH5-<{bXN}bk4={;Xd z`5kDG9nK#S6s$(&gwr1!at=Km`!W>!CbcA9oum|skv^2K?B0C_LEeo?2c7myUo$fy zpt>$|*iW5ooLgs<spq`yIyup-TeiyaEsiq26dUBw-|xH^gvKkR)pP**$O6lun+kyI zXBBl;*TBW+a1f-0DP0>hkS+*{=ZYPkB0Kb7QxN5bO|&`l@eyZsVI#)epJQ04<P{8y zN=F0~po9vPs~;xZ#?d@Xb1|DzJHumDlg6}0(>0OIfzLz}=eIlP`CQd*p%zo3DRLu4 zn&1d;O6JIF_y7SE&Yvt6V7Co~OynLf-=s2+!TU-uu^s*;mdgd7LXpbRlr>~t#!>0v zbtB`|ITaYZZ@W;e>j}^0kwTz7&C@hr@stzSaV7=kiOhkQyq-lQ?bf_FgH~bQ(p<Vm z$<GU9{?~VBnrp3X5GFk#ph3%P=dnF8yZU>T&s*+1%G+Lysx(Tkz^4#Rf9}e)0n9k# z#f^{y4G}y)<L+2P9&0?-Z4;qdLN;1kPn$>L&`@q*9MNkwEG`>0Iu1U<z*$cDu80%n z#byu&Q>ffqL^P|VIZE=z^UhTFQ*~}Vrpc;MZfg1;ZJ%IC#HqOea}OA_9v~>_&T}OF z19y4?4KR{BE2|z^6*0{`^U3fr3)LFD0!lCQ<@0+UydJVVIdo)}yCzT&o*Ke-4|t+c zM*tP~qk^c^aOYaiF#N7)z?X*Zfph=4hKI^r;gl4$8uAH8gq}ch8;%%~IOu=ho0*J_ zuv3=wNtTWzl=v0ZYrQCGyYi{(tou8h<NK_9C0Zv*ba0GAqepR`juv+b{zdmKWu6z_ z9;x5Rl=D4)Jy?Fe?iX)0LxA?RB5p0}ale!5MW6z1WzSA3X!}cVYu9HQbtDS%Y}ax3 z$$Kiyw27Ho^a5z?+BsO6v*xLNHx0DHdwM&Kgn-dR67uy@qtP1deqKG<xkg6nNdWIy zH|vpeoW{iamEXOoS?LyYb5<R0E49|ljK>M%1IZ@xpm5i?t<r8`d(_Bk+0h&2PR;jM zk({~4wa3-G?U~sTRe$J!NL4ZSQK8<lTaOt-#7?Wm{$+Fg6W#LbB$=zW!BLY=hnL?< zfBbGwfQnX~nI2l~n&;hGIRAZQt=u&BmSEH>iJO}LP;W%-mG&pvHvl)%h{)A+X$QkU zrU#I8Z?FAaZus1Aq+BGwSE@F*9-(}FKCSU;HivKjXMJh9YL?A55FDWC?z^(w(#HI` zm&F<U$!7%FQ4jo^H6O7M-A24^ks=$?6vJHKjY&$oTw_zBDv3Eo<Ndv?!FttV_@tY| zQ}@2_jp=JIk;5B@7B!f?U;K;eqVd6`nMM>FEaID+V*Fu#A#?R^0hm~MH?hNJJt!yK zJ&_NMij%viC;R(zZV~W+;yAsAZde>J96$1nXpw+hXb$YLaC&p5d<WO!<@z1emX|E0 z-Vy&Nxw4RRJi0FPuhN)+#POqwB|*nHXlvNs@TPYUKd=WSllS`{@!R3qbq3Q!?cSBr zI6523BWE-|zQS|Ah*=k6HDXs{4FqTB0rgXV@?rRRkFG56)y%`V#=1TO?^FrF+tXsI zmW0uHC6X7!farY)Ndb5YH)c^y;x&Z_#(=d*{DB=Eck1w$N`MtJCc0|71?1@}+pg%I zn2zW1l#0SHE2NzH{viugwn{G!MWRD?RBLlC62sNSM31X-zmDTOaEfg=Jj>l15lqo9 z2bWTC;_SX=Se#Pul?)#4$%tKn@{Gza$^a;kj>R(+uRXAE8gGh+C5XTE=vHR=y7R|# zG?hu5#DsiE)R=;T5xz&Dz86t*pg&?Gc`S4awe2%Z-oU;v^`*)#5V0O;can1rhx?Nq zm2qBZ^EfQeKw(VS5G2w4l9=C7<4W=P2KCwnUl*0ykirAq?<?69q~I!2gvKTiQ{|rw zTnz9t5n*`Dp6LYbyIOH@qG9&UU&vmfw6kZH&yj!m1{Y&c7VHYm6z!y8DB(~yEp1Vl z4wg62)v-$?wRC|buWA=So(UH?%&ki+Fnv;c#SAt&pIheOuP-m`^(wr1JsdNyJ+UT< z0;Ii`jqY(1+<fit8|@e`;+*8N5-$|N9*nMn%&^z!8e@DF6Z&+Bgh0ufuUKCQ@k)6J zYrN_)z;`4?_ms*y;)~wZDQz95M`>;##kC<}apCrUMCJ59XE(n)L6W?_O`mO@P|kZ# zD|{b+hF^Cf<9(xjhtqy6zq4`QY-fL}I(%QMh+o-eds)hAf`UG0DF$@SKP(@@0RdP6 zz*AGI8-gR-OM(kJE0ZyL8)_?>so20HJBT79v!fHY$R^=F9VQr`vpVp)1PXq@<s<=t zkb(ch$?re;K1y=KV1d7UpEO7S0B``9|1kIi_|@S6DDwZ0BO^mQS~qJeWhKb}bn^QT zH^2WC!Q$a=1?_jr((gd~F9`L&xc{p&psu5nvjMHk{}J&@qT!wD7mf9IKIVTx1Oxfs zBAOZfpVKsD=SiOaEotm`{14FoD6z=j0rbBCwbOUdxBkD@?tooct?>&2`<pHP|Ci~1 zg8G5|H=yQnX6}x%c22Yo#&%Zv|IaG%27*<=!zg(U28Q*j2=@YQ0lHU_9gESaiA6b; zdKI8s7xBc^ZmS;`PKYruguDbD9fBO&jr^E~5;RWpDaTPqprc}$(cRdhhG|@UQhs7l z3PD4kevSdo0x~e%l?P4fLfi-mQ#K7uL-mR?5(`pscp7qE%B2|s$K8Mif-ua}5Cb_( zu^3p8l7z!JNRDY(^{<at4w!#{SQy29osL+Hs{rnl!x|$H$EAt~$A18N5`<A5cOf2& zX~iYLqg}8X2XWk=1JCCe0wY)lh*6FEor}e|RM7S%tj0lH_saXhcS~ScfiP-Zq_<!( zE+wskK;TJ)E>so-8U{>lXa?TJW?*toHckT}F4SB6V*$$r1_lsD^{{p;78e!~Fz#8$ z0U;}3Ap^pw9?lA7#3;=4^73->igAP>Btn)7zFNQ-$jH#r#)f9#!$>R!rlgkPG!Wup z)T>vKvxod@CQKJXE@H(R#SjOgp1uSz4^qzLT*qP_<SZtv=0V(tdO8uZ`{vxhVjlRc zBCN(ioQJv}71?<|pJ5t@v^y1#nW($^kj-R&g=wZ9c#j_*<51V2Abk9`aRu<uP4o&7 zwju?^KvrOF!N4E^gj0Zp`*SH~20aE>6Z6W*Tz8M8LL=|O4DW*cB7bMsVrO?B-?R`* V&%*4`bj#AJ#G(>|5MOg&TL4*HgY*CZ literal 300561 zcmZ^L1CS@p^5Ac5+qP|U$F^<To*mn^ZQIt4tsU*yy8YhWy^DA8{uy1}(G}g*nOT`t zS(%lsAPoYF3IG5g0r@s|nsfsnwMM`IfF2Y800Dpkm>4_Id)V5js(=ANuKuu@kNlFg z&tk-cxVgWhqLte$V4Ww8scc3wC@VN51HM~KIu2O!APaykp5Xtq5LtQL8}G1zp!fTF zyIng;z}&uWqgqqcYvk=xWo@b2+I1EG6*kHsHroh2EnwAI3rI8N>Qn8U)IHrC&t8Wg z-kD}o1n@SNv`OjXJcI@JI=!k9%;7@xg>P831I%U?L7SQ4%?US_35&r1zWI-Kwcs3Y zi0zEPUh3C)8J2rP8E7q|*;kT@!Q0xpD=K(C1P}CT)o%-7P<0ze{Jme>E1k4*d9FSF z1zfi3$trARM4#in^aeC!rV|Io^CDBffjm88gXSGJ9G*3z?h17!EhchVs`u69B7^RX z@fs7Wm8_Ok?cU9L^f<y_RBtXO^|d|K7Ct3NY)8nL=I}a;EW2v)Rw*1Z6RmRYuU0?O zOHf6_53U>(40ygk;EOUUAhCx{RqK{@ozpy#8{EI&q%IKZ!oA)L`v#y~OqyjACj*s9 z7`I9;AbWxw8dbC6?!G;MGPy^S6pWW<3Lc`Qj+-SXgl2VwVMs_EhH1%o`O4o(Q^CcS zH6hu3NdkzW>zoErJQ=ewl}QJeokXCiA?WxD8t0B6L9ZGR3nsMWGURfFEsTDiw1(E3 zx0h#t2_V`t7j#wgPTBRcOJAZ*oYT_Xm?vl)np-(^56}OEB}%V;Hcw1F)E1C0a(r_l zKQ9ow7mdXeXHH8tt+*tkn^8_`P0Wo(*`%W(4qwYfgG5Um(TRo1Z^y`$e7eJY!{;a3 z7gzF6={Rbp&-c*KHw|}f43M0*(L;Gd$X_@<&0EqfyN6Kt4|%k@zx{;)1OP1mEg1jE zqp7o{xt%_fzPpp5gM+D)zO##~5xv{L<<mEI#u}Ijapd}4z1tW@yN~koj@0W`0kNV) zAu@X6H@Go!Vs+=YZ<4CT^TF{zR>p4D>b0?z?%_&pBxY|fFJClx5mIlm+KG;lohSlI zO~W(<kTm>d9Idz5w?KLZEWZ(PoC&COH_bksnU6})P)&aJgJK1MzkO}bz_bR70*!|A zf`k-CHn*@5TsuWA=)51OfT`gizTcu|7TUD+QfSQr+1ut4OZ$RJzu6WelZp{HbX?Me zLL(ytg@2vK)*Z6-DTv`(3HZl4a!nb4*|V7W$D7v{P3?^7c{9t!{@c+6*OPmeMJZY0 ztd?#HqL;pKQ#S#IWSuVFz0rnaQ>^x92dKI6Mf&#h{pKEpSPTsN-D3U=*ncwhN~-aV z<}X9p|0+86|IAbilmDmpzHvg<gMx^m*RN>K)$^bvMw>^ZOsE4!4XqEYmv*I&4(o7T zIBeg&I0b48Pwdm)_b1+tu%VXR(vPDeZx5Ui5<?4+{67--w}y14Kue_tDmgec3Piew zs3Q*UhrUV$aEF>V9O)W@FcN?D!@83$d}0(!YS{6lr<rC*)C?Uach{KPH2CCV(8^LA znsjO$DTZt$UFQo+w3tzCYVWXG1nPj^SXGDfK%xZPbOyjm4pC8jBboU_U2}j{v~oOC zCr|e`f2kV~m^SFu0uO_znAP<J62S&}JDAkf_77!hcM!!bwxJKass+4u-aUeKJg16Y z1XnG|P|~-_q>C6Jj#3_R3p8b${JM!`3*?8{Pj&JA(+LahANS4DZa@sN)0!>;Y`y3k z!}|vQpS%_&o15?aJG{34E&r9*4u(#Kw*N84MvYfMvNSumDglB~ogs597;n`#OKiX0 zse9bi*PIQdUHrQJ{}g2zt=H{5>s51%+g&JmBGSB&C}kW+?<JXZ+~A}@OdYi{yqevn z2;BV%8(F>lqkk@wL*6pbYn67OXnkLMj6SQ)AFgF<<@eyE826U^ISsLnpDbp<H&1!0 zmEg#E@erBl|K}Lb7rn9!$JgAtRvvo(iE(Ybvv@_0h3UFwN|q_*N<!d<whld&yJ^~~ z^WY(6dK2)Uq!W<3TWb6z8~(4T5&kzZvsAF~be4B;p?5NMurc)fw-O&l?lnSB(Mroq zs8g~qGcqr*EVC#{R;rXsQqN5PP#m9AhMb^Og_NUHP+(MLWNlz(Z(?p#xN(J21XQ3W z0w&&$(|}4)VPk|EOT`Vaz`$#XOd6S%P5wXhxE=Pj2p|D~I<o(vXG{&9Y&_{bOpO1H zSdG@E(<Udf@0_}e>C-?)qU)`xx!Sv^w92&Bc4TpqqpWNNA#x(3GAIVX)LQxV`3juu zl{uqwJNE|{v%Mez$NVX-VDlm!brFr_7Ji~fJ*L>91Cp!+%S&dB3emeh4^B_YOM}~D z=j_&RhQ;++!PU`0i!Y|%(IZOFcHDW(az~xY^XqpvRXwSuV+TbU%~5*h8SYM&E1ko} zsyVS3nz!{HD&Lwew{Oq%t$BBsIGAx|+kf`v^mq8myLUlsoh;uB5#z20%+gbR3ZyRH z%G4^ItyT+o405TJBWo_dZNHeZ;fr1v2JhkgW~_`&&d_H_`g(YBm30)&kiQUDc;1~f zY9{Juq=wYn>P-Ccg;?r$^A$=i^PUCyRX5tdT-f0TB6BV!mR@zC#Ur~3!Ut}64D`e# z&6{qGFh>{49NICXzgyD5lNbZ_`ZmX!EH;Yti?Y^rHze{O{9BeE8=6-AefqvTvDnY? zwdv{=ue)mYR@s0jO%yfCBZc*7MSJfvyg(jhnG*+WV$=Px;4_OKGPARl7D*5Mr=cho z!Dto{WC`Y2_GT{k2|G-Pxu*F01=YQae&Il1Z12dQzT7&)ATZDqjk~j|JExaVTVPJ+ z3?5hrshHV9Mgse=L@`yf@?^Ae&DVL%a~0Hj77%VzUho9Tq{;0~7YC<I-ZGIvBbvg4 zj!>S3tb-6SY40b~b1{C;hE%8{%7o#=9gO75nZZlc(I;T;*XA^5d6y(fdWXO_n2#-- z5`IQRBpX<?38{dBOY=Lk8F7g*3>TTomgac|a29+Gz<>==VnqjAAdhC3_t3Lc=M8l< zY7#A{BHI@%!-KkIO)N?Ykawic9Je5m?a)5cM)QJy?MqU~fy~1711Ew{O%1t;(^+Ru zb6Or1Ic2ej$Y)d|7MmI#Ikn}r#zCWmA-PKesVJn6=1{8mNtHIXj`F;uUAn?a>tS~k z$CA$94S7l(C2joDzMR<)4!~@kRHRSyMpO+=A8CxI{V8)-3Vc1Jc@0wBW{5mUD!C8< zdI%bnJ{v{^niph&yA>_sK|qQ~c1@HHuZh`F{mmt1PlOp~h`JTCR4fMI9oPwT^l<j4 zJ{lHy;QD!JkST6B2t0A@Gsv1=;4WLVj>;6)H+{%B5NyFrAVDM-q6zy0yV(7Vws&l5 zY2d{UQ&yl+!Rhr2JTHA#2~#485-$8Vm;Moq8Hpe}?$&{&14>L0s$*depSh3;HGtd< z)mUrr2dAv>qPQaWh|C~lT<{s+bTDTOxcebt*sCsY9@)M?#kdAx$A+WZ?ZBL17t-(E zpXF)DUB!2yO=B^<l9g>#;wJE`qLRR)Eu54L?Mg+H)#c8rwFSu+!u#9|NpwMrm`Exq z!7qp5;$6k+v>rMs1wIwR9iI?_Stt9b8(P5WQ8gOU%t|hgLJ6`=0eThew0_-tmC*^I zgkf&j8C#Un6E=-yaUeQ{mclR)q+!WFrbNckGLpF4^rD}c@;aD*&c<=&7~yBZ(1z&5 zG^9);=8v7$aO05>Pv@k3*P0$~>m%^N5ULx@=Ax|TYY;(GXdTh2O&Xf5YMsVaS~w_^ zLqW9ZwIkn`a@zE06+lcacobX>l<4cW8;|@nlcJBQ&7g^dsU40_8(>xdPcs@+aGc7a z6{<mWXysCEwfxGK$hH29FU(F$`<52EcO_D_>KPZ#K4OkNs25X&g;=kMd4@7~L!l;Q z$D)#<mda36_|2Ldjb>H7bg5Ilk)x>rVv#vEs4?Wp?_}^m4h33Joy4+&F%8e0{Xz-M zGq9cxS?7FB+_dIFL6SJ(KIBS+j6!Mp3GD`}<gA}PrLMB;%brbEHzRiO(kZu>@-O93 zFeGUwOh0#RZ^?&NxsjC%)b6%^p}9)FK%ogwWZ}_v4+f2sd3CkP*(J<DgbLn86hgK# zkAb2~h|*DBRL29e&@odBhpuX1cF=wsNm`0rTL|zX9W_!v_(j3-F3>cgT6-^(qD#?F zarEAzskI@&v-QwBJjU2UIn#%3Hd~k>o}vHkiBk3Bw2ez&CtB+G+jme|lWx+f9Olkq zmBqa#zA>`2lezJzD;2#%V54geDCVo@-DNp+$oJ3wy`w3QA6-Uw{Gbfr51--euvQnW zS4xH*%i2GJqn(NsnHFFK9MW{vbt*V(Fk|_{%Wvv8y@(x(M+H)NS|hv1@sRfJqKZTH zBZi_%Zo2ypIaJpx4yk`8Ty%1(oNF2LCGY&HtZ@pjq9h8(<v-0h=B`bL9*i7G33_0c z0=##}6~(prDU)J{_+gxS>SL0-|A6Ko8aBihU2=c~no8GkYzb)Pwoo4pE@4O^lKdoB zkGw(N*}K8aLyMH&R@7XfBnzFzm*h#FwZ&1=(iG9-5F_V9xe`?*q5APg45pemjkHv& z@93$+XDk-~+!-d5oSXKc7NBm|lG6NX1OHV7w*ZaZMy^TfFjMj@WB=opG(y%g2g$;w z1|qt)wG<2?k%H{k8MGK~3S|0jupSH5*N6O<vEecESN{4(0h3)RkSlN;j*cLE5(i3( z`3Lk;CG8s`^2?CuBc0zj_aK)X%!F2h{(UjgP?j7$cK@kthfv+-AGfZVcfc~4T;f6C zBXU2RJS=76-24HIub2^h9r+7$uyc==1w-8v-SBSy<{#HC+G1WR!_Wh$!E1AA=hJUr z&*M0S+v0uI;#b@FkO^B>DU76b#*n#zG6&Wu3I=^Tu3bvYkO_9b{l}tlcY(W7bb0-q zPmKRGf0Thf1<23=fbo3*0QJ9^KmTD_^yKK;A96N^T|cWMj_YNEmGZp(ykCGg6>c~n z-EKH^#WfEe5Okn*9ph`LX-WR`z<Sb0kf>ynm?+`JRSt;C8AxY(Z6EiFB5O=0^GvQ) zw``pCug_BCIM>z75lai+VSDF#=k=H3|Jd@^p+o-i*S{m3dJex>StXr&>Z&?*9rYTz zH~6-Ct9O!rhM1U&yWBRxr>JuOv`nSAcxL#kgRQCh_g|l1C;pFg>%3okjgQiudU6;O z;r{U9MK?|lY5sQ<@2*eXdK6Q<kx4dRrmvd<%xIL_bA_*W_mQ8|{>2mF-yyo>5QmLr zuSy>zo<GztK8B7BJb293AGDReF1RyB3B=8XG?s>TcJOfoW?5cUqraUedr7BE_<W6! z5AUsJ?#4nUh*-Ex*rj@V%z;=r=lmRKp0aNfj%|96f~7-8%w8eN-FG{m-7h`4wCT`4 z`lj-Pd~`?6Xm*&T)u%jqKL(w+2GdLKO~K(V_UvJ!zA5<Yj?yD%U!P4b{a@^pWz6I5 z-u(@i=6tLiz3+%3;=V^pS@<7Tg`)aq)Tz9sddcKve7HC|Uw+AaEFUc0jfLfNt0^d8 zgg@xwYPt^4E%|EA_?dJ3MbnG7;lgoLU?$0eyvvs(#`sB2F8Wep^!xA{DKGgFkD%WN zjb+vIn)7no{cFWXlVMFh-1WuX?gZk{AMl1dX0@zA&>9=__@>LQC!e-Xo8f;5BlIQd zlkkw&l+AauOF1#Z{lf0+mFoP7^K8fD{W9=2LEtZw*6qxmRePwf@wzQ9p!Yp|h1>hg zUG0B6*2jphEievV#rvw@aX$EKQ1z5c|H5b7M^yQj072ZW*LIcsAd+EG<3pSl!S%r_ zt+~KD<8MFc*}1~~<A5AIW?R2clhZ@P;c!g2wY<Jh26jnl`*qIoomnCHTcHilzMQHT z>D)WEGmEO1o6o?G$j|58!{3NF;FtrmUF_ep=3APW0<8M^NO`TTEQ5<bIAWWhS4mpZ z(Z}3Kw%O>=^_}?XbfPQ^9Q!fdu)!YpdjbnRenmRDq8kzAeuH!N;=%t4Z*j=sKYfLU zjrNu1*l2I;${nMxvmYHGgcT?1%5-^O<<LovS^0`*$Qvd$_fA_~NI8WqY9MeN^I-A* z5V*wx7dciCp^vyS_@$jLGJ8NtYaKl@d;F{5H+-A2L}B1f!;aBFh5ec9g?QEH%_&5+ zSv%f+cwWgI(k?mqtJC{^*A5|em!kjjmAFqT42eyap^N=qG{R25H{O~j!yiq%-Z^Ld zFQeMy{>20~xk#2jT2^Lard(#b{;qz?*->TO7_UU5B4}OD?NY5rj%~bp5<-qbsFjf4 z-F%T{nJ4{>F%WkO6>FaF6(`obAUR_Yp5-BzK@xX#p75x-N|VqG)B-~em(A5!rzGE@ z+6OMJoYX%cNDGiV<!g6Sj}(}qy@@g8_B^4Nh{jDQ>}O;?pOUU5pRI9{NnlIv!KpVI zlohKHq|dgDSABMe2R&2yW&I6wl`1xK912z}_ufxfHTcLJQRiBd-X*~u3Z_;s0<#fK zVWOj?&h0{%TTTuPPtj${gHb}|BtW!-Z&kyk9{vzE+B`jU88sC&XE+xYM6hGxqyTnA znwX+aCu0wa)8oE-_njA4UyT?MwhEMn3r+z(yZEIY)KSohFOVXIiK9>^jrNY+E$RBS ztac4ee(IzLtb?4RfGZc|^TQiB(93zb{`PjAkWXpw*#{A6D(h@?p?OOVI{d>CUadaS zCea0Sb(74kafXxNMbKaplo^oHp_uo5+2M7!LB3D?D=9zB=t45_A7lk6IFl+R$>LjL z8Aiz}PT1L<u33_Gi6dTk@5bD0!Gyg$3TGZL(J?Y|vM}s)!uU{>PtGNuc2<{Tn}#7O zLD<<rcH~iL7ag&zc~BU^Cq;?B5)d*O{C}V_98NU{#H)iSuns|9HMe9iLV^<S8Kr8? zI^f)4g%o0mdYF`5&V)Rd!ev1!=hQ>4RsF3Lx4=?oMTvYtAkwMyTy$zvZ6HWz<|~Xe z{-e;TQkG<0Z^V-vOC6t)?;_2|q+`#+$mb)9*1uKgQX!{q|91+ecNlo9has%zrzPv& zN~_Lo_&i5SDF+XPjNFK{va^%Xq2fwOFSiIsQi?dQ8AoWzeKI;4{ZrgnslO@cGp3Tr z-MX**OqSyMxdDDygsjp_QsKQ(Z!D1*^(k(vB(|M`TEoas{iBCXq_7mN{Z}j5$P)dK zPa%}J1bGE#PA3^x@<~?ote2{&gT9kYN!9aj;N_wxxg7sMk|$#xUE9(wk+X-NJ<1$> z`xc*Tatm2!?al+gA1r#t-BoNLMw`Y`8$?-j0gGn~Ubh~_|By=S!o{X^CF|nV?Dr3~ zxqPM2+Cj?uTtM7>S^~9gc#`f$7|jO0Yhdsi1gQr-&u=c7aIXZ$x=K{k?wWE{5lCf& zCrhUoY?*XszF06+bd2oORLAhr0*PP^w)gt}X>n3A!F$Eqg*&l25zA3*x`sms4=Bu3 zaC@E=^|mbD|9SwzZfeVm$;lOiSPlv;*W(^*)P$lygTPG~{BfV#&1>+};Rbh3y}B!M zpgHJbZ9@9q2eZvLlQl<d$%8z%=;(Y1y@BPic`VRM1aVPmH<M^2+aBsQKc~h~taf!t zNyHQfF-A(_8h*2AWU2CVcy?4dPpte(P@I~t2W<Menf;RDw9HUo9WtZ{c}crJ047J4 zRF)S^+6Pu?eS&f=A}2L4XDtHed17dU+AOYHw$Y5Bp%bCjn1W-bDd-yd0-jODd}OsE zwVaZ`FZ_>ql_!Wp)rHoMx4oFEyLv&Y)b?N+MY!;@U;!{kVC|LcJG+Y4_zQ;0`N=Od zuBO4~u+_H1a&Kq69O{5QF!0r<H(waR=XSb$FR~%D2r>p}9RcW`nKP9g$nL4VMBV*9 zd+$p%_)hlOUBST96WvCdZ=rd=6e@MrNm<w5UeL=-&|+jsxRsB@%J$Z%T{H8pXyquV zlX7I6f-U+Qldf>R^~HA(TLfWWC$J3;nFit;E!E5bH>0u{1Bz578&E)*MqMh#TUObq zXt9QtYz)NbXo&~nc@@y-Dg^K5)do6}#R<3FTuB%p*CW8zX<&|0Cr*llLgK2UnJ~Xy zwsZp;&W4xTwHqXzbsK|9M&EmfDh4cAk?IJqzFlRV;d;7qR5+M5PKxjvh+Oq?%DbsD z$AFyLw;Liml=>}~ldNhJ3XY49$IxZ~l$Kico-e_2=?avkClub>jXT=aNYi5!^__l= zCWUgRZ3f6U=d8;YY1@ujP~RL~*k1dsG=T~dRE3A!wvM5dh#H0djz5JEJkUY%D!o~x zObiQlMXntEO+^bcyVZR0obIRdAT>(uwMl5ac)yp@W6zd)g`03od^P?erorD^nZa_m zpp5cnMou)Nwb&m^&xK|wRun2Q6O$BRWmliMrPsvHRdp2UXLQBSbd}^CPo_y<C^y%g z@o(^MKgdD|wo}cQ^h-x8hOTt$2#+@38b!lx!lZ~biArDs<OG;H`Ie^7z@hQzUrbt3 z=!XlgQeECEh7NeW5V<?I6(WkO8bRaF%EY3wK(l4quw=x^l22{r+mpc+bsQ|4jJM6o zbrMtbxG_r7v=Udg&kjsFXAGFB7d{}@W#B}jlGcSh3O8X><QjuyFaQ~hKZVscE=Ak0 zq@K_pGq&0&=Z`kjEjr=Ejq4exdtiZ|i&uO+a%-I(IUFCm=`f1$SFu9fi>?lhO4uN! z$Z}9_EvncozK|<S{g;i^sS9ktfj6lB(Tw!K9F6lye4Z(Sq(^3<GVqkPzPdQ9D);@w zkAyhJIQ&)?kq#+U{Dy5-;IndGe*Jw{G~|mjbgSDI;zp%<bc21i)y7msmStbx-wiNU zz04$rF;>064VOnHSQqda5og2;W`z@E0uTeVWHm^atyjw6OgFBj^h|a4nIUUF;KGWQ zr^SAAO7=C6Q!;!sR8Nb?T&5!?g-DPRC28&lJ3xT6Dly+kWq)ps*zFuwswk!w_n#MO z8Uk|$wT*>9Kg}rS=;ncuc0epvn2Eu$Gv5el2SE!f-e(HGq5{LrAjhQ`9h*BroVpg4 zZ8JPX4QSaG0HP$Y{O6O;t3}6|QM6B4(CIIjL&P-gtU}qwcH9z6MvXv{;%I?eQNpfQ z6E3Ia==2LO4j4bwt)ak{onoY9#Xt~UlTD6O|Aepz0a_0ArMUj7Ni#u9`=cm$MVqc< zKMxSiInhSdb=oaFPqfx|tKiUW+}9<zjFpnKzevhj$+-3Gj9$EkG1V%E$~DqTwiRUM zAsXRsp!EWEGpb<prp1kAcpl2yCtD**8SAspdOh5|6I-@WN&-9QuUQMV(+c!Z`TA<L z?Xc%^C>Va6RVawc`Gk#W8HWafyKpAvd1dXP-;LSlR{V{39{uObC}l=Sk|%8!yz!Uw zRY*103>qgMd`E}666?bg#yxCKg<IkhtS5~gII!T`qzs)LI(Y3ER-CK2zrfH==3Zh7 zICfyjo1vZO9=XGdj%!Q@w16oI4FYSmeD@#H<v6!A6jhx7Zf3}VwwrbnvLNwzoncpq z0pQbg`K6iTd0CO@`9>K9mVLlOIont-NH~M&s>aWo6p<-nv6&DQ3%uIppkK#yPk&~P zpDa(TY2st4^RXf&T5HaJH3+NY%0uF9_uY^aVyiN;1i-fal1iXapJC-}M(mJQ`)LWe zV0wQ&hn=hAc^81)rcb%^Tw*Z!i;zN<l_dyQCUv$2Ok?X8bT-QD8WllJWqu(Sq!il1 zmI#evU3Oh+rQ++};48hRV<}R|vu_P|<^gL-(G;&eaN+t0lL3WW0qAmsxqk840kg>g z!oXK~^P^oEim{D{x2r3U$?F?eg-pUUN|%|c#qkG%POt54+h%GZ*0@wjbMPBQx2?uw zr}!~kfB!!G)^>8Phb_e7Mg+rOeFG25(SV-Ef9J^5yQ7tcVVg^E)TVgVuhm(g-IR+w z7#6SdZi-=@Vkg8-`6g)fy~@OO`_TV1RKT+0M*2>YhEr$-#kvZ~&u|Q3R-4NWHxlvY zVniCC5XRTMEj8S%WUeEr!xu)#k!|j<4cSjnb;K_4*k~D849ZI5vcn1VaPxw3Goo<$ zOWqst4iZ7*x=W1e{(}xriDfQ^yDgqGx&#X!t72Xd`ck0{NTKGrHpxc2ZLAcmwx_*_ zX9dHaq33Vg(rz2jM8%3T-OVyAqj?xGS#Q?9W37Ax6)kQcvm(bz;R>t{vfwxsk5tpR z%!N@~yn0->$8pWyHcBO?Fo@AooMZha%Xt&1V}l~68dLhoGmPAgiE?cLC`|B*sBB2U zX=8jlX5sqmAZM2*O&NB;Yj!y|b;>u(#xgkUf2VkFn2uK3w!fM}wdj4hI8>SGfuCZr z(?Yjd)tB$0jn>2)7TXIpPEsYoYAIXX6W=V^-MtvV@Nr8^gY?%5utZynD6zY2m^i1( z^ZTKJ=<ho#SZ1J2Q|`zP8aS?|Y9{jdkn~b&zw~kuJN0?|N>PFaDS5mYteoH_3TTP+ zRZ5iQWc@{0CC}<;otL4qKU`mwgMbX(-3a&d30>GolLPW{SV{OvqYj}GP183g>g_Y= zBIADl-iVy}M6UV9tZV06PjBs4R#3j1T_4s%5!&xq_5RgBBYW=Kaq3$aA%!+0Mb`p? z%6wC0#AT%~t$<&4wAg~GQI%!%)`CJ&TB(p$;GZEH50>Yg3pQ;+Z7l9f$iu~p+wwp8 z^db7DvQ=f4GgY8J8u()xP?p$gD(rCBrVGh_T9%F4GFo$t`o~mgDf6-FjEL4)Vj!>@ z>lr4gp$0F~4<^f(iFt{H(ryGsr|wIV7!#Pt$clH+gE;1^87Kd>v?@6*0$w!?^E%!6 zf2M_IxQRNenuI2Ux8u2vF2;td@(f0+EXWf$^9=H9QB5-+Q|4-=yMZD|c$<u*fD;;9 zF{irmmTw@LHq$mOPkV$`6xYY8eKk?SA%+Ok(WHVR1bU~s=4Mj86Pj8nNyVThXK@=? zNyjHrADpe``L6w#pexRGQ&%by;zSD9kns+Z*x_qrRtJe^W&3eFnY_-ll*ve<JFM)j zVM{^MCNDd%Du^r3as`Qh`Ai$7!^ZL?G`eg;8@ul*w-U=pqB^`(*Jsp}l(2cKVM_Z4 zDaKAld>!7TIMU<I?#j0<C%R&S@`|(5vC1t3tAaS!nGt*MiAxbPYE|D6Y8{<j6(=ZO zg=h{8G6Orlj=Q5qx{7_6aH2BC7kI^(O3$YybgNg02lBI$X(7$T)8u%Je?T#AmMTWm zN$o1aS!sk%J^y*1eKD65tqvP<m`-*n_4j?TNafGd$}i7Fxqt9XR@z!(y@F&(6ywdm z-+x-TBRC(RUW&H>4yo2zM@t9%kd?&h{3SRUMo6kUec$k<G$AKxM|g!==fokmCB+sK zVJ0+QneGeBrJST(JK5<AIV*|wtg~lTVJ0LxC>H{%7o$|D881><e@Zf*jvZ~fcDPbb zIw`4kbcxc9;MlVHH6nYvv#lv>)-UEvXaY7@D>%7aoJ`Z`u3M5!CnWV3RorPtXPAoD zxJJK1OHtNGA+`HUT=y#Mo%%?MtN;%c$5@|TS8rbYrq7Y2iL3huS!u*1>cxbyE`@1b zdGf0Cy`&#glh!lox`_8{&81%T5<|3FLFp<5au&fI7aH<tC@tiw%_O^d^Z3f`vTQ&~ z{CUfOD0V^u)nXETDEONa^1Lm--#(3Sqvo3TwHb8~*F=1uDQPWF_wzd8wjDiY{0@Gl z<oY$A4*Zql<e;zZw#_)*R`C6-#F@Vdt8U%Fg-gkc6G0g1phT3!xQNnykH8n{sWIMT zB9RtwAv9z9SmGJjUXSF8j0Do-sB57F`R%gsxUwVR7Pi1O1N)TYnb@$}UU8QG5hYO) z{Wt)l)4R$&2O3JDC0yg1-yI(?K+kG#qP*FN4>hj3eHRPe4Q9#WHQK1mUJF6iBwqFD zA~1=C?Itp(*OCu2jyjVIq{!AT6OY1)nq0IA%Y^OA>mQAoG$@^<+zgCXX^;uHre1cY zK0Z7AivtU_3EG#OQ19|l)vjv4k4nQdmB;MQO>w?FrR?qUbOgRkVYRh7n1d2<y&mvE z4;3UCjARcxY&P=-Ulf;-Ad8K`#%2Q?(TCgKSW4IWNXjgspS`w*L*k;Z=Y#;q*Qz>G z`pL9-Eb@ne=}>t!NkzR?@E4_|IybZfAD0GL8-W^j8C%lx;4|pmMlB;8-Zh_<MGmx! z0S^--SWFA&rSkR2tBkftuz`?Jdu_5tFk*6)ia^R$eKbXO5TuHObo^fMmMpPHYeX5< z7ZWgQ?-%UloAXt|XmgCQfSffkWDSZ|Htc5&_m&Ud@4N2Yllzs#8l~H~OAPib`gM`P z+$K`9Jay~8emkQPeM2@m->JJuErRU}q3b)|Odb80S5Tub@4kHmYzR||oVR?h)3PKS z_A|FS94+?PQMt3P+wcGMFH;>ujtKn?t?PpP5AkawdqXFa|L`)mC@M-1F(UdstAjqc z#;mk;&$Qx%Hl)ajAwgL>Z5Qe))1PhOez`B%!Y1&c!4bK4ygTiVVZg+4SYQjbk=^qi z6uBhcxNv7C<j}f;*+`_BfqiLk*2kJz?DT75XQ0zznI015{2UB%e^9IoWo|yV8({*4 zDX(}`fJzN-R!|9CiP|{r<T}<-yZ#XIzq8gCP(KPqDi4ZD)CYa83<`MrlqVIXK}QaT zjwc)Pxs%a?iM)6N7;4uKOWA9wH^t_ZT6$$lbitohAKOLHF>EwG9CntqTh^n~7^tsv zW{g^Xl^yhrN7*11xP1V8dg=uP)(amhrA6HAHUU`@O|%iO%D4$V4MY_#+hA*~;CRwy z>qC4EMsQ=OLX@c#Locuk$9vP0soGT~<&q}dWRxyfm-f^7aidv!*zb(nPsacM-i}{W zsmx8V0Khf@0D%7AJp9fUh7P9x-aU|_>FtoMiniOezMcK~<m${3m$kT<&kOyKuw869 zSyaC+(a63o>&`(2G-SR(Z%dlIn95<5k9GmlQW5}`Jpd0M6u{EGt77_q5E*;;f)FjI z=pc&s0>Yz;dYkQi&ELD0N~`?Tb?QFH*XKOT_w3`|v>KvQi=c;OJz8d7+O{*FLZiQ{ zy2ad5vz3y$!PR1DCE;nQes^xmVVAelZECLG>zBB|YOz=^(cD>KO0%YBhy}PXEZU zL&tpYZ`4um<7Ww3jBf3UfL=aC#4f|HV4{;?<Lf>j+ImF(ijOf-(iBRvOBJFn{+uR= z#b6=_D1Ra*Bl|68ww@FalmR9(xvMqKmI_?pwwtoZA}?-WS>pH^gtc`aYQF4r$Y2Q# zDK#U|ZnMsG`r^eA$QMz<y0LZ>Lg*P{rIbe&XhLE$ouLmlzWeB)P_N9^LJC5{!OTu@ zFr><IxKoIx33SK^1u<zNMaWeftao5y;>(c2{)?>@XJ9RbnuE+hP~k}ju_~}aViUp` z+5okMTp84)2o-MAMb#Xus;tWPT6{$d2?+_5b|VAYBx?B7u+iXoB0<AoGSp&#&xR)` zr%Pmh29_3wct0=_t8vK!aG3#h^s@z17Q%q=ei@yz5)AF2=V6dAXLckzUcV7i^(v%D zT7Bg{g6JM=B@l|3A0cesz!~>#Q>oE1G*H=+8{AqN{BgLll=L80q3WC&OGak|WwvG& zYB4?)=@JsBw0d>|7;S}GNMNBu>%_jn*`f<?9xYi|kwfgyWzm!@#BAvd!jXl8N;M5? zkC{0YUI9j?4WKE}WyK%Z_Tk6EoXIR$Av}hm7FkubX59JOqSguPXx(r|g(DF(_l~UB zj0VP5pbrMsT(HWj5{)XDcHw$NN}Q)5B=t(BmczfCk$x*XEsW!;?USpY+#8d@e?reg zL%tZc+z>OSo(0^CCWDiClPc1dz>JFXWHW?}Mh!(gT7tCogJ~$1dURDVYVQqVBQK3( zBMp|xPNnF<=p2a}DYmD(*^JA<lR0%f)W^J|hKgSKU`v3(svsD%r58hw;*Y0B4y~JH zib#Rd0Hc}G6ob_Pn`vS)YqYO#c^!*ZfPv=(?hz3<fd@&ZZsnFE968H@f@n$y$}B@H zLJbwx;8$5WV<HU{s9br35>Zqih&qzy6{Q%C!&sN6OYRpVOZ<=_qdw@2lyt=l1y+n( z3w0sq>Q<`|E%@E<LO`Xs*>8m@P5B+f7)BR<j`ImU=tUQwgwzg7GvN_M^|QYna<3d& z5I`>K%x)hS2fsgcpk#=~%%;yk(r|KdN<;i=Fi?C`K(x|K?{3Dw1l-DU|I;V%F1upx zBN=62+TNk}bFm|tfF1h!MuRHpc8Hv9GRA>2Dj$1&M2RSCIKLJ#(4<g70VjFp)v)O% z_7r9UO27tbC!yh1rjMZX(ISPQw_KtsYhP+Xg%%dVK%A8#eC&6#L%S4KnfhhF1HYnG zSWO|6e!Wn;7ND@9_f~@ma<dZH>^n0OaV+BstpFnw53PV8G)L-p1P#cl8W^jCt{5T6 zsyZmMgNWE~1}%r88!AImNDeGqTZnx#vWG|Np*9sT){m0E?;q={O}%?b0N<c3REK{W zrkkDzAw@kOzA<iTQ5@9nmX5qgMe@LQor`8~*NmhvK~sb3n~tZgT8lVzr6$vd*D)c@ zLwJxT(C$UqA{242DAD-f)$w<tx@aCU0(K1s%Mg+R>>IUY;S{yC0J}zOeKYGc2GWw7 zB}6{(@ITsv4Kb>_qr&bdS{~Hq5SoYDGAtHYU2iIG@W{Cp`J$%3`z8@~O$U_)^TD1D zz-#~_t+E3e^>I+wfE{=z#rC8KheFN-Y4pY5H}RA3&vqBq4B7B~`W)J=n6Cq}90v}R zn%9NIoEaixsEqncBRBG)eSDdeoktD*%K2UJUE?5%MoVv(HT(vY!*yb_b~yOuc_Xl6 z2L^g^>DG>h<^kX6o#a_iuzf%B%rPfx=T~VbyNRv&{oo!5eQtDzU<UYb{;w4ny`2en zmM_SnIe(VkyxXi-<!FK77veAELu-$pyAv-g(fWkC{A*3mXj+%x4vuLqt{o2nt{uFo zo32|39PRPvB!%2DeQ|Ct-qH3)2rRh=rzk7rU(TZh3g0_M!>=%E5-TbNMkzpvU2Gkz zR!$x1Z^cZ;UN(qgyzfum{s8{*@7Whr|E$}h=)Q>;u@5W!Q-9NsIO+r4==WEkQGHH& zM>=u78|(uVKjK@Zbl>owb;F>!!7kP>n9ny;R5}82isD5dj2#bld?lx($zFRGPOr3Y ze;gMfxa)DvzD20~b8cb#vVPN_igc&-jlMWXyWewQGB^-i4WjY-Czh*ruJbN!!-emZ zlrvQ|MfXj=6h*fan77{x82Etog{Hltz@jitWKEnv!AyGJ1r2IF4P4`UW~4$BOwWGZ zt@0D#dLY|sI{dDA|MRT)M9X>i`-0PC@d{+mZv9Aa(7`g?^~3Mrq&lTaN=%}fOm@3^ z*R{bLvM0M|MX3JtHds&grk_t<4e|pH859?^jSEuG>xoN*@%=&M9X4=<P-tGLeoE-( z9O7Lj(k!nA85Elok_*y}2NIrNO?Dv|K^-y(4mku5lz{(jlRHQk!A}wt|8Hy+Wg0yR z-?n3Y5d+dTLJK!#1vxC0cXUwzH+84rcw<psU>n(4gZsSxL5i(P!pe1F%V6G5Vtx!4 z)c%)F&!?D}1GD-zy!!W9y~|O=IhJR&mv}c^=f<VOqdt64TV7rA4G0^selX}B;Y)e0 z!PEQmjwaRqX%*R}5b!lGq>8gB@Gi1D-oRKaq~7i~1<F9e=gPJT95O2x<ktlurw&Pb zmDHYHeE%Wg%dpUmc*@>9LKT&jReb*iVf$gQ`hIaZVz|Hex!=Zbu9AwpjA(YbI#bqJ zJhIN~GZEL(IA?mtm$X+Ldq>Q^Il~W5iU`V6d!6NIl0U)0brsgCb@Jw5`j#uHC5ffU zDyedeV*BS*+qo+252&I3K~#|<@@H}dgUiaOt5Buo&D#Mas&~-JY=8O{(u<0y)r?gp zyzo+LK}2S`xGNFa#K9_=DkUMp(&D(d&!erQXM0C~*YhxTbIdF#Zp#`kKQ`wrhvKH= zM9Zt<tu(WW&&U{7{&gL7^UN(M#^@VA2+#XGfIAr2S>zTK*->K`;Y906sG~!OKkJso zb_Q5P)01z^Vdo8O?d25}{XxSndJh|11{(_O7|1Lts)fNWvJTOccm{_!3G2tjbA_45 z+=g$?bHV%DAmr=<*TZ1k)7$UNvJepO3Xf>P9x>}xIrtXPn3Y+u9Fv%p$}e_T`j~?4 z$HBSeR;1jjyw@0ReNsyKm1C6poR8P~PM^Y48noi7-XAN4>Z+1W8ytO;<d)#B+{NMa zBtkvmE_15DIS!p$42}?NWj5jkROJZmpe!PM!f!ExJ)&CT`8g>-#xau`;4y+{Nkqmm z@V&4_dr;>~v?SvBB-9;9l*)}G)dg<kq5B2R6Xh8NG81Yf^SKl3sNIIX?V;vFvo*%L z(HGb#y%~3<Q)+V50g)0QzK|gg73i`!=C#F^sw7@sXmSvbKuK5R#FgUf1z;=0#jJiy zo{2f)F_{56g2Ec^9LEZcQKD8_QZiKh+&r0e>aS(+b>IgZK$VD|kw1XZ(sV2iO3#y` zq6Lqs<k^7P3_8+(Irvt$jNpqEyhVZ=Wj2AkkOVO35_GphR7LO5$>YK4T8vEFt4&nI zXrFw@pk4F-nNzWSah+(9^koSdg2?5=R%&@aXfU^EuHQZwFa2T-_iQa|`?EH|cUSGz zzS^(nIm>)eEqjc&l-0Ju<n1-`bZ6$R?0L96y?oNR-4m3oR;_&Q7X5DGm4fz;dUXeP zT-7?0e6<$o%062J(XCmVfgl}c29%K*=cZ%*ZtBFpkqp5zOP5h2KO~hD7QowuAB)9F znZ|~1|4fQ7p<1Js9;x&xtBwORwZvBLZ?|Y5np-f?z#Tuuf>U;tb3}Ld@md^II5Z5! zHP1OU`2#-%gSEHTKCx{Q=JZgv?WtCl{M<c+JxghcKJC|#FZiE$=~ONAU+be`#`*%j z$|JOqOWH`g4p(Sd9m%&|I4Z6tMasF_2uTE>4DnN^hwPp~c6r1QIP!9Hr23R|);_vE zi42KVIQke_M`e>=ucBh7AVpfVEJ84mOFUC0IBh8%tHvGeI7pvWn%uKJeo=mX=V$w{ zhMy}S`{Yx16$03C4)Vj$(=zswspe+QaP_<yDnv6`4uU0fe4PCk9tiFiLV1e?J$sk_ z|98a+_*Zfeq9GCm2Hf97jKAf-?r3r_G5Ysvhq8s?(?Cwe)&gMVu7bmkn6HjE#0dNU z4&yXYhslDs;=!m>vlGIG7VqFEAz<6MX4h>{Bh}RwF`}N5Euc)G6rd^~Tz<PBErs)C z_Z_z{AFrspb9B5Cb_Y&FBMAF@|AH@$t<8TymX^Qs|A8f|7E9f#6|03#_N*DRrgfXv znwqQXww9Kbj7N4_^r#V|hP6g&()Dx=jlQ7Ai!^ofEVtI0+FtT!w%QuI6gTS@sg?6( z?hR{;vb>z!9A9y_7Bls!bIY!>f`Xi!j0{62Z>;ri@~(5^Z*Lh|*vl!a^`25G^G5f_ z9dC8&6sZ#C3>oqyNmAqpQT({E!vzag>=<$5gcr%vdW^VH;#;0ug4C+)A-RuT0e*42 z4dIHU=@zn;NZ~wB6))wj7cN?R4#z2HZTRi&ml0X8sd{Jk!@j1B(2?#&EjLqSPge8@ zeYWDv$X90#_#y&g4%@)S)9{=7PaVJ5t>%%?OoBhBH_n)09GK|!wO?Sfwp}}XB&hsa zbWpMdN(sz1Vx)u2utPeTCxY=J1qR>)!d$^Jl9&|gXC0|*q5+H=FZ)5EH9q9&hCsn! z*ys+&!UNzikhdlXXy8x~-;HV^rTvVJFaiiXG;cmb+gF~|zWg)%*H+&2#(B=VdG-xI zYUWxhmosx$76)@h28y*7wtd8#*dJc?sFQBQsdH3uc5ZgOuX~<%Zo-oE{{?ak|3KY| z3wMrOnWpZ);9I*irbQ>Yul;y-WCUzghcV5`+V2-*51YL$SqTeWO^FPZ5==&mS&fpl zF`u<1SxfA~sbkoM1*;XUoGYacihrd@UwavM@{13D-rOnA--eeoS8V=@!^yW?V*wqV zO1>bc(BQoXV?{IY$34mXSX)_ITf@--;8M2c%oBUcTVE5+{W}NO&f~hLqW)iy{<lvj zK6d&3sQ;zzl*G0ey=M44^@2Wp^z%H5=a2g2k-LazTP5*S;jahUIbHbU?enPjjs{5| z@@uv6q6SGF`n0LzJAY-}0vAm`jdH<2hoF!FNJM-{Zi{|{fqRVsXOq2qPM{zVaA?5S zE7I@7&84ZK_nmdmi{G6fprGl8cE<;R{+<rYCfhZd&CC|5nlnv0xU1>vXq$ftq4mZi z5ECtk(__1`1nw^!Rh(sPHaM@*G5tS`R3JEq(7{(DnK0H-)G+6fS|ek-3~A62gVgG# zRuP$?d`2f1?~%XX!vMDfA`tL6tR|Gh4g<nq&><+Ku;KwXg7ZQk;B$tUVXsdQ&|9yL zj~_RH(~qxeKULu6-psh2A~=^0ueEL({7v_(Su6ENr3<Uf+%6sJBR@Hgv6z^5&u1>$ zRnNAqibFqs1K9vJLD$&Hnzm-#p!ER!G@P-N9%t{}XJ-K0ma|6bb*Jmj6=O$UA7A)! zSMA>z-E}K8_oYSMmLNaATXWYb4|o>PX`dkQR(rqK?8S)mqGgYnX~x~<W%RkY1j9tC znVH$jWvg8*+tlP_wFccI)e|WRiMDZ*T9M&GLjx1LbtW1#I2sB{m(s|uZ2j5#ddAD8 zM$}Zp(^e7#mOa6E2Mw7&sFZRxC<H@u((#x?#GpS<^$2)f_UeU1!h~Tla#^mr^=#{= zo;tr}SMdn<@?LoRaouU2RVTOMO3n@Bx0qBRqN)xISl~Fn!6tpXL|aZ%@1bnP)3z(6 zVXiwKUR%BSeS6P<9TJ9uDL)bE?^^7)Tl~)KQoKyNer;!b>2-|}qvSrXr8WfI>+iMF z>|K0fN2!m|8-m+<=QXhZ-MaOf^VU}<+S^`ph32ce`uJV?Sl^Du%9u`#pTUw;diMRP zFR9ej<e6*P#k>R+0(VfDkc4@g9i<@R-OF?dcg^)jjs8#91`34GtNhiq)%UyBrmijC z(n~^|r>eYnVP0i!DaY~3;U+ZXJVki1GizuJDiO1&BqE`J&%<7Why*+?M?0Ke@X{Vj zJ1l<i&>llO%uZ0#9!)!RZcx!4MSFx&v3LYD*m+W!TsFJqWXM@!iBvNAk4Vt7gd*{1 zbSkNk-|+>)A>dHZpugkt_<f#ybi$_7@xuIX7elAe=``zg8k9mOQK=O3hvhOEH490h z5=q1(5wVC#A>#@7y>8YDNFZWyy1`?xm=8`Z=boLvaIaq1otsX*$H#oV@B?zk$_|0t zy7@lehv{f?z}}wy%PA0YdECzDGe{`lvpMWG>NShV;WAmwCgZV4$YIkN^g12Z3&~(o zY1AqeGKk5blPUhohxz{u7>C3E(b;~wn7IWC1uZWU%Gt<3N+A6~Jp;6aLx?y*IY!xZ z2aW;|hNlfogdSv!FM%Zj76FTl21S-44EzbomUILJ!q<{#nVO+uH_2&@sm1{d4+|NH z?4s0X=t?>-j5+_Zqf=V|HQm9k@XU4&%2@v0ZPNDg6=ue^5?WH9kVsRR%s&6QlWpbE zG*gmN5qp*wXw-(H7GiGUyr;=`526Xcr*GRUtpxhag&*otTc3anS{Y_|Y@_!b!uXqv z#is1;e#)Lqou$hY*0(9#>%l@@-f#qB@m&1Hq?g2EQ^R&8RQ3{HLr;W3hgs>KsS#0B zu;JQd=4l83;w!47Be$-yYBe*8Z{u7JPGvD7O0a0q5TzwYrbC2`3Q3(ws67x?cg(Ni zif_>wm%^_#<GB-oFHn>+TNhiWooYd4#2_%PVKa+v8P%d&W~jU|o?%|9X8T3UGKy*K zN_xpWL4LbqOQAl*T;Vvk16bVju(IjDu*h~}i>J3;ZqZVePGx39uIo7=vW??cHzlrP ziEmjOm(p<|qisP)?~H_zjVal>d{0=0V?uh=LJbI_QyhJ;?ea<H7Hw_UhcZ;5*)EzQ z%x2A=Y75fnx4ln|kYZsOX|w|kLkTr5xsor_WwUi(Yi9S2@e9GJA05{w`4x@$Y-VGb zk6#))>Oz#UW6!b#<|bnMZ22JXv)GmyMZDrIAq0MWYwT_NXmK~WIArzUnb)nzau}vu z69DkqOyM6V4FrWU@qiSUO*3nJWPjnY_{lHZCKhjXstQ)E&=3nX8Q6r&D}LKJ8|2Y2 z;G7jWI1-6=un#_GD*~N{1_L&TmD>b|nx?LXh{593%ch`Htw9KB_VQsS>DbUHJiTUd zpA&e{_(e+zI0#BTtyRJN!zD9^g&dLYbunCWqN0PKPpp?|MV*aOPD^P}OH8Bn%vEH^ z_12zVq{0Kw^qW%<#0WV$vvWSUAStWMT`_f8jS=XY85jw^Vv_ivJUA*I;hF)Ka2tG~ zVJ%7B$PPpbNJ~T$E*r(-98pmcWOWGm;jDd-r-e8hM14Mc&*VE~<FXRd9t9l14U(Wf zDj-r4Q`+I|ILz}={<s^pfecX+Bm$^o1TTNQf?KeR*7%Sk9|Gr&3#UEaQZQ@s4vbHD zpdW4ORG!mHKf?ba3VI%EO>_zEMG+l?%5PCOFZ06ug4i6{a(YJ>Rt_fm2<nGP<0Rxf zOz{tLA%=MJbkGk4Ckk?!Dz4FG!{_{zoP;7Hq6!`>WuzWd(OkY)JngCbD==*Y9kBu2 zDTd)RIVVkX7?!os(4XQ>cV#w0!8igsR^&bTqO?V5vQhns73J0jIJ1`XBtIuPk05<r zT@j;x6Mi-Vw$cvn7}{x~%B7$)&U?f!0@KigPeeufG~#4CQ{I?^7V86xKk(Ke%$bcy z3D(xPq~D&m3FDzVjO}43#jgaf1vK#`Er*E^pisuZTiZ{}=a-i1A3)o`-TPz=jj-Zm zLVjG+TS5#?*WCR<Comny5d`eni!lUN+)ML%^s?VapQhT|BldRHdR=^PjNEklu?cSq zdOZ89cc7bfWk<P)A0}r-s;I>N5j|o6J!FxTi|Oc;x&i)?OG@JGT)AfL@@~!XeRzLe zy!CU&0Lun^)1!jlfI>wyO@gkD{cF*kW=K5kX+SU#Y@%5da(aR&_E*7iIb6||9wL@2 z@@pHLQmWuJ4{&?yRZ%9$Ra!-rK=w}*)27W=Li*pjKYwv_Grp(=|Fq_9ldmi{?`3aX z*(U)}$I+nP>>(LIv`CWWXTZ7tjkfe-vE{OT=3$o{%(F3s-grHOySqav@8NiAV@}xI zM>U@krQZpJjU;gXZ(x~C@RJ$g_wel24Gl-K?jErR@HLnoIf>hJkHisie+DZ&zqP2F zOk!j>OuYToPpmi6U~uZ*GvRalJhHWf;Gba0aO59^Fr}SI&2D$h_&_C;2(!lYwwZ#R zy?<19;9!vq&?eHJivaXXr~p?9!J(mUChln}Kr9b{*9}zT@@IYeZ7>wt5BNF+ruZ}t z-z^wW0HP2PV-2AH0WCwc^r#FbQrv$R{+k(j$b|s_O87VL6|(>D2>=?K&snJp5f$WT zc%ZR~_J3DY|ND0f(~-<qGkAY<ME(|({}w^=u>1Fd3TPaU<3Pj4Lyd`3OqlR5uyb)5 z{&QtAF=8D5<Rz0|MOq^V?$VRRRXLeN8*_g7QJ9t!MKLh2tAnR-x|Y-2`tEWi3!6Lp z{m^6S;Tqi6G))h;b)v=$4fi;Ip1eL-c0pWEjF~RTKbouJ5YCe<a_Rz(n;B=Cdv1UY zoSko_mpt*c%f!<qY>u@GO*O>&@n|}^hU1OsLyrx-_`le?rXbCNF4?y2Y1_7K+h5zZ zZQGo-ZBE<W)3$AM=iiOpr#(>>aU<&O);$%K_hja2a6-VzMe+@jiFv_ls)5_%>{7j> zASKPQe1_Bp%5UHZx$rUB=zxkIXQ+p#Rwr*Ax0Exk%aW939NH=0H}j{Eoz0)hK2X6V z@_J&Lcw)mWLq5Iiwt-7@-{h~?5*5@24c3~wQpp7#>w1KyCb%8m86>}JPn9dDtlsDm z_`>2AK0}`d&u5H_PEPR=gA?0LempS$LZ<m)zRbW_KXs36S6YJ0sbLsJgf}l5AD#n7 zToA>4^%D<T*=e948WbMkw;ukb<X3A<>rt#jA64VDQ198@e=-cn%^6H8zkdl1_JdwK zzE0~pfEKpgcZnw|$JFF}WQ1NoAGqn5n&~f=Bjiv{avq9!%QK@s+0JgUUu}jGvH8Zh zpELu9po8SvE(KuGLIoCmqv>-u>jN)h2YO&2(=6CZ=;NxEPKok^<ND_Re$X$J%JOJY zM(QQ;z8v!b)n!S)FmLQaNI23LUAAu{!CUczRsr4yl$JDCCf`YSRd-UZw@FQ^0pjZr zh@n<R#K#mNC6JjpH*p(VkeOk3jEd?2@>Jt#M#vpg-@;0cA&#t)tPS~|{$zfyXB2A4 zL5l9jGg4G(-T3i3Eh1f8Ez0aTUahr48^X3sAcU807-KW8>?1yjL|wLk4vPf%s-;I& zKp@=ecI!QR-bR%<lh#4>6G3(Zv8*nmYU^Ic(tTrkkos$+M9P9GR2nvB@@gy^Pls?U zrrIJwzt#o`Wr8Q$H>BeHg6Ct<3q{<T2j4Qt!}#|tYDc}(D8}C@%+;h{?8(N!QC~C% zg5s}noIPH`k!|DEyFFIe1*P<9cu6;*@K+H9k^wUQ=u`;Ab_iOrdxv#uGI)QViSMSy zYdKAepsY8tXAX!#R=U$#qiHe8NZi$^%_>de1FUcm?+d)n=)5KS)^_zX*o)dU0{A3| zG$)3quJJB^fy7=}zvm+I#K9U8nV8`rBG1O-1$l+ymX9ACcm9t2ZiFIqS%IB2YKYzW zI{3jXw6y(`aTM@#^nrvWUvcM?8Rj#m^5m+rC_2N#<N{uob`s%AIKYI!j9Yzz%l6b+ zmq@HdYQvd>eB~$6B8h)AWq@}qNIU3WhtY_b7y}2Y7}$HZ+7<+F36)OXnF+G+aDxDQ zChd*du<~%#xg`cPuGLPlcd*UshFOl_Kr%}mX5FNv1bOjgvYq0pCn0)i(W8?|axFUZ zKpVy#l1JSq6KXRFQB#B~uDr@e$Gv8U@ONmlnF;|UJFIn`3dR?yk#p1UegUIU7z~<= zL~OLWJ6}a%pxFprhRpB6G+5sbr|xZ_e$i|a*7=n7703m;{`i=Ifky<c@O3-c|F>*u zdC5kG$m22GLl()!BTx4q36Ua<=hkbesp+tts&<V-xZ}yFcoeERAMzY#GzgP#OFS}> zrnIS2OEIDZJDZ8c_}YOXJQikEdWg^z3zUb40V7Uch*C5}PfH;9Gu7<;lvERFUXEp? zGh%tD-sG}+LZxf)U~>vf`X_oIL{?z0>iL;>n;)pJxL`1|vwVblg#bk2B*>%3WVtOu zAD|iiii|MLQDd6eI-akZkOfdNTOPmKh^}z0;C9aNo2|n&4GyNqGmP6GvPR@lV4>pm zhe)rIu+)wwgi$K>i2I~ko+$78NRpq}mG0eiM4Qj_rpAK1kQu1ga4@tmy9l;G>%^eo z!kWjPWw`K6bM^Hq`ZSCn-x#z;i6-tP`f!o2w|R8?Y+bAJp~g-xt#h*J@@-;{UoZLw zqu1$u)x|c>@%@@&sX}s2Y5MNHieLDz-Fei1ZyVXg-=S*!w@ni0r(?V#97I!?++>2x zpAu>z;JvT@n&9xP2A$rLR_Y+BQt1I}y)sX_bWU&tk!@<w4wM~z_84dx5nvARgZiix z<rg<anr1LbmO4jOZ!^=ln7lh<xi`lgSqdH|c;|9H1)C2S6A9P-ZqVe?N>81COsO4D z^N+U2zAMjzqS3>SnWnsmADG-m>Z&<gGEMsJ7$F&}-Ku_-5s4rw;=%vOd2S_WX5CC@ zb^X;beS8LLF$VZcd1M~Z-6?qJTD-SzLalG%Otq5*8`WV6Df9A;rCK<8%#&P05y`7c zVE`8@b5PV2e4VL>_KAYoh*6)eRw0sMH5XV%Wm~?4s1Bv1B8K8_Zp;jpL{obD{;*Jt z|6*4xxJf}(ZHMJMxGULu@!>8tE()kYdQITp;_ZaHMN}dIMcB{FFwudQZKE*7<p?cF zT5ur@pD(crm{tI!s70*|-y`>oee1m%sr(%ZX3kT39pDilak}|a!fy6skDa(ckh?%f z@7appBk5@56;h_D!q|ans4fJId-S>CWNTlpQpEK<L8ah{S*Af)4s@)Hv#@c7&lG>! zeHr;;u`&@>7+4Z;HCkd?tSBaQI;|x6LVqJF)_U9@Qh{3N_f{q#$G}DPJ=K<>k1hTS z-pG3ZT)ghoImY(ErTE?5v1rM~q*|OUN+(6{;KDWw+1VSuK-jD=L+!D8RI%EObU)K^ zIl#};9;a2&4f)J>J>91rRvFbU;TL{?4<+l>HwQkVD|{t^N5=dB!m8EPw-xP0CqNEM zZ*gI=OF?zQ32!aO;ni+K#sMFx+K~lbj5(*v5hxXRYkhC_5@|wy>6*VzM}aBV{;0vW zbA*P}z>Bk9t}Q@i4M{A#zRq%IDY=v6<sB>&b}dl*<qzuM*fa6Hv{GT>$&`rel-SeE zAGh~>{Oyoh9174vA4_}!ScKu6H+^aK-%a~-3YqmN(eQnqB961Hx;wM2>Bxt;)tYDu zg~wu#S)he}i0d*K3{x8dn1O|wA;Ca=P7N}{Az)PUUkNtgg>YkBWWFwR#Gq~62u}0n z$NAd?Ek)Gp2GjLj!xSCYW4a*tj$D`q160onAdn>cCbhPBa631-CZvlCau#+7lq|)S zqwZr6=lnmn!9x3HIYfNpW%6`~;12SH9A>F~iyP9PgYuLsO8oP+84Zy6!z)*piE*?s zjOm2KhW3p)1ITrw-ih-JKlZuDO9{}|02jR%@wqBu7OjHg9cB{IGR;rL5C$or{EQ|Z zVgwzLKDR#^vNH@8UEq&`Q`y~dQ0f`cfIy0=3u`<7;yzXlUeG~f$d#cZSV6@7?fUxp zgSh1l4Iq6gtS`8xO-#{*B6t5Wc}V>{z8f*fsX@?lnSxFf7Iu+-=)E4VnWYRV8Bi9* zER%&ySR6PTRpDGF*kzg#{cP^iWhtxk+K-B@0;6Bc@8TwA;OY2#7BF}YFy@0NNdZci zh803R{(m?pa?2MNo>ce+POp~OQ95=RTlxh86v&7R|3u&;`wts5BQza%wd7pGgd$>$ zIYdb#uE{ia@L?swHPRJx(sjQS)-yI3GHxs+Z7Zfx7gJJ4STFerq~TQGdS{m3Z;E3a zmyZr;i;kG`2qQU*RKxm(TY03T<v0+38CF7HeG5`eZ$jdu@3Bw8-=HR9H=J2u5lbM9 zA|Awk5&>7xL~E-A%Nm1}zbiZF)E+>H2++^it(N@yHC;fK0PtkHHN)*F!}9H9m7`I` zHYLq@hW&7!wR)ppOiny;nSDndOJoKm9TNOw)X=pac|Y(MQ{}!C^8G#$27Bi3iq1^f z7<O1?J_g?cLtRa7)e4zkZQXlAb593A6bZEuWdY|tR3+t2FPQNaGx!lFi%9eQY7h<i zwbH7pkn%CR6<Ys%z_*}t_`|?|tH-#o&hq;m_{F?Vn%gT8Dq577s~Iv6z~3q>)-e!< zZxS68VW;|AG1|iodwkAXekk5v6xRixX<Q!*U$%QPh#ck+-7u*jq}Kz+5@)qq!kARI zS^5HbU`y1XuNOQ=CT!qW($!*Jvga8VE-<AcQHxJK5_!(+Dc-dZr)6@Akt;{>@(13} zMr8}Gv<$IwXTZvyl9;ajUR=(Kx^)It_}@)M(%?2Hl{D8VTz?I&XL{YcA5nc|3(K>_ zW^KhlGHS77!sf2ZtS|C&`MmF`oD*tbd3Qw6IKoxhm{i%ufrWY7{#CMnwkWGSV<K6P zLTkulaQ5rYL1gG+28D@PTg%J4M&U7tX>A==AP|U4$g|WL&PDm%5{z7{3fITwt?~M4 zei%ax<+{V@wPNX}YJ#FvhyK?TRFpvNA-w5L3jAW}0|s!*grA%+F4xH6LSym~Ux<{z zIVwgEfyBizL_{ANZMW(tL{7iJ*)R)0Am}bN7ju?o+T~+d@_$;XHVE0d14J^KrL@YJ z29n9lRtuIWbtBAXIS!wR#|Ufanh;iK$|Q!H%a)ZQHuP4m=f=nf?c&q^KEEgiXof~_ z>>^b#6fRU4B=(pHs}cL9=9U>IIWNE6?$59+NT>>(Gf##>&3EehlP^Uxb=cZ06UAMd zz}@sESaxxy6;f*EV8KX2mnY&K2pc!lc|fg%5(nk<s?^(l>VquD0@Hc()?nr<(Mi?9 zPIDn`K$tVwtb*fW)q?%4&GNA619nGed{BeHwNCR7a{fpViTn}x?CgY(p73v)nKGpp z-nLLjDpy`u*GNk;W*%|Fu7Q8SEyTq0Mee91Ur^c;-6@TXeN*?5^lB;8u28fHj#xUI z=A7~q{JE%IX@=*R5=yrRXB(idCTBP}c=rUe?}d?NKW<fAeJyZIcl;2l&a7P-5Z^xh z>+}cLd9L;nAOMxA!8T{td>}q9NIeU=RoF;IqJorf5F7>)cZWY$ZCadt16mzZPGGd} z2lhJT2&7p(14}}szS8lQ*6{Lh*lQj%L4auzF+`mhRBpEx#KCS_nW1uv$MN!4L8(mx zrs*B!PQv^asAhCU1ncT}!h_2xU8j<uQH?nv6d#crLKgF}k3%!8?ZOsKVV?YOMo%7y zO%T@m-A{bT()#MW3c>~64+Bs;{f2kx-!p({-ENJ-l4AGrvQi&yvRRGilVM3%7ddi6 zM}k51-pLTgc786Jr4GwFlQm@KCcqJz22>j~gO*P^iRXy56`Bs;qj5g#=D7tcCKv#( z6nTJwGa;iell4o4#o|-dteikGU{l5btUw){_x$l3ngfE-;7eWFFmsCoSxXpP+oqaN zL6Zff=!Qn|n%1;nmuK@-PPwQ2*%$M5Tx<arZ`3=Tnl0qBHNUDcX?~P<t7Z9B75)!e zT~58@o0?ur<MNfy2b6Hrg{y{fh1yh}dT)fYdXaOO)VLMK4dHAsa~i+34@lbOq(&BD zBcuc_#>WD^tbdiW5!PXGX23{~?gFRtwrVzi7~B^ah4>U`4ky{eTR5;3DluQCLgeyG z49<SW885yYxl4+V>0}y|up0t1kFU<s<vH^8-S#cqfG#6iJ@Xs6p0ZOhp9$5@T>0-> z)nPd2`u1<62+B3*SWlDd_;Ku~@U-y)*Tfa7XL;6#n&2f@o=?G3HdqI7;gqHo3)>Pw zZx&Ck_Tjd#q*Ha}0Cr~rh%UaK+GLdkb6eaFLH`)0IyStO;6K-X7Jw8*?Y~F3>q3PK z6XmHDp&X!`T~tQ`!JAo-71~&+9-6iJw~xOHV%CI@n3uzP69NR{Eo{B6yrY`&R`mF2 zAkoP<cAZmAh(2Kt9P@#?d(D7BNIGW^j}$R~Sv*)Lv=s{t@PNGTIf@#63vzm!)<~Yo zARb5Ss%j`cuX2Z?AZRb~$s;i|E)Wr#9k^g%G}o@EpT~oK^1X;xW>DH`jZ12H{x;Ol zJPTe@^qhGGgku`6V0A(-8M*Z8diauo5I;?U$9_0yT;c{Hz%4}v>p%l)6N&l-me-<i z3pa68;f$lm?T5CF345lPld54HGQTu^O#eKpCS<DLvT}Z-Cg`Eta2GngGnbhtov6HG z=}llF7eJe`sZ;>7E9HbF+w$k6lSAR8g0s>)LCY~Vn9<4uP?{Yy-K4wUFQtnE^j>uF z_BczMOqYIk@SNF|BXCio-HZjrO!<o&NFgVKrcqH;mvbpXpq;-ghhl*(Gh_*&;}FmC zm)yCpMnVJTI_C0w76rqvE|aQgD`C}UfRo`bxDTNxVI`vN;z_=(gTH6B*H4#fG;F<S z?}+CNNtnDtPMfC9wM~9e6U>ZAPfdg6e`wiTgif?4jJUg7S`nzfoG*btf-x|%!>evf z?KMnLkujSb_F;><a%Y~r@~-S_pZ*-o+ArGm@AAA+&fh*{xFcSF29UlgnRBze%o07b zZMcP>8Jys@L@Al#?lzL24(MtUyzg*$s4`4n0@sJnZb{}p9RJ}~_7!;oZTRIRsEixW zx_Q8KqI_HW3@`=jxDQu9;7aVTSCO8g^>arI><v8!e<?tXk-jECMR!!Iz`MP#e&D_9 zB(lbh{SC5})y72p+Y43{j)wZT#ll0ttBzKK-yTSq?rd%_dS*q6)^|kImrB=A5PU6Z z3h8E1x2&_24kBv})ZG^eE6{?Ug1CE4^`vtH(c6b*-rPvD1xp38!EvA7RA<6OE;Y3A zl%Eb*M@lQNDh}q)#P~>E1&T62v*I#JxARM0C!OLJonWzC8a%v+zXn(5p$hMH$eiYW zFDvQ;tn+AkeP61*aBA^gAn2yft0*c&Q#zadh_vIIzMPVgbJL4n&$><ZPW5_G*r8>R zB9E_leMa8A_#x`O7bC2kl3G27GcrHdPgSIBA?2{w9!|F6`aV!#%%0I@w?E2uvhtIM zL0a!tz3claN+qZ0s|{*3S#7(?bkxc;KnF^;hQEEzbt+=Oh2Pk3Zzn4TBDjDzt0)97 z|4RYp!)Hsdl&{aqy6>LsGkS1|pfp_^)6w*E6PL@R3D^IuKngyF53Ye<(My-kD~UuW z{8|f1i3Q+Tx&v2N>#yBhwnB`QkMxj>=EApH_Kw+cn{)N)WnTwXCP6Ig9@PHfNS6A8 zC;5==#}HQ>tCIrT>TLr_yjIag3$M-}mx|Tp%GI61R>N`K%_)%^l80tK4AnBxi7U9o z8TXe>aaShJ?Yi_0GjJi^$41Q7ule^@a(8dJUV_IggeKtvMpI5V8m+>xa0nhhI);=H z2E!eH*ir#K3E9GLv?ml)HJA{8Wt)BXxmLUNL^=s+J^sf2q>H385PJDRnaY~TO?THx z8C4%?d05^$_I|r?B;j}2<bcbS<JS>EhpZj{jtYxLgux+H9CF+mt4W^HO;2;7u(SCm zd&8GTA$i21U|NDR7Pw(iiN<4<n62m?Lg^nE;!@!=^1!9%d!G%I7vR5-ow28#-Dgq4 z@|Uh#T`aCg&rsAZJg@K<JQzI+s0&0S!Unk}=Y$g99O8<^nn=M`^uoRFlIDG(6%!$B zOhg|+<QW=@b|4E94H00NoBLgf_)#lU?o(OD$JIcyGU%#+t}H7Jb?YI*#PqI4pQ*Oq zmP%Uowydm7QSyxGa(ap%70l=<v!_fPc?LJ{l?W0C5u;6Xv7F@@<wAMPd!tA=7{}C` zZo_2x{p3J4G(`Rz;~=5DFu_Sq_YGK!i9$<15~aIIY{mc`gLGPu470YtG-LHZ3x8Ki zo<Bs#LqyBM0L$C(1>0P8$wi%V9MZm(2wM1Fx+y53x47utiUCv`;e&!RxA#JEZl?1j ziNdM(y4t@Sp{9hj0px8e`?&i57-3VHFs{3-E>FuSppkhphu;BB2`*P1A7$yjie~_E z2-Jvd>!yc!x3LI^q(F4&!yh+xj*{Rq0dNTsddIF>-#wQuc5|Wz+(^~0<u6NgVpoBt zvZmFaNt7A_(PMLuQLQ5b!C4*yR&6drC)1=(sKGh!1S~L=j|nR+e{W3&O%+%&D=xC% zRSe?quL1B;pO`!MzWM#selt>q>x#}o7d>{qbIB=PapRKdu|B1iT(7Yjkc%^Mymy15 zB5j#RAIDvOVDh{oBX^_fIR`=~+}u~sJ8_3${zIG$ewg*A&@Ev3db^*_BcYv)dFMze z+vLPv17kXkZaPCgnuqRGtg+O?!N1&e1l1AD?!2T<Dl?I6ifE$9zN_1)LX&(o-H#;f z4}p{pJ_c`OUn8Z2UbY<-UHj0Ya6<=U)HI;-%6cj9Ts?a-)f}#;B~j3|s2X`Psc3J6 zt@V0?5Sdr&nA%8%#6`@|F*crE+02>@s9}Heju2(TDq`bsHH3YYkyzcrKQj0h=ZPpo z<M^WAj_?H8!wixNzx@f(9jl{`^H>)x_iS18^JX9(&B^;ddAS}D)ZOUtW1~FrA7uO1 z+Fy|21<Hv+N>?4%nSi*cN=TN}owp2h9e4bPCfm@1cq#8SX0iwl-cEReVG91x!Jqar zn=gO455$BC7#Fr>vHy60d&h0^`LpI1x#$GBBjTGMVgo0#@eu^1Vm7MrALTA7V8cO` zZmf)C`)#I171_E@<uL8GarZY-&9YcGl1AwI{z4sxXA394t%!ENne^?qttOqK^T^w~ zxRgOuWcMZSmIiiLeI&rs!Hy-H=>C9Y)RXQlj`JP>ED{hl5;+8Xk`EtRR06sEKFoA( zRMbO$2e%C%iS>LSnuM8<F@FEt5mtrycZim5t;5eiM|RBZ|K;5PHsOGfy!Q3Sww=<D zEtSXfB<$AlRt@<~XUG(MC`%GG;#icdCCQ@|IFCYuw1#2g$>ps}G)Ecb?b<d7>*U?h z?_qiLuCT-pCvqbYfm1Vr(|#M@i1gfOiJyd|&zy+5Q61S`PxE~!CxxqC>x)p}Zb_x( z-p=p91_K7Gn}bz9ujl?#O%Q9Cr=2US9<Paj#bWdZ6Egc<C2nsuQIw<pXsFbAK|wiH z0`L6Tf++0dtkm~LQm4#KC$35TS}~&w?&p!Jsh6zVpp8wK0w4fOCUzVp#-PLt&JETW z*7=*|y|B`uz6Q0}*-BKmyK0SRGssz$`8;^ovUGUTM`@Nk`E7TyT}3Pm!_c4ky;S=( zoNj}iUt8NH(dTkZZ$i=aG*NKd;RZ#l3LApL4ay;fQq^W3M6?X~Y#kGGYP)o@#hbC` z-C{J0HfD(gi2Om+CEC~A?7w1`#ow96M;v4KJPoBR7$ivJWfT9k9!e6zaMrop^cpBW zkl$`zD}vEnQ&{6J*gIKqH9dK-8sS2%y>yy|AdNT7qsIr@(LVvu<V`@I8~4564qh?C zW+f3U;f;UA91vMxqC4n7Wt)nz2yQj#P}J@Xzw6Zd@~LGhAr#3fj%(U0v@|hf#Xloi zmr^IbulV*+u2zrDbrMM!p4#bHIMPrSv90I)JdPK6suxsA0v4CZK0McN-9O)4qGUsi z%7pgG5BiI=b|!Bq!KVJVCkajmdTeG%cq>K@WTf}J>N2Z|6T*Kgd0s3N!y=4E<^!}# z>A1YX0e}`|9H$LN;uBd7zIz@<T0y=Evtfmx+{TtD?~IkRzGx9%`GD+Nb*wIgA@*~P zTIS3TwyU59rRL?nahjGCM5OGCwd%{+Q`3Rkv+t!YN2!O_lw;Nc+-hlLV6JLsbBwbw zf)M`M$%m0pc>4#a9_~^8ZZ8mY1l)fAbM#aXL*PdrqLQYhz?xtoCvpBgQ0GfZsi|V{ zqa!7nzjtLIYI05_V7))q7=%j;qKMKOvC#ETu#0WX0iH%sVNTbBW}ACl=9)VEA=2<j zZw|DT(oF5Tg$WDO&Z?|6;z%|AXoflL>Q<LFd;8jopfRx|mm2os6t&YQtBie;&vj-A zZ#6@P$nZF4L6E&$i4&L_Y)Le5`)4BSjAS;Wb=InO>S@ZVh4i0fX~%JW;YSI?1pX|k z-#^)9=}Qmv6(e}kZ6iXqn?Mj)*z6@85O`nvJk)K3(b(Q^0SLIK%l4)jB)ph@hZ9Cd zd{3S?Qk7)0TrTIZKdyRntYY)hRM=F8EB&$eA-zQxOh?Ef9Vd2X2PsvK9BU-)J&1OU zf92H?V4>-MS^2+wCknt5eveJOw?|}ZvHL`q4(BcQz<SGG_K~K1;Dnz2=>G_y#Z8P9 z%VO5k=wmZ#zcIimiQufI<?NFGZyET1h_!=9RoA}%NVWf&|1YuD*~RGp>cIa^tkq)5 zwFbhem?Duta`0RPb{N5e$0r=KQ_vJfBE|;9FjeaE2BWJx>{Fl-;`(h`%+TC{g1FVN zAGc^Ae}<u=-S}k>?GQc`8^penTXwihTS8ibK!IEW_lS9*av^fTa%r68I43-)<H>v7 z^<NI>80X279hlfEn+E{%`+xy~!^u*Gp)HzZObzmJ(R3{>XdaEk>DHdVlB>ahbu=aR zrdpL~*D<9(-3tQA@Yu;I;~;pRIB3ig03NzK>04A2-NKS5ny}LM)}xG_V4$5$Q;*OZ z(JyyDb9K~om9)w<RMWOPz-2g((40bDzvXLoQ!$oP(9`Q_qEk|;Atg(ZEe~V6?(qCC zI@5IjMUD!cs*<3ABIOi}@&S*RuAZi5h>D=JM;wiMXGwKH4-F0NU<IyJI|7ZKvLMaB zHNOyK1X9s0V!Q|q4Jb&M!N7vJ36D_bqF{}3Vzz$|K?5|Z^Dz2_K7elFr$LX<eIv%e zAx}NyEe^<Y;NZb(TA{k#c=-zYJE{<JhB}QPZ9zJfGS#+jW+tVABZ1y3lETDFVpVaq zDCS$GGcHd>M9#pAlu9sy64l&S#0r*5X}kM?0UD*j@(M!qTWLia$@F5J4g`WZ&?>rr zCBcecdT|pEx{Iig+Udn8Z?p4AB(P}E#$Y9<;j<ET;Wq*MM*WAD&@c(ql+~RL_qX`! z{RFq?QGeAFCYEB^!dEB?f9G86u6H(qFnelSftYmeC&4VlqK9AHzG0o-`IOa3l(_Q; zE(}MWRX|6jP;yrka9EuR1y3kouzC<hFNo9&1x7%&w+88I)K~hXm4~S7DeJSIxmYFb zir$l9Q%N(Q=Zej+&~P4IRyq;)kDAG18K00WknBSmDv4P(6a}1nb(a(ul@x7TF5xa* zz%$+7`EZ4eI8N<pwvfrIB$e|z3X@Ge3$>{3+N&~;Wv5>5r?=SD-)J{(*wbyrwBjef zKxAd4rBPBz-HSMpMgo_RkifGt(b0KUmXMAkjwg~rB*eueh(-DE5g;cLiS-iPP|d3l zD@f&~514Tr#*SQuND|y&l)HH9kEHAQf>&lX!@ld5au7&7mE^t&rlu);jGrTzo3+il zZkaIIFLdOtdFI-4f^ucg2+Ukx9?0hEMQeLW4SPm3A)SEdpgSP!cRNGu=L9?;nuF!| za{k)g9C~v(-s=|10Un$}H1#<R49D-*#dqr8gTU?H#IeW_3d8C?ETD&DXrBXt)xU<J zE)j$PXK<f_CXb*Rn5^zJk_3AA6P*rw(qrF660vInHS<mAvnvEC4^y>*K_~z2&NV)J zbePtZ#sWE`HKRGBF{70eI-{Ax;n3<->(sk%^M~tHSFIu^$0^Mz&Z%}E&SkkDdx8tp z94?2!p|w9V${BnHjZ=V&hfBdRZJ#&L8O@w>Mj?mnpQ(U+jtQeZx!1=7CgQ=mApo9j zVKsOJ+bl(B1j{%CI4u41BNS85p&=B*tNU?>SKkg}J9^an#)AQ4+dK3{d#^{$qYnS3 z=AcK_g8~00chI}^cAK)>J?>@VZkux(cGP>vg8^;(V#IsH;|6tma>P6LcALCA9r{M^ zpvS7)9r{LX(0kFt9%VZ^<b`0bN8IB|)(a_aHurCm-%NGd;mL&68BkubfJSxPXrPmc zLYHb5uJ^gRrTit8PH9JdnN{j>e_oMV770IF73<4Wv!<opt4s9)`iEJg{rj_vre%7W zy`4fwJ)f<LcW2l$>-6QB)}5smn_f&dKEAiKx|}o>8NX@0J^#mV-QLIhY2%&dD;fHo z&nLN+UfRh<(T_&j$)wB4M%o%qnf-JFwF$?|^_O1X#b%0W>aC_5+mGMo3PHa1>l}36 zPMQ^2S~%;;=BCDCNtfdd=SGJKU?h<W`<jjR@*mrc_R`P3>StlTEbAPoeVEtYTfG`r z-qXE*sJ9yI=|2e6JKcV#J;$n(tJ^f*G+4Q5BH~Vk&#VpK)WIBa;XLbA`lg%in67eE zJj<o|CL8U@_~=)q1o367Nk{IGt-DJ->!I{XHQOg$W|Q(`($FpD4N=oY&kjj<e6FW= z1GXDBPF5W^Safi*)*iIjGFJeje@>VinGjg8V<P#z<O%rw)o5l@4g2({$rk<AsL7)H zEK-vU`~FDlT)k8&GdSq^?X<Tye6`k86n_0ydzgX?+`{mmtdwFWSNHX3GiuTOmtfWJ zwDD~Z9ebN~2F6#O^e@7xVDH*e?@xMpzUhFSqZJO%XSq1vWP_d9=Pkg_*Au?(np86D zbdAk5VP>YMyQh2GsAIaPxBI9gp1ZqmOvT`oZs6x-M8C)^kf7G3(9|=bo}dPyqTmrz z5=0!@_aCMMI2>#TLtsCr2%xY#%SfW(D~PIT)Jy_NpW`F~kZOwE1N{6?9Z&L#p;%IZ zWvF_q^X<DFg;PjNi@&e4s*UmrifI{-va}-6X4e%Le3rtj!_HnoD1oTt#Q%aOuVY{? zFOxQGw1L$#L(Z#OOFl_}$`1*K`6BK|1ynef%W(#Xs2PEkl$AR{DqwBqm^-_;No$<R zPC@TSjKzoj{8S+BAdP)nU(9}SQdSE8=65~QFjR0fUGrQr1uU<7eFjN5)eH)rJqrxJ zy|WSLZrSmd&cpLZ%N;v>fy8q6G>I+0OG$)rTn?whw&33HX;hmWMPg{uIL0C<;xIuH zQ6%#K#>HSnM6x-??ceb@tkNkV4Enp<oeqxH038(>nz+c&K;*tt##(sxWkmoY4qFPV zNA}Xxh6#2;z#Y8;W1-6feP6$4_OZud&Y=x4SN6w22qd)X*)=bAH{&InpqKQNN285W z=?(9^S@;WS_-glFZYQjt_=4v&&V5u)W<z2U&@HG%TGvI3dt<9r&90I;+)IF9Ej?`o zh^#tG(hPY=@xz#<xHwBABFRPoNU#zJL>nEZ1i^Kl9^=R~OKq+m*FhsT$8@{Jh^x^i z6ReqTt7G&50>_N?)OuyZ1U=$c7GqOs77u-bFhcGzLXetv38`2mgA^!!`5N{p)0k!h zRN49=GjPytd65^k@mNB{SVRP=R1yL+JQP5ctI89F5X3WqoH!VX2t*i4NR%*eOp-7V zjFniFssyxaL3p<%1uQ!{T7PU#hmMf}0~0;_13b<}5MzMc!V0fUs$lT%6a%%c$G#-k zahcfH-bpx56-@Sz@f*^wk4kzDMDz4Yfd#Xbap22g3~kjSLk&T`(C_dgr%8YstXavs zvLjDo4u~B~pR(fs)o4kLNs1B9265v!U_wTDBSJ@E5h<c-yuw;91=xf~$sDDLHotsi z>ifnuO&%c8S-5buk@G!v(^d3|rpSX-#@|pQ{az~zEUuC`xR&}+@%N9asYS2*WKsrn zRhI`n#jgZ_IMIxWN~ZsXZM+lpK$17Lb(K2-|7h4!3W3z6iq1T8oAVL<KT+zZ?+w01 zX>JClUyHJ>p0LV!-oGCsh1}zrxakN+Y_fTh@(U|Leu_r!F47a?5p#u@HeN}gn-1yV zvczA+&xI#~q$NS+Wn~%eCr6K>S@302$XhnCnmogm9O|aZ-G5p*z_k;Ne_Q=?u61E4 zX#kM6h?5O}^`ajeOxaUx$v!=fgw>eDz>AtSH{fYoNHe3=8lHEOKA>m?X^z9VdiMF# zlg{}|1l8G9VX*`)SpC|Z6dg_p5#w8?z=k*c*Nf-ZW3ThJ_m2Nb4he9Cy7j31?|lW6 zupHYa&B$_EWd*(!-=ExzUhnOXou8BTgFNSJ<a*Ff@0EbnT;iLSspexG^HuAsu2^J} ze->&eRgoB%V7;XrX<%1U3%eq{{HT9Gf8<Wf6ux}oiFJMqxoE~bD*DB6O6lTr84RjG zW#%o=HgBfgTxp%IR>`rIJ8E++P02YoC~^;3r5kw5RRsIOd-I*gTdmVfQoAc_r2K^} zksh(AYzA@H<VIr%GDa5Tz)|8M_7VN*Y^sDPa>2)?rtp}P1wT*|>3refy{b45a%@eW z8A)}sBEhE2aDc5gu|noX^1_V}3ifnT-7Y^Fq=m7`hA+#zaT>P2SQx?SsC>MeaJ02* zLDlD9uwf`+Ixqf7%UzBIdu2aBe)F*im*oR{VQyj#vk#K36n;3!uj??W$w#^O%0%c7 zI5Me|nz<Ha!NhT%@`qMNGtb>FKzd&BGtzTU=N#g4wteughm*<|6Q;D~xaU424@bJ5 z0N7X$fU6oMLh9bZ{hH(Wnmv|d1Hy1&*1$n?IzaS|7sb@a-bxFL65y#(vxpLkp!%yb zol-s?5cqwMI-N%=dym{%qD;+txtL^nnD80B-%sCvp2!4uQv?XKZdW#u+v9L|6Z4nY zZYU;_jfQRJRfO2}Ws3k#>^J4`6-Xwn_CBWE)6EgMcxSFMeYl(WUc_~$`srPZZVe?3 zuP>cZ*hgYeQn<xS^0PQlNN*_Ub?yCJ`MW+;2XQtt$fXR8dDZ!2UJDlrI#`2nKSjj9 z^5vREkU=<eiVU5}iftn}giV2_GRKz@XOsCqSTY*_v=jt;0O1z|OG2)|e^GZ?n)Hgp zU7{dy$?6S4AS2QJFK+uE`Yvyx^s3-Li+7%X^Z&(drY2_phueU8Fow_m!{24$_#gf* zfQysqzxliD%q;&6>H^26>w&BqREg;NB`3VF5;>jWn_aVFWfGClRqcHIch@9xB;7mF zDTWxQ%9a(&%A<-Xaeki)qJf}++ynP2Th{pa0f?z&Tv$z|1Y{S6vS0n2Ie&O^mTk0_ z92RkA#SX)$RMfzP;FLni9M!X$TIeg3xppT4QMgR1J-D<J2z}^4{!}sgm6Q{gbrTAy zjLd_GN<lh=Vzcvy5d({sBLjz`#}C@u*Y|$y%NcG1qNU4X<~qy4p&RL`iM0|6AU-Xy zrBFhp6LgVbz}QH4sf;GpIw_aMOgEfu@5*2JMzy-zST6TpZhY?Y)W(z0<@ydbcD}@H z5E?w-C^hEM$hxO9Uz2E(c3Q7&?(|PyPjU^|pEnxM9{*(bJK(OctbIqnY}1^wgZ=mn z@kuz-J&^Mr)9F$hc88}0I_T<f<p{$+jTqvl*~$T0^V4Q-T$U6m`bnD2CLgzN`;0bV z9m;w@Y(58!kX%=I^v(S8Pl-i<_g0%@0t3yMB04uRZya)kcCf<9oG@%y7$Zbyf}|i6 zK*a93clHQ_ukFD&6_{SPzOm>5^&Gk*f_IF$A3JmeF(tH~D62c!V!$Fsf*T&A#74Vv znrINTyq<`Wh7=&Nb-kl&sVn1}5c((EJ02889(^~{VUt)eXAub0g{ri9L5qw~+XWuD zQvlA{$lI+qGauGotY4{${4)?AsXcrCclts*KhD1zJizr%0DU@WjO+-l^Sqc$4Qv}> zt0<uSuZI_SH=kkc4z>*2G`HD913n>fodA!v7Wch%eK1(cf;-U8I8%FFa^c_rp*z+k zxQwgPIG4a0#~Ti?GLGuS2DyVwLbX9r2Ei0CHsXIfhCX&I>tpN8xp{+}^ZP4qmsIqi zeFqPpC)}b{_6MPiBX>K26sAN-Yo!>ISQBJE3$Dq++W-e?kc_d119q7s%z!)Hw(cVY zV!*U<mV~=zt#Rfj)V!0w(2*|C71u5B^-DlP(7E$r`uY{|&DWkg%syiqKW*=H%azbO z*!An<8qa*l6o$1REK3}|C3Fs6n%T6bI4UTQw*b;nS=thqL?t~=><7WT<M5RSuapIh zP0@H*ML4J@J{SuSq9p(B<by5FWof$l^4V(hZ05M8-#hudew`y?c!vc80wEb7c*pvw zcft(czvIhqQX?5ZJP{xKTUY%_6CJw{R6Wu32&9>`vRb;71_LxvO$=UTSy^>H^<Of; zW6Puj0i&kYlrf8?K&x4orp$1arZA0I=&7a_fF|%8>Y<*5#jrKgON&!$Ad87e94Qry zSxBvj9*hO>j$?!>*E_iNFD`b3%`wuwv^pZ}rrwS0>>&`iPDp931e2w?@FhVVoDVDl z)F?fq6oUD0C6Sl^r9u_t!m_B5g;zBkUkc=)E;mLk;3SLAJKn2?*lJ8Y?=T8Q2|@Ec zFzVlm@4O9mu;bcy<5%m|Wux!#F%r+k>P_d)%Z+a9sjhXa>_%41#`m>b$^w^l>cWVJ z9_9$+2=vK;aRfm>E+#1yH*pa8K`Wfm5O<h66fV89q)V@3q{KM<dS%f>iowvX+*_t% z9Weea+b)Zski^`hYNDtX(t>$FkXZ!vxb?rUV**jlL@c??i;_EtKnh(;Wf^G@yQZ6C zgu1RpSy|^Ir%745qQrR_=O~780*gT;gl!U+VM);dD~LGde*NE3!lb_vYT1hgsB`|o zfP1o!RG#p@X<1&^{`amIxK2`(-L{@W0o|2efLx{XSNe^c*rqiU9(m|G8VcXtZ#tHB z+?MWpGq^DihU(?*;4wwQv6upnzhYX*%bG=K%~R_?KQ8i#E#lHgIZ6{AL@9ZIoSn7D zK8G!nMtUhB9hA!Z2opHo|LD?i@+I$iXHoeQMTrw7nIX!LxPe9cTf!87(yIJrcr)1f z>CHaISuCYg&q8#SZnbf3YZ{fg&vIO(wa9{7Ic)8pExn`Hx0`z`y;Zu)u3`iK6G9@f zOrR72P)LFMeAR1>)`c)i7Q!e|NFlW~E)$w!H8(x23seU^%i22DH7Uy6CppT(_)KC$ zFoWJTHK_|+r7=pAZ|&|AOwwEwr7haHBumirAXCp-LU#qr8i3Xpob#QcHRkFoxx3~b zJ_0mps5?d}#HbVZ_+5<nLMZSU5b=~>;glQ}@Jh*XC1WgNklf52<a|mU%3|<YN#x3C z1=5jg%m^g1yJjeVMaDHT1=UhQ_rL7S8Flyc%G+#ly?6k8GhRFw8#j}+Fj&|zF8s%8 z^Jr)T-uBJQ6WSLg|2D`xRe2o?01UeAUEHF+tWEKs1gT0{7?}tx!l#sysg)dX)oT!z zD}boj?WB)k8o`=)(bl0Ub(Y2^dFuM^qVFJH;;U!Azi?FIvKAd7N>udlLTe5l3^V0T z_MEb>1zN*tn~$Zg)XgddW`h+MiA=xZsM&ZQaY0R;I<cV*wEaAWQ7p1Y%+2PGYpRkf z;~*_rv`LgJ10b{y@IoADCOhg3JO6sf`0`#(*$lYd#;QqeT;`%Gb(zsFZGP#bhnt2z z@@-un8*heIllm>I<Tm>A=VEo6b4FN+TuXzwxj~wnB9(1KlDi!e@wNR<+w!71WkIW~ znK=n<?iF58_#g`$T05cOqLXn%b*A!Z#=9C)K%I4-v$6;@{t;)+L<}$f$?y`O-A2JJ zd$I*USU<-6{#a%vvxk4kAQ;#?FQWD;YD_JojjaD|g2#6_9;SgpNu{9#Y67T7_zge_ zU;X=x2ZoOfvW9EZX;b&IJl_rvw$D{_1A(xD0zWp(jdMbUS4)OwK{;n3no1SaSb|v~ z3GBrB2paM-Vo{UQG9v{V##Rn!<2%L5LdO1&V08hQ1w$}^krfN2!jT~69vHR1KUlcX zf|fK|w8)SV2`m(NKoJc>32u-OX^03*)XCyZcWc)kuS95Qm;l6D)nj*4fDERh2ZV&2 zyU0@B*lWH$fi)TKs|LWlc2qXdrF?g*n5zyM{+%PJZH261VoCnm@=8!Af*walx<1G# zoBQBy2ch)MsFa)4P{}(e^Ub8-9_O9~2%g)yoL_kkb@Dm#D&t~@`kE&2wKbp|)!>eN z^%T3l{EXDOV-)%Wi9XLbT)PNdwVR2CTkLBTag)@809{o)oyn{u_L?vG<l|j|H&jdq zCh#nDh9z;32FCX-yq5tON(*{J+#5+oLO!u}PVqj$E2YX;#1N$EC$u|)QJ2sC*V$)9 zW#RSi&Uv=LN#y>+!axe5D0V28K7DBhJvEyc{1EjEEq)??uLeh5159$1ewk`cNd91T z_Zi|acj_KK{6fR<qDEEi<nU(N!$|rt@GoSYJrs@sf0>d}*}P)eeEH&hd9ua7Q5@J! z37`~9DT$0M5v!7~$aB<v#en}~CyUuQD_hL+KJq=OC)-qQp7F|d2!cnieekR4MZ5$J zi~Ky}Q-rb}WjVaS@_~*Uh2R)zZ<!lgrpy7T)MyMWB5HtQPmcae2GecY-77Y>33aes zShOQU0Nusen^bVhib@UyWbQ`Ym16i>lKu`J<ZZK>pA%dFWL9Xs2s^~jF|c#M%1%Ov zs6YhtN1$MqkRMMm$;oFR7ZUXCM&VK0C4fn~pku!v(G8?A1iCzs36!V+e~e2)kW5~7 zsZGn2yx-(sFIo-Re6soQ@luM>b;V36!pt}T(-2Pev~m^rd2nQ(NkRo*uz3a{0n-LX z0m3bqh{-qh?E|H@PDq~0$|$!qFghuyLB1F@Ts|6b7-X(N-w~O==@?rou+8Ap@?jLA zt~_kN<%{0Y1-8iJl|O4Yx5TyXiJf7Gl5VKt{p~lb`W23n{O%sDWLK-a68C-_;eG5J zauL;?d)l5ict+IH!8xJ>33gHUIOD*BL6p6fe+@jD#ZY!x@btN9ZFCX`3v626q<!w( zk<>C8sqKst=Blm}pD(ftpdxvvV(8*i19GI`m?t_9dD%X@eFH4elym8nJVB>!OAD2T zaZFkcw4~b18MIQ3c_<``dYql8)T$LbaN+M|gJ~uZVr^?w^2<Z8I(=`!exAZZn)G!a zlv=eCw+@}CGufS?CZCO?NCTsXItqVS`3i&`I%ph)bQogVS4hl`qBxgDf9^VrlIyNB z39UmN6GUu6E3zI2*Pwn1boV68Ktg;d)JJfX(p(2I^%se)Udh}G`|Em?gnxxs-K%4f zucVXCc!S;{ETWOUrC#gTs~OJqozOh`3IC9D&r51?<SdsZD~8sA90$vCEmc!L(4VD# z?#Yp0VYW_E+Uy{g0*SbGiv;iuLB|9SHv*zc-qAKMC_P-5r#YasypvwR73?rJ2Tj^W zW#SeoIt8~7`(<i>n$pisFi>WZ-MAh)5M-bIf(JSH^lm@n!kHPwG_Yn#&_Xq^$sFwv zecVdP^MOYz=z3WyvGbO~s1gEM9)^9bieFR0{cw%}rflRJmcEsWvjGxE9APBZ9j3Tp zJ5KZgSy~;8lwzl@AiVB*%|&xs83@E60ZY;;wVnxR;ACq7Tjb}FYc#++1qShGKuDKW z%iP_<utaD<F;*dhAsW=YpFsmDp*>{T1ROb(FpLU?ieWL5r*UkeUn`Ork`|E!NV?KO zSY+&it%$}Je|yhld?6JQM6L86#dx^)h_0LCg-wW0laC9;!ov*uB<#L9fP?l08pD&m z2*mlsn7gh?6BaXV5r3cW)YEF5P?mSAMu9Fi8UXc0-TEa-a+hUE?jR}P%hV_$Cds8+ z>!~u#%~sz)(gvl>5yu#F)*N7E&6-0^j{DgY6U9d(Q`&9i#w4+o_$)N2Tf@&P_D8k% zLjk#VNt@?xZKS#Oi=C7(Tb-j|m+IHZ7>^)O1xc~b`;9ubHXLZYzf?0wh#5^%G|fU5 zU9raBxDV2T&;j70a1Z7yev7fqiz7P<E9Yik9myPI5@{$Gewb+}rcTg%qf+6)HKbW8 z4xqUptN<UzP1bW%>A((Q5XJCxAtF>|G=D4;G~m|h-s9R9T27+HbA1t-C}!ko&d3!# zweF6%mML~^DY7WFJKWHwT<a`}qH*N#gjYuMnCD-}O}%IxJl8b`^Ds;v-$B|O!Th_m zWwssA25|{c1e@vG6(uGAdIfew)9~Imz0s${Z~IIR(hL8Kdt?2(O4-I96U{sDXr6ub zsz@#a8p2rS?lBo>nM?pDh;TgMXYEM|$LjH}n@c-8-{*n4iwwt9^wF@H1!-FlHH}KB z`JeE*<ZZY`u(bdr#L<tp78E1{s44!0A;vL}EASU4BzF!x_be{m;4ec0Ax*2~SfXcQ zxYdlr^Lqga;p88@1+SR{Da(GQ+Ih*a>p^=KNFi1p;*};S8D*JSz2Cn;rY{@P=xA(J zkFQRC87%OJX;mZueAQw5Qs8gIGXN4$gW1Qq)wD|z4n`0}8lN&|`g2ccV;eP*7gN~? z%-w_@E)#b#%-@V#SXKfq5c9%C`9Q~VMDpxb-BPhR*Vr1-v-GQ^iEQL9q6|y}W?tB+ z<jI&B^~~MFV9AyOLte2iJgSe*mPltHa$((Ev4Cv3or>z|PVGiy4TuYShikBztYo(m zQ7U|&U<{BgB(aa6-n`2`#^4O$uv6tg5-k>6D?wWU_dQi_h;tVsU^FyMq}_smAe?dw za>ah<{nIehfx{<e`kJhBe7*$WRuG68wJA9&hzMzok(foN(q!@uKsp1-Sy5{3xfu2- zpQw1!JnE+DApW5pc`e};qa&<wI<!Ut!GaNVrr#kg$haZ293ghuCs1$5TBd_+>6bc; z_}3N}Io@tro%4DAfM|R@+=e}ufN5pRa|yQzQ*ZbQ6ASv`H#!?GsXm<qRY%QmHjPAH ziUyQvN?K#js#6^Onyuq4({rH<96EE!_Bzg9atmA-DG905UiW~w%c=A`>T~!}GrTC4 z3hf(f2vmR{+-f-R2clvB8z^JeFGh&y5{^?IgSe$^#xZXX{+5)cUv!RC+;Rj!c{u&w z=aAy;E6@YKxGzBP9<^>$u=)#>JM(xZ6(kzdsM#1li_)zi$9ZjYcK{|zD3?hB);q|L zw7{aG%zxZqu?0u_Qo|DIAq670OnB1tLJLC;Y4X3;ny6e!6BxMvPJ8%LyDThU!6`uq zEDYT4n*)so1qSsBpNvT7<kJ|D7nzV+xH0uwtn34i%-c>Cimg1YJct^Rbqr^gi-Zqo zVauVJeFo@EQD(^F5t=o=m;i<AE*l`>Rh@Bny!d$b?eSAPPyVaTIr;cxV-V~^)9wX# zoMbB$ns%1RbY-mdQ|$c8q>;tAZ8(yH77Nh?f-x+1epF)7ETfGVvZHR{q7VqNy_~nY zWyX_%Uf^m{#Tr{?fK<@N+aD;e42#z=j7-Cqm$W}sq972XLrDk<1+l)qENPdz!J%DK z$bEi7Xob2{1euyooJ)x3cwq&1h!x4RN~y{E*QbPv#_}O(QC&UxJ8~Lx9d*O$7d<C} z0=(7nN)Wj5=O)cVgT6m;d#?Nrl48I)ZeQh_BC++b=C`|Q(>VK$OEcw!3$~>>LFVz| zN1TU1E02TB@II&jH5RN8eRFONW;rAaK$bB~WI$Om6W%yYaQINcj3pd<*)W{85QrAm z9~&_zbU;%#lxAdQm-yDhSSnq6Ay+<n#zXh0b#f50G130ZI`{;W>=6yl&K>^!4_7>$ zL|y>Y7C%MHE+*$OHkUV-;;9F$HWz9)@-S_T>|egY@Irq7$oFJ=IyEw)=KA^Bm|^PB z5>-5gaVvm+1~qb0WN9K5?VKU3!)`k%Mr>LQ7^uKe#`fN`1On<2jLHHklV}of@-2ET zr6x=%q}}~GW3#Mr+mJ3S^{~H)q8#BP^fx>6RML1+r;zuuJ!<9o^rQudnjZxw7pv1s zHt{{lkLC$n+`$o<CWiV`sVI9;qA3VAE5l2dt4eS?AtXlB*5iek(P+bRh9OXRV6dJX zi8{{r^~a&<JXTc57~Pn{;cFH9Dl9mPmv>ofDYvHr+Kk3uf92v5(yBl1Pxy&R9njTS zf0qV-zfNYxn&e2G+`U2By`%fd@qww&rj2nf4%MMOubD>FHb2^O#hyrHN8*L_hEnS% zRqGY~x&sPE@YgiHr7P`i^B_cvk_)Fut__I4?Xv50Ph5s={RCnUN7@9om~C(ork@*1 z7N3P=@QAM7uLxK4bI%~(f2=-`DDTbmFVFZZ5)_kSJJZWAc9S2TS^rywt7bj??LrS- zYfYhG=~mQA&sV}30P6^YD`o;U5II<+C0Kw`gHDu>kXIakx|RL?Uv#~BG+S%<_q}&! zB7;&CA%>O?hEr;aArUQymKZu2+URglQ<NG)Nrcb|r&S%1P^CRM>8YY=X{fg9w8YS= zv7uTqHmwwOaNeBfx1M)B>s`<LN3K7z*3Qno_PXxR_rCV`zU~9Lf6-z5Sx>!0wYIpf zx$+DxM^pcoFMg(KeS&a;NFo15ckJ7BvK(*xxIX-JZ5H?VAaCYr<vcI*#zg^no3r_| zHM51k+e`npKXdEPL$r4<yQXtLnEL##>%hGEDZtYceiMH#V2?T6^Ky0ghB1};dxli) z=DqDUu+b~ONFldexzFCw@k>{p9QK{!?|qx@#IZr&O^*<L*#WyCEpr3^oZKI&CbVDp zecAATm!AK}GBoWaS+ZBOm-z4Vf9*Yf;<x1gPd7fILpBHmK(LrMZ)!$2A`};DDb8hw zpg;s_<VnL1%>2l||2|o&|AA3_1qE1ia^sPcD*yYg|5NbR+RryqRa}Vs|GVq)sL220 zuAe@gU5c4=^0h%A5K0I*;{QE?{eQ+V*A#E#5Dx<Xeg3cI^V9#wZ~a#x=bep*0)-~1 z`F;K`Njr$fK`%|BLZnX{m&K+Te{}n``qlc?-hY+-{&^SR0$o*+Spm4^P3R3yu9;y@ zKb*@Q^RK75-EsGDHvFzyke{69xu9C0_f4vr=X27zwcAMBDN_;jA4pX%=F{YTU;GP8 zTGQOh+;=#?_=$SqPGq+6-L;r*e0MyvjpvSHy7AnNogrc)y#BXI)tr3U0_wTDx^r2V z5lX*hQZ-eNKdG9c_scTMF(04SR_y*E#Z6)a=zm*6J#&{kWqwsn%@?P-jT*`H;+9ZP z-20p|=T+nL3sT*NjU;;C7EulEbxxUcs*(A$RJTDRv0mIF>Y;m~)A$PNFZYX1uCuD4 z`9Nx0ztK~@?jNXH_b4aV8P&u2B4*n=qiQ|hN4f8J3(Sx88d{qf_8MB6jrSNH&<u@G zy{f?%=JxI8nU5!^-o0QN0-G}2qiG<_74K%5kH@Q)T#yFCCJjx^j>W6qywDyD`(kLW z`AdXq(S@qutUJ4D=EqK}{;py0IJbQ_*?c@swLs%rxN6=7MqTcn3-r3&m%Dca!af<Q zn;CW+YH0EsQEnIP6m2jrnAV|OFKE@FC>Kz5xox|FK-jq9`i^miYPv>fV{Yqi`C-_Y z;qs1SU54@<hFyjTO?H@S=7q+GDAEN~Fic{&t})h-+q_$5b}Uwvd7(WBHflJp5!#R| z+$}ac7NdIlLT(Uj*l<*1tUkAXx4`UJwCbq~9zn1{!%mIR`rN;E2WwL5b06%^H8YG- zrC(SM%<4B3YP3Act=S!JW*Dh@=t5&));q)d*ls53JvGW9>n`<#1MDs}*r9FOU<aYB z#~=XrI}_DMy{*?O$5-SKo!X=ZZa7sYN=!}DYhAz>=SUo371Ss_*9H8I9Fb$&XM-(- z*6;YMIRy!*4(eV#!yW@;oN5ZHo$9Cu=`qkFw0^_qVY{XHi`eeu+}$~J$E-4{u|wOq zfjW*Y#phr{rTA<tMT+NO4WxKB_V;8IIfv}nR!Y^?Ywa>n!TBcVI_D4_VWrer`>Yb` zgngUDK&I;|!zW=wC-LkYz_IP4!78>p3Dr!UCAfaYr{su8Z6gM=Sl=X+kotz;I**Ub zVUgN~42H4YiKu#NHNkZbACW^QwG9}&!TKiV&KR^~e@R3=pk@&uv-qPqG6%PQgGTIw zGpHKs2?AsWe=tYj;P#Ffh-`7l-NzAI=kDc*taH6MhX`2}lmP9lX`&yJ?U36`xsEMM zz~A9$5wfI2S0w0=+eJynmc`?5b9mOdZz<te!+894PNQzy9m*kW*=hV`1jRo0HN{Ok zYl3Kq1nqNQQOMeDiX(Ket=&XzOr|0rA4st`iCRd-5w4}g4VYtb`12gHbuN`-X`SoJ zAzJ5BIC$$^GRI?>YV?W%Xv4-Qi0gYHads$|B*D`+7cWk*qiW{NV(?s!sjgco1&___ zA}*@9b`ig;9FwWTN+_sN1Jzs-M-Y#6_t0C{a;>vN8Zz)K;yPliA%~1V%aQ1`jS}UE z-)&J(DZ`l782lLyPp3_{)R~)8#wpNo8zxE+-wrsS8Yp#hEsE_Y$Bj_+lxmD?G(Lhu z)@d6czCrjR#)Zgzo;(gwr|ko=4WVj-5>V1Gt&#YU?Y|OHnUVM)j#%66JyC#&+lBgr z65#Z1MSaHqR!NUM;BKX*)0wGz?<#jV?VsBEuF|j6_3X5KYj34zso~jE@~z#KuBDK( z(+gX>DjiD4)6Vz!-|~rYzWP)Bi~lvBeaw#U_c|(#op%51oACd=<Z{}!?_1j|^-444 zedU$9rKjZq<&|1a@0R<@Dz!@e<N;-s8l`?|%H94sB|GH-{7RJ5F6mZ^51hH}+g7rV zf%A{ad(SIZ9ZxO?jQO7}F-$w(<)2#8vk*{Hi70JdD3tgomHf33An|AUtT}yN3i#-s z<}>G1u@o@spX@W@baE+R#6Q93t<&bEfMI`zPm|N<#egCID4!aqip79I|8SpTr<02T z1OEM$?Iqf&p0oD^m7<arX2;CE+RDa~L8j--z3NIqiICYbeeb?Y-8%aR?$$bQ3U_0j zHHEvjE?7Z&WmL(L?_BPzgFkSU8J26*DSeNBEmx4;E?MiK99NnlTcu9w+x<(P!rjUc zuTsD0TmH-Vne%IgV3qn=-*}Dv9d{)oSB`w`;<gTc$K_|R<T>scj8#s#i}ear5!OSy z^|k)xNpa`a1<S}6F2t4Rd>5@1D&Gaa@|@>_TA}h>jMqW2KK@_XBrYdIwt#%@qQ1`W z(ntL(n#859^CodA>z2z%#|-@H^J13|KdBOZ;9uDi@|lZ#jr|punj!v&I;t;QXDlI~ zxb&^D=W+2F1^-Zo^(E`FMP!3Z-5Pri7nwo(hdQV)US}*KAG#E-jjbU6a=ExhnZ<=> z0RKGi*MGV${(-D@iCUw~;0|YqR-V7puU@A;%6ac3m=EpMvz{~P)w7%%>rrg?qetMb zF7kvqeNMdju>{=RRMQdYl-`~tqA*A7#F`(A$Cac?hoO^trgNe3xSOf%!_Y5!=1Z0l zxT4gmVa^>V+I;9~-0zFT$2sjz<oU5UT*0C&9G92MsLR=tO0Ua#>9k`I`bkfH&Y)XQ zV~N*@bW63XLsC;s>yWOgT6IWDDylB0%?TKUj_a+@jxliQi}c2vRwwxYbWCq~HndAm zK5NjWhgf2T;WASjA0kPqs9~r?Z+%hHkkjlWn+uJ_F;m-zprd;8i}Z#Zp_6znGzND% zHFpR)tT(zSsn4l*63m50<4&b|3_%C=Iv45nIe$3?FOlnW9ysOB8ARdesmp_$em&u$ z=uu9MQ}~=gB<@gZ;~?jq-u-zo6ZxJLCFI;Coe)Crl7fZLrwKbo_&tPxuLVqGAL;gJ ziyT{#L~MF4CAfXXGm&Ca+GxuHwm3=h6k0)w8l@~?ZzPGHKL1SEGSc!Ldo`&b0og&? zJ8IBFFjmaj$aa$BDA+^L8)^B5&6^iXu@~pX$vL}|=ubIiBxB+8af13+mK2*aPnTk| z=gHD7yR!mRFH5Fg`+01H`q1`rwc?mNS$CfENQz0HM=~A-#RU9U*(5e6NfwWMPEsG` zcM(uuMU&XndEO*8W!^Fw>6nCn`n;I*;W1T00KUqSkk3f+5%yPXYLfT~b(A2RXCxt? zkord0^Vs;Lf+y5rf@EHnh-@I$jj-pikx8^C)IoxHo{@-rNGco|V<P_|T^ymzVndUF zC(ru{Pv^yFkhP?!5y}kqaFR&){2ifsp0+FJy}h6_v{%>qtwFD@<=e3y-2;>K2<+7_ zJcpb<dtT>Q0`_jaX)$z4ch4lzAxCV_>Ku#5mc&bMLnn1j--gCxZ^pOZhJMjCpR|m? z7R6WH=G?KTb%vhC{{Dq%pVMwn?i`E57JQL~WAow});W9P>DD<f?RVUSe$rKcYtXH$ zG0C$-y2aaBBdPJG)=1ZQEo&qt9%Y@=W)Iwij_a<!8Dn76ztHV+TJ7aGpkunrZ$i6t z<!=nSbP<!RFl=UgqZN`AkGc(&=&pZ}*yc3b%ie~@Vwv&nx1giC^Izz;IYN8!+t3*7 z>G<4R&|%%tFA|%adV9g!&}i(bc#m7qLEX+Tbeo*N?1LxCHaQRMbKe?7Vd?S9H#z;f z!Y`s-IW_j-Zw(@`hvFM=a^C6Q9~G}4-rGhoId^SOFrjyCgPG5#b#^rIdvpRm7OWup zY;QNW$T1bM#Iw((I&L5FD+sY|T64<+rZ`rT2CcA-YNjk;Zp4bxo`2Ta($w-Db2YX= zj_9!6+icLIWBd{S6VYz#*bMgQ=ry%`!{m*MrI?GO;$`mcSb7?#%+{Fsd|XHUBTK3{ z*nuv^WRH@i7|tkBieZlyEF;LV<h19dwz|zNT{<csY0F&aSYjHq)OI$7Q(`-j@?4@L zdrFaEl1Ay17<Md>_WYyH>Zo`L(QG^0MEQzIi4~<jAJLf|r7a<Zwr`p!^O(3;R_gO1 zo#9dOBBI{5x`{G}iHIeqJ|EC|GfG?J&gis{S}r0Kt>&7*S<KN`8I#(t(>N;lfvB-P z(FD$54#o<Y)OXr}{URZEU!=H>yEjr)$MuRl6vC<46%fdo*7oaX3Aw$yuGjJtFn1!g zLO4=w*M30A?b?-G%a6z0j^x#G-|h;pHHgPtk8BKnerMOATK;Lw<@e;r+}FF@0yz`f zhW)@}?yFtoz~|+=>}p%OwY6*5iqJ0z$6So0)p6Y-4+V3^wedA$Vr`WgzE~So6Drn* z)fkAiH}nJHnB2(ahur78mJf5rw3YkCVVJB)$wRJFr1&A%F;XiSTCxjOJJzW^TW!F= zup*a(I3KlF-isQzO}nHu2C<mr$i^Vfi1ze*as&7AuJ#&(7z`saIfyf)J@{T!&waG3 zs>UE16CP<7#2L`;c+Uud4rsr8Ppsz(cCl*4A~8oIrGe0X?fUn;N8IXN^qR3#m;;ej z4%C@Vhq?q)DmOa|rc|zXGNx4i2oof5J;P{D)agyME(R0PYgJgnmaCM9;hm_`P2?_F z3Zly@p@hAlQrIa-LA<p(Q35WgTnoFNfcV=gpoG%1$+XKdh3gSk;7Fa=MC_6!BRs;A z6A-Vg>>Q}&R+bLbPn$G~+22&ocNR!hE`-q>p=DOO4jjIfx&xGNrQ*QhS-~8r<C}nD z@S93TCnFi*5@zX09ow{Wn=MmG>l93?Fgpt-RXAayctnfUusx^P3RVKjR5HS3B<jaa z%bkKGM3dD-G5A#_DNI13j%<>4S|%YLTeTH~^D41n3=(x{(}zw$BI1$NonmlKB`nO6 zL><^v8%88O|FEg86Q76>Sfv%W%&3HfNgSTP-&EIGa0XFr6<pjht+M}kH~~7NWpjzr ztF`kI*sEoJDfEm=(Mwu{%KhUW1n9Ju_ba*sx969FywC)dvg4=_kE@1`=?Zh}36H$W zN@zH>V3w8%Ca9Dim+4X`wd`JzBUEl37wb~LXj#5uoGWk7uQXBfyl#AN!;gt$;yb?_ z%Hy9_x%`rB&wc%i+lCW6+j5mvE+5a;g-&Scy#gXs@?T2q5bnprtr2^UhwDBs`^7Sk z(yg`OQfQpY`Qv13F7>#j^%a9X_hAEUzE}%&DO9WlyJR5N+VBbpSIIrTY{h;4%kn19 zn3nP@ahOWhafubz>A2X6>v&vC7h3WQDsQY)YxZI$61$nI;<)*-csYCbQR!;tq~?xi zmUdjxFF@W{tV;TEsSfm`*5XT^E%&JfIejcnCHd&}Rmf+p`ImHCuJ9M}rO+6a)5mjl zpu<|DFC{kI`d<W>LZekq9rxhKv)!*WGwc!8dyd=ba0awGUNQ*K0j-zKlI3g<N|VLJ zx3m1O==9&hk1nr3u4@fw*6u$lXzf{PJ<zZDwB9mM2={j&J?%70jv4b$Kxfs{!qMEt z6?s%>m&USuz;#SR1=k=8L+73gLe;@3{M>q8WA^UdLG<BP!#zRa>b3_%uk@+~BwXxM z-~VIgzvYA4P3>Dcw{?pNEiHfCIHu?E`qy8Jt_6i04Lx3VXXEtz_eIm|W%mQ8<-u)4 z8?N9N)}^sXl_STc+R%Qj`j@<2-0ENGm&Q)195`OJ;yR;w=$T*&eY3e>3VpqqF@^r) zs6d|Wd6c&1I;}~2##n*(S`?<U<>+!vw`cejxY!~sy=4Jid{nXusj!Gjr!1gv92Kp$ ze%9P_w&gqe>d^u@yu)H|x<QYo@iY8Sc)NvTI@qJBcedplI<HwQMPF?GUyq2snptLH zywW<Zss4;5MdvirrReNtvJ}l}CQ8xl=7ME7`6zj{wbVj4y`@W2<r!@`+xaMQ6;f(3 z`!ln|V&Z43L{pYVk)e~C>62*oQDC+8qvmR}cnRKYF?*Ks6`gWa^iS)E=4>-<2`;pF zbCxoXjyuZwr*%kkxLLdiueYc^OPNDQ93}tLI-vQcnYNfcquJhUxd?w?k#!cFMISvX zTXF5zY-|?%fY(@@I1A384;~e)xW3Z}Y!C^v_XUaTviAmw>ax9p4vl11m<0@GPHXrz zu!PyYX4hl*3FtdPS|gcK4c7)hnBAp#`uuqG?I2!V_FJ>?7=w89^`OS#);ng0V)&=g zm+Q%ovtOIJ4Q5Vg7&ZWpvtOB!2V2X{>|$EFHMFDIiqIekM_&x0)n&T{9U9IY*T6@Q zi8WNB`C<)Jbf{PZ7HuHb*w6rkqjQ6nA7(!{TOP<9(@<^@hoQ5ABoDKlg2WHA9fP!n zAth$0n6XZc*(d`BniaG>l=)F(rC!vK-DD<>Hi$(h2Q?05j%ZBRlN+)ho3%$9#Gn~L z$wQe#8iVzs`s_z$RnZ2~=<p!Bq09k|j(WxjWI*F(J+VGpV8)6bi$os@k`6-pHR|hm zkFu-H=+R@R&<BF5gsw9i5B({aQodPRFr|FGmNBLL$3a0tw&y`wlk4<G+Mf(2ymx!y z5w={p{2;!`Rl1S<rz{2DwLReodqKIdR*(XJyZyuwa6$Rn!Rra|zqbb*q4aDt{nIif z+v8xtQ`d=M-paF2t@3Gi3j?S!u7+bxBzpEhcQu)isvuPu-&UpPp63Mt#JE6n6? zR~JI~+f{^_yzMZd>-a_>1pKC)QOih%yBxH9>N>V@C73N!POBA6Dl=;fCY3n{Me*>K z?Zb~Vi?_p$fHLKbgR&>CA2%-73X<SW+b2T6ugXaW1y5W@HcD$Pli-iHw}pW7%CQF- zPh5vKey9~B!XItF69UdDhaI$h;ySRg_8{>|>xYePwfIE1V0&6f%Zzf!L5Z;S{l>c5 zf-~^y?ZF`})5`n(!;2v^>Nfi*z3MynfxYVH`$Eqs7u}^rDBt(@D27a{dsosOvU|1` zc!wq^m-(ZLAyRecN{M}T=T?R{yGPxol4qa&W-Hx$?6mTgyL9{Pj;$UyA)nQ^RN^C) zFZ<`-hD@mIRRR&p`FACDaCiT3Yxo}j@Y}6rTP?jQ-Rc|mg~lnL_a|FtQ~fQivt9j( z*4Y$)ymdC&-{Urfx0UEU)}@ZEl!PgB{Y`JXmTtv+v%Az6_foplzwZrYC@0?~+GUgc z1y<Rjt$_DftaAFk^Tco8-0mHJh1G+Xlo($$4~<j`K9cGsDHq$qo43yHqr@tw_=|3} zj;PPxrP;!TTi@)X#3;x4vu?ExsSn>3+raC$R_~)kD@XW~Z?z7nzqw1Z$(~VfziVj& zf3P)c9~h;4wA`Jz%gG5ct?IWmpE!lO>Mn3>y<-%N1+Q#*kJ|69n3>1FL)476le|cG zuA@vdM^xwYSV>t=jiiLouc$P4DJk=#>UtiKoFy{)VBcD7gwg}MB&y%t#PL~9?vi*| ztC8H^)xbcH?36Ssd;JN4G>EC4H;=_#%<NYe-WBc2uGt#C&mdCykbmRN%y;VdOT;U% z_a;%y%)2Hhn2^g#*GdE{FfX4fIqaRu9cS=|Ql*dOS=T8wk5bDOShq=*GbmRo^^v8y zPO90JlBbkz`H0h8zo=Q3GJa<L>LW;V{j6r}#Qv^y#V1z|du`(841QP2_hHGi+<h2n zndK(dOo$>lhjy!JmGY!Y=Sl?2uoosoW-H%Bi|NWY!82QVCMc#W&&1dn6szG&Ws^!d zKC%Vaa}#xEewP}mR5YoSTEd%DN-42ihB^A+(^`v7KBTxx)PPdi66~3Y+==~FDb+`u z>N=_>D`70bo|yDGvFDZIeF{=tht(t{vPD>fNu3jWPASrdmg+jFCN5zt!XBCwI*qNs z{xZ4fM443z^#M{_`_-P7h=0IpO`@DAGfIbjM9kKAYSksQM_KPT3(P}%H&~k)^lq?x zdt51x-~kEV930p>y<vwLzh^_hwE`imZ}V;QmIS2=FJefmbc5S9ybvbdoMzq<uT<<M z35HZ`jxwjjE8XxC1-E|Qu*Iz9w9-|tf;w2o=Dp?yJsXU#;UB}=H#?exJsb4QTH=)Q zip1ec7mLJ=S-ZXH!I@>7jRRZ9H>h7@g)8M0(ZiLpi^$<hoFZbl61%9N5k~eR2e+1P z)-`YG+Msfc)|ln&MGS_NZk|1yS+aTJaI0j4YzKv*lvG3yQ(}7o!L1)RtQLtIV9lFn z%_y--DPE$W){zafMYIN(aPu28N{mvR7b~cBXv1)kxE@x&x!Q~ptrX!!4r(3P@TQ1X zpEa|gy~wg2_F!|C85pH>)JqoV+P|T(Nbm?&v-yM>7^!s7OAzS#PBl<bkk8uZE>6hW z>n=*j@^U}qlv!aE;E<_EihLF`tJmnd9$${S<F4hDDOGjN2bfu1M#*~o1=MYKUP9Je zqi{Wg1=Mx-#{V+skRJa#>T(`ACF`}3n?vS=s$o8mlJ&}n?9f_nWT)5Ct*T96D?+|N zin{1dOUQC_KjfG>u8Jp&iB(kye6cEu5Gq!M5e&qt8}b1uD%X8EKI^&BvVG>5s&c+~ z5|yRMDn)83^2^a(%Mntd$hfgi)mdEw8H(k;{9ih*<cX59niLso@D-Kp-bhj;?Q|YF zDeJLOJHcQc#c)q1We%wh=7|!s9vM{;4CYYb?slZi0o9H?h7)8!^<^G0F-u^?B8<(T zj<`!5ApNTKdAu`O)kbu}*fi>ZdzH594E|7#AOdxhTM&V|&SgZP{-6r1vplIZg6lM% zmcwv>^%@jn*$Jp}DxTmf#glVn_OLF41S~rqRmc_C!`>R4z=H9pYt-x3u)hrgu#_IW zX^y3RmIt*!*L4C<%#qo_JgCXmuvZ3l+OFjWmfEhL@ERC)9O^u`ARKjpO4Egu8R%+f z@(t9rA$$WB?M$8lOxtxF4`9GJR0fw}2XmoX>bj2MS5(*xR2o+hhGKFH!cZKl$O_hC zFuW<V*Z_tF8K?}ZOvm*jewiz<g*6#WV8B>Z5>=q%I)aySEp1_s4cahZ3@Vn&&~Y8Y zf8YviV2=#$V8Ccp7}Zk8bpT&WCF-<(z_)SnHZXxf8m1)@6+)G0x4y^MaSL|AsttlM zEvHcXUBcJE8Jx`;xfi!{4d}(0uhD-Xi_&RR$onoHYv44_JA=N$>CrD(r^}ILE~qt7 zigR}F;k??QyFU~4I!^MMtmvLx;v!uICvm20^abQim-bcg3(h>latc}GQnkvyqfc9> ze@FhFPW;Jf*C($_z99?JWm06G3q#J?<3g8nUh3~y0YBl?*NELXjSSv0)Xl|C4yC%7 z%Au|<T5>4G1tsUS=>sd^IBtDaB15L9)0a7|`tqOP7;br$-i4E|61#AS4Avwv)1`3% zN^(K1f)d<%x@3vdtS?)me?>A~+W!GZar5c)C5}*Eyhfi#o_5Lo2OP$Yrb`w%_4<M} z`W*6<i^o6UAg(i=zR3AYKRAQD$a$cjyGEQv(p{EU*!?(Ry66X|Mn8OwID<Uo(zwEY zhr6FB7DC?>q6XP_2`2`@yM*AumTBycIbIJoAgMqI?IYZt7bPGoNW_sADb_6sFNBH- zY4f6ZWHCuH3|0`L=E?EM8zj+i%V+GCInin4RZ>A6w1cpBp4fvmPQpKiwi6uZfgY^h zoG1>NmnaTLUQ84>a(0vG!wQ$Q@nFk1Ry~Ooj?77<ha<BS$>B&&A~77vPAq7Il1b#@ zmQsT5yr>JSl0<9dIFpFOU@2jCfL%hE7-*4TWwT@kGAWTBX0V^TN*O0IkSx;j5c?x` zB~jGCX(CAHh_T3IQsWSN1UsEbZs0s7w9gS^kPK4t5PJwam?)~}JR(%h5u=geB)cK@ z0JbBMF#--?UnUakIRXM}P7;YcLXr-G{n+|M-Xl&mfj%cWg*-s25>jR`hhha&h?_A5 zQ;6#^j48w)wt@tXr!B3CGL50dGMLa_ox)L;98qqIZ=y&s<XBk>v`Z&pl(m2;j1i<j z-|Czg1r`w3Y_BIk|JDf@CHG)VV=YrS9<~KfDH9lCtSlMoVVj%)eWhb3q?GGe3Mrp3 z8Y8T4i1RT8Qp5#Y+EcJhM_0(^>!=GszK)8J&C`JiDdQMm1o(!?h+!l{U2H9%QpPYV z3IjMIEk-bjV8#?oA~?39cxa2x@MCtd4r~;VAu?=bPbeQT%Q1o^Xp_#w2=En=WGi?= z8No<nER&#*b=pRNc|@!&;|XO5^C3o%2z{h;X9So-gxOj?p$uSZZHZ4>K498n@QF}? zPTGiQ1`%Q_5w^U?)WsB>fmZ7TkBFub`>n!@!5I~sx8z>e`zX~+st2~x1dLbN`4;F^ zF@H;c=b^e;SL@JCO2wwCPU0Cvm6csFdrHMKjO@Va-jv%(40x4quv3fGDTzmvSV?b# zlPacf>G6o0R_(XJFDm9?mJx^|tE$`VJDX_f$8rh?d+s~>euuuktV_CVm;Akjej4%n zZ$x`e`zCUyBo0yVn=BlWXT`AQ?6IO-D|!O#xCwqzQGZM9R?!IK*+Jc`?5v^G>?1S> zsH>HhHI!n7vgWjH0&ariD(i0~3`F{GbURM#Cix9;OlA2Ey-P*@hS;To2xEmIGOZe| zpd>5QZBU}J{+q;>)4WOcmL7{>TD9K-M^)y3quX+Xo5XMFF|asBtjfe5I=6$E_aLrX zwePU=b*)WMJ6MLwsCk&=<&-_AzUeoS4d>A%bzg|2kUgl<^Bc>C^KjGkx4bAs|E7Ys z^eDtJE7UFUgG%#nl3kqIO^mnnNJOAj`%Un@O6_m-U7Y)xb<M0F@LNZCQ}7!{SX1z8 zM+7SnFN-QU<elc7X5a_B(!%mAWeV+a)N%#Vt(nyf$l;|HiY4wO+U_WM3VzE%oJRSA zwmi!Cnfa@QAdT`FZQR8A4!>fND~G(+bZZ8_!}Bdz@=SLNMjE?Z)0zn?LNmP^t#y<q zg`YbjScbgNBr;q0np#W>UlY%4;c23n6rQGWGayFekIE+DITo@7$a78gW?mN>byPG7 zPd&n$gr^*_T!uJW;1zys%?~LQ2^u&mTY@~(lsB=y!c#58sgzN)><D8C@<g+*i8T+8 zw<t)Z45KASWQ&jn&AKMm96Zv3mP#2!i;plCArCbRn<Oiczcep4k!Rtd7C>rCKl-V{ ziwLRJjA|m!zz<u9m@V(n)kkQLGT(0$)Y5yEt!s$A%9b^f9_0fE=@Ia&2YABFzKy(E zNdo-tcGD1WN_o#gqA*jukyR^+hnH-Z27{BzrZx0<_|5I@!QdBV^MjTV@S^Qi!R$L5 zX|?pz@ZS#*A7{32B-cvf-~|U{;qbiejJnJ{+v#<gFE{Q81V1UO*ATmvH4gF`A#U64 z>LAqZrgae4?OJsZ%63#;X4^&}5FA%tua+?2=?CbInXMb;hru!B<!XADvb>turHnYp z3WH~EZ+r+LZAS%z66N&+l7`IYjj|egES$N$JqR3Co<Bfu$P{iA*U)3&r?=+@fy2t9 z2PE~G^&15>^l130?H)nkpmOH{dVS_!8-ov$>oXs0%&j3t!RgzV1KIt`!ULj5nKc{3 zYlxBXL)#kz+3%F^dyAQn_i9lN?7M0w9KgG3!456cN;`^qJxT#S1x!ev+U*jN99CgM zbZU_*x%uFk5V2ZXiD&^<Y$9<4E7YP&$P2I=CL+g{&q`a0Mc-jpO$rhq9cp_^h&@Wi zKKK+!yP9JO(4(YREcyn^^A<~C7rn*FnY&Hsj_fiuV~3V;C3PQ`6qe&nm%_5W$x;}{ zn<#~`y$g~dWD~MuOR1V}iKt6S#fO%h>1;xD1WVOs?b#)26ZS0<CE0DV43^|gpM<eZ z0LPY(N~_-DBuKN`Y%%#OEX71bY8g?Q^`<33glcb!$@8!{6BemuNNLzxoCv8`t1c$b z!6Hn^q?Q4tH{P_w%o(M2Z_7l;1GTJTU>0`NMCL&0S8DVYoPpG+ohSxoU<XYE4wQEd z&NH#heWg>stW_L6>veQ$zsnEbf93n0$$!m|?{<+>{#|f-wqRe{6WjbH%=ydF^*ar# z9oOWAE<b-i^4&=PyS{#p3-eWy{8CA`?Rr^Q+U`=R=FxAeYkXUIc8M6rr07f4^u<Q& zr7meMvCG*XCzVROzNR>pP9pWY(Cftw3vAqS#@D5@T}!EdE~VrzCB-hC@mY$uSc=0f z#eQ9k?ploeb1@=+F)ViRWX9RQ^iv<;QU(7q|NP3V{m888Vpcz6{_&?aWd?P~T@V4g zxu+lkc6|>c0``ZIz&g{@h(@4HqiF672S~4KVIC_1R&InRP^2ibyUZTarJ9h(iiZ{M z5!gfCs-DOL;$hc}u3JO?Rt?A__n=G_9kw$)j0$uq6DXp)%nssVlxz)orD~^5DOa`B zrhGzaTw=w+&hIG*hg~qD>4Igdy4q~Ms=7AFS5?tw^HgEllyMYr35bJb>|xkJT#PJr zDPyRWi!25#ZI2)f#@tg72IClstRO9_!<*Q}s<1qO0n0Fw=}<nRmiGv3Ax)|imw;GU zl951%GJ=xsv9yIeR&Bck#K2;W7&??8)Q3F+8^|NoJC}fHSeTKe4rKsUYedv(`G9KM zgSUYQRMRerB4Hs$6781vsJcA`yCBu7!Iwm*VEYwn446UMoF(@nch-D*g@@flTAr2k zAP>0Er=VAzc`NKb94}oWhu+mUT?3|&dlawO*<u_kU9tcz(U-0QlStFE^abcm{q|Mh z3)0-hatd0seQRd9@@0Z&?rghn1u|b3SyBh1WO9`HuJo}hD@yRjzaG2e+1Ja4Uezy< zgB`fN>BJtSu?zku*p72d2YQftXGPzjdCp=f^rEwPnY~+|zRD`Y8Lx=Ok?JliDKy8K zE`?@0lciA30oMuSRcNL&UJ5;@56Hnb-0Dx!7;-gDB!gz_OBcY_V$&%K<XpkFJ(s1) z%=3ObjZs(wdu2x_g8}{><;+Uh{xrp(doEHd?BkKWHfscSbop_Mw1Ybybou2JwFYlH zeeYF;w%HkB;glcW>yr6Z(=+#8S6E-WAMAN%YgfgJY{$ulzEMB67Z`Y-agXFRaJZw# z?`n~&+122V)A#<aKwUdsr@r}l#rlq25nJ0TjLmjGF66!Is`y^ySEtUekQa>yO~-Ea z6Ia+=yC0;?xc8<)``WSKX>5;Qf!Dc|vxJJ2z6syoy<QVGN8Ec*Zk(@NS2*CCUE~+E zZO+s!+TF>aBko>9g`~(e==^-u+j6`7vbw$j->Y6B*9=MLPj9WQ_>!0DsNCb5nYS~c zaNPHX`|iN=b5*Y@M0uy{3g7uM{o>p)fv4t7UA?Xn&O~f&DEG_vbGqN-Ta*|0Hlgrt zxeeh3GhoJVzgLJ^_M?FJeu3_r9Xz|s%C+;4B?Oe0@5sNI(D&K*di)vp0m7Li^-<px z_c_9gq=1jU=`8m%>3VQxfYi6l{Zf(MNoL=aZ<YIqy{F97(H*0Ab<)(yea?QH`8U&s za#a3wygIL3BmXi<`I~8DYx%0~$>hS~a!8Ig;e0|>FW;JweJ0=ot;#pd{p40`cJAq_ zy{0eu);Z%=g=4e~uBUZj86TemNl@?i4RL=!cxR(NL#v^NIBbhH?Jlp)+o`jy*Y^Om z277YKw2r?wf18fy57P(beR+X8+s;&ZQqN!?ZB^=}-QpVR-WStyxPiK-x@qa$>G;Ba z-{Vw!>>0a09v_9twht)ho9FCI=<BDQb7x~VhF87h>tSAmS9wr>#coEOuIk{MQsS^1 z9Ren3+0?Vx&-R7orLGy<*3QXIdQ07WG9YV8KPq>->{@S=R_@7jaax)BX3}12m~nY^ zYmd*Bvs{SoHbxbRIu|Wkh=*R%q1UnZP`_bLPELt^xV-mVzwI)OB+%XgdOb{&?EgeG z2>)O%P6Tb;ebUn%R;Rjra?iQISAG@yaFo}wq$V#)H8MQqSISDYTrx7P0|scnQHwFz zHUWb^beHTk<2{SLKPvxvSSseW>fDd5dd6Sn_ULT;3duK@B(uBGxkrfddtXhSm9D2l zR=3JbNG>Bke`Uxj9bKk=M&QPLvRyV|_uHzEz)=>WFet}dFbOFzj;8<4zK=Py(ef#I z489V@6C+TVGq!~zWtik>UL-UaQEyIXvU|`4G2}?-VI7f>T*CjZk{xE+!bjxv949V7 zE^-HZ8`<tUjA7A!wwLCiCRPPnaD=z32foFEoWgF$T#O+nK>3>D1ol47_5^S*cS8tK z4BxPElgAM7368gAB}yR$I^+b9qM1BA#2QD)Z3I&5dbI)X{^E{A&oh~@$Nndb_gwNm zrdDMQdZ`_HVa?uqYm3N%{l3_8SJL3{xgPJ^q%-pqN<x3B)cX$U>ih{|@052H>Bd|_ zz1pC61nJ&fUA@|ncQonooV;Fb*gKB&dd|9`cfvb?^lt7%L+^-pA?eq_d#6qQE|!^_ z@Pxgi-f5&Ca}y1{AH7*5`22~mN)kzFJ}a!UrTF_^^4qCM6G3rn;>ts{ahPEeq|Sfb zoBd&npx(c{Sa)usvA4YVdt%Sf*57-_ywekR*7@^`QT9^<5nbMyw>9iBgXg+n)3*bX z?$-%>D~c`W3L{MRk)lsEk>9<83(O17fHml-5Z2!_kfWh$$;J-sZlwZmUKHdgPAq5b zRg(#Cl0U$zx6ppD_Nhsk3RO@Wc6|$P7g!Dc0X5%8jD`fO5p`~nHKrh*nvBOlFZ{MS zuxtO-(_oRg8PE&aX-4ja*qmi`Ar~D*r@@=JWo4Zw=EPo=ebHc{gNr7xO$fY!GBp^> zY)5^qRiFfiN|$sZXVZu>C`*6&AJ#|YinC~m-Gq~#C4Pk_>o@+x8bMAwlb6_!aqVY` z^H7F<@;|I0<e;-?k^Kl)b(S~>4cE8(hc$rgaAvFl1IU-o#6`9M$2u#SVQH4_-i?+% z1YRnJ&ieuGKX@1?4g|)a^?ITotXjA%h1CzSaS{IjYjII$$urQy`l1!lJ7l#p?U7=l ztM-Zx^z8M!p&<#|y4NdYLmi8fjU|Y_16R<3Z3HJ^Y6_&`3IeBqBCO#4xgS6cx++lg z4kBn=!OBt;!weoYlf;0@O!S8ZXfUf7KK%OOBUbI7aF!V{DhfQDk7PNLPuzjh4*aG^ zzS0BQ;lxTz7VR<ojTsBex?kaS!v@1T1Jod^3>7u{pnsHgsD3BgF~)W%$G8v1kA#I4 z72C`zgaOljRbIvBCxro1es>g9+AIu^`tiKZnty&AFzI*O%Qx^;{MNS>JFm3{PoKW` ztJh)k{f`UpRG4178N98>@3Pl!bNJ)FPkseO%(}u)etAWw>eR~>;0df#|KxYR$g{3* z-0!@XLEyAl!NP6-aSCC!IsBf2kLK`j1;y5PoM|j9tx&nPE%<(yUzXRm!>79Zm_;2A z`y_tJMH_;rJN*)il!FyGdseYpG#zw4_Fj_$bsa&<vG<--%og1bIv;cIam7T@`5@&O z1-^!hP6eHhzW1o2ugEh<Ir`qiiZ?~uf~JM)1AfQ7&Y5kjSO4I5)GNj8-6QpWzaXy& zv#XEP-}@c(I&8N8Ou&q9wR^OKXRq&#yw-%iit+>be<cJ|ln3N{Iw}9C>Md7H_D<*J zRo&%o`N~ep@~SR{DSg`U{DP^cd$e9fO28N2>+T_XyQWP4F5i}aIYIri?-lpm4xZnu z+RKUg%>US#pLg1^qucjVo&tHFd@tl3bM)-?J(m~g==sgm&7I)TA@<GAGfe2?mBR=d zrB!X^03kcMue2PS|2@8N%s1Qphy8h(sj~uho?X5vdD@Q3UA~zL5O#F>vfV+wA4!ED zeb2goBRonf{Ewew3Xm3#_?~g^ChSTo9QKWKZz23hEFAKUR)FnMV&R}~ggc*bCQ%^- zPP&KW8<I}VDp1y%2O&+*nEqKV%o}$&HDg*+{#RbB!}PT2ALaMCrwHfIRPCciXm9JK zUFQm|`=)6{-22vr)3ke3KK6`5;S{Zc`a5=)L!p$$r?Rm>><cGp#nd?L?ue?l3d(lq zD#us-&EJAKX&>;Jc9~nId+PN6Lf%Gu^$A)&m7+b}P0OR&Yv1ptUF4qn51tpPTeY`! z(=JdIv<|PLQa5QUz?#L?wl3uHA(*Rn>O2LnSFHNR6qwzqd!JF|OjX6c2&;0UPHo!O zMdK(;C7%D0aA4CmhN+0Z&i$@HlxZ`6@jpbxnm*;vbN|x0A7d)yf8k!%*%o8k$RFjN z*0~>TTF+N-Qbz&)I({d2r_TMTsu|h|>Ltu(8-;+NQ_o^P?+WOr1yiFj3JcPE+97HP z=H#w`cRn>P57z6ZO!m2)Tv6)vxt1Qd_PWRCYP#Rr)*mK)rQR7k*Y3$pdP?_XY*^bW zHxZXQXMA71w_ws)YPweU^U4>W8!n;i2|s)9l<vs5zxr#BPoc}cb@eHe*9y94t|~C^ zp`iWiZzk?8yH?J1`&@D{TRHd5WVg%am56T&P~+DUq$X6CjVtFA@J`<#_ve*v$biVz z#(gprWPjb|!*$uX-ZyE|TDrViH)-PJ^7&_k%*4?}X+2?5L1y?mY`OQNPrA#GwYH_+ zQ6Hwu=e4Y*-VvWfmv?J!OTEKBr(IsG!IpZ5d}3T4t+g%o4*HyOsaVTe>>cp=&E@J^ z!rHmn$_J%w>B|2o%~t+d`ZRrd<=jkVO=(?v$BNQS<sYTh>Guc8Gl)aBf(YnMn}P`F zbsI(m^bZ|D9otifHbS08&}<n(uoqJp!%Bdb>)=PoQUuvn_89EKB*d`dp@lYr$KYGc zi5MUrdQIng9r!mUAcow7FtxRO%=XYJ7$#33h_<pu&_gG=4t#~N8zh%wEC<P-5E{{} zIOutsf^g^s9ojHZhS42l@iFRy03V|=$l_sOgXD1p5DmmZGi(@*po@;>FnJ8I62)Rb z(`*D`P^L|RLZIk~9)c~H;Q>}L1{MP_pcy){A@WDWvW=htY{E=L1F_H~9l;QJ1R=Gt zYycl)+M<CNXsixnh&+V&U?ZppA7So91JTeh9m^r|0HRihI3)UjXtTlBg91!iv?vl9 zq9Yj;y+_p96g&c}F~QNIQ_%gI;Z48{+$MzF3*Q-{pr?5V{RgDToHhlyuj$bQOvAk` z{znlVq01p<ny4l~3U{`Uq_8{Dj3cZbxQzubh5ZIiKO*@KxnfRFVRxWCgurKo8dB^w zy{wu06qtbPSpZZ2OSXaTn&AoH9?kHlqB6AQ5pp+tLkRsF<h&+1flbx4Oklfe5);@I zO?(2Itm*L-;Gu~}BwcW<g=7-K)iixdE=A*yu)5%j!Q?LZ_h7mVl59>)W|K4p@oW(q zI3oE9N!OG<0Y1VP&3Q@er)WutWFDe8A@d0^0{>!8Oky{pMIn+oNQ`Fq6JQAb-kg`n zu0!)eB(sp;G)<p~en3uWYCU0nfVY|hiR?eo$sxQMNU-JyA?rQ-FLTxz_8;g&A-q$S z?~4y54c1+`TfB2FE27eiR5O1fqSBLeZ@y5dHtk(Wx-lOhRGacHC!L#D7ph6UOGzp7 zeUH^9y$cfuhkuQ)d{b<hBpi<D@h&3mpEr&$=_uZkbiVG&g!k_x%DlW$?M|_tLReiX zFV>!G3$J`xtTETtc%`g(!(3hCm2vOfM2EU7{9@%fx9~~|NojDa*!vs_98`*{bRiMu zC&Emg7b_=CKU5p@PD>noc%`KH`>b`NT1hc1N%-(eaWNzbGCUP)@~n6zaeOEu)}%=x z-&%*JVoaVCPbZcQMZ}mqE*?+J9GZ$YX(%2{JT?>&ZSttNC((6iD$3-cLZk}k-RgTk zcpoEW&%x?@`@N5l&djwv>V5AWNIE%}^{7|T6PvVuAMXsLTJ3{1xKFL!8r-YaXw817 zbm%6zLaEA|cm`6XW>?Ibf_a*d|5JRq-oyk5Pi^@&YZB&QBC-cP)jr&2eSz&XVcE0) zR@!@$^%=I!gct!SRJ(qgd`HQ{n|K;hpq6|axTEBFll2LvyN}lm)7r<2gIw4mvIBRk zd04YwD4BW#-7xGvkr<}Dk0pj7_L0Rf$Ub5mgtdib$97S(yUi+9((>jpAZNFb?LcR> z4_2U)TDujyMM-jlC4tTF6?MWOCV(CLnbPt;UMwU{?ZYkBDD3+dmM!~<(uaM#7)XNJ z^;@iA*!UK}mffJ#xQ`bNiBdasi!})A*}}46KUBKDPoZY}l?wLJqaerBP`7{&u;wk2 zUF=#V#y)x^Bv7sWCh#6syM?}seIHrp%=!V|(&J5mH}qIj;59wL3gCsSk^}FMcbtJA zU?tA-EO`p*p>MeYbR)Bz6;*{&oGgtz3ANKFPl2~^;xzIXsHHyRC+k<7AdUPPYV5@N z4qn0K%7NENH)r5Gn2%%0S?)MS8mk;>%>)#|ncfZ6(&tIRb9#bh;02P%6!DQ-Ofnyd zXNq`86qC$D8ao4GC|+MS3FhEr3&3-vx-+i}iqaQNf~k7ENiapvav5;M;nPIL$PX!G z2^7$mEdkGvawpbTFcl|GC67X7dW<FD39?VIRRD~~6{M1f71n&&BG7=Wb7IYbkvLi^ zc@QerV=Mv>k%dl@72q%AMJMts7>WZ@Mg7pHdg32IEi%f9JOduaiI}2y&}u!}BSmfs z%;~)lYcpam#L`UC1392cj{vV~@Pw>BIL};?0NzELhA8HsJ(@%zOAKe3OX9&2v@{r) zgqWJq<H4Kg_F&)(#9Y%d0xUvT1+(tJY3B6P;O`p5$E<cZ*<2C_7HG)A!8|mhj<p9( zuVcN0?+64wLDbEN-4G2;UL)X!wyOiEXwy2t6|GeVP|&D4RvR1$1jZrjJ0uJ+U4!1p zYK6-W17nco9rP}Ud<U@$g3x4zftl#WhX4tU3I-&Qbqz@as~IjcqsM|wbbAmm3YpiS zE4*uPu^BxEJgqR10mG0{4M{z#9xhP0xWH3rk04+W(y2kOXZ-~a)+E=n9>8-IiXNn+ zmje~+389AQ5vv9sZbpm*51|_aS??hCv0^6h9vbDqx(hww0NjNJJBX&i9Rywv7=SBa z0)5cidLlVcfh0PKq@WuP&s049G(FJ*P>hr~0u|6GJ@Nu@11WM8eFnGuw*t9}EJy%4 zpnLU*J)kiTp8~W)9rb`7P>&$`2IOJIQs5$1oXpydq&u?8pvDfOaZnw{k^(tcx)jL9 zlBJ3rz(gs)#ug+4WF*;9R0`GA6Lo<qI9f8x8A)^mN};p%tP<#iy+{JebjdOx2}_>@ z*hs)p^buUeij#n5=q!Q!6-YsfNTLyN7E4P4gwQtx@;nfyc=st90*A5UM4%p8O(4$! z5lAvgGyuNA(h^xSU^~__5qJR2A^@|%QKZa)+z&Ql1&Xx}^aKHz0S+Ps4&--0AX4PO z+6NU|v-Uzo)+{gRAp)xc4A5px1Aa)B1FILjj^!l)cc5AX#n4=lfCH-wOvdu!f!k1? zHR~-HjwQwe*P)HNqC4OrEblaM89}yZy$0R1SrdRE60m2z0?FE<a?lPd>ISqiEJZ*H z!hwrWnl;M}dPtWw4&X5oF`$Csi2)RbE(Ty2q8QkK1j2z_=&}{-Ik>!uH3ldn#bH1e zRAR+)f{LwJj!-RKpaeu=C7r;m3XuV@pvyX}kH88-WXoy-rHc2dKr*yZhcyCBD^wBd zG1!hF{-;8cby!2dAVOrrdIVNsh|xee)J}&r0CXT21YiJoi6Gjr1Rx6|i3E;7rP@G0 zP><m4VpW55jN}w>09wTiI{H4T_m8Q!@?%Vkra%6yXz2N4<!*D;*Qv;rqUJkarQ27y z&AhLZGd~lXZ(CF(Exb#!I{Iemd$ByQ`Rbo{u6-{~GitgVJAwY`+*~l~{^zHea06J0 zUDV(ni}HqVA@ZHg=SSVXj{mW2$iy{qM_n!WN#gBNjC@No>qy&DSL*Ug3g%;LLzma` z_mrilOq(v((H70F?aQMnb5Ge8l7E&~n$B8$e6lp%lwvWOx-{5y#$trD)X@}YG5pV> zuqoPN=*i;!rU;9{)Ww@kC%^vKocij(EGD&O^w0j8Ip*Whnu9ZMnGZ()=%20;b|#cO zy!}aK()P*Yw^2tP-t4{j#p>4MHz)Q$h;eU@VXdw|oBeDapYnHL=gy)(qdsr*PwDW> z+gVsTJF&$o<+Z2x&dd2x6NYLj?XK9J1sSuS^cIp|IduM#7aR3SyEpme&b(hP9-ST6 zc$oZRoA)p0eWJ!UT)952dcm%ZShDh5Z{9M;wt7tE_;Ra8t!1{!jxiK<xdj_z$<eHq zz+5tMJI?gcT%siq(mn}@wi5vweka}YbQ~3?a@Ps>s|L0O61uFe{Ga_qR^hhLTV)U! zf=uL}MC(`w^+ZuP1{lOI$71Mq>{ghv%BiObKQq5vy0O#V^5TcfUzCfspQ@FLSifDk zx$9&Bok9I_;kt$QG1G$c)g8BX2#nlY;?-NqhwaZ7m&5enGSdwAZzRu;zRP)kC8;-+ zPY~c=O_SUokUU3xrFlD()E}3(5#aNtvF>ps&mrFrd4DCUKPtaNfX|tRx&K1)9Pq7m zHz0L<@NLW6kf<&wPb0jTF%5AabLe>QTbFnFjCyr>FyY0t>3(ViL3xH|V|GI1&!!oi z8NI*VIGq=+?Rl3^*Y2p`S7TrF(6(WApE12hr4Tx%XnS+~9MpUG7qPn&O!-uh&@oAK z%;~XL@8r|8JHF7Yb1vJfzu^aCPxjDu<oMaE|4QZSc1+L=F}qKj{!V4<c6_1{F`v6> zx;f+FrkA*_ia<SVt^Sg4s_j|E-+|p7XPV1B9d63y`h}Zjad(EBW^y-#o1UYB*6MBi z)lD5^v{jWC4AX4tl$ClbU$&{EgfHFXS;AMr!n<g&oYP^ZiQEl#>LUIs=0&XOS?ZWh z$0$wCy=<%gls~L!6=r&d%Gc=_rcH1+*s3@3pJHA_n?_SZbvg!Veca17>UI3vm={r| zC(-vV8=w0f?gJR}gL7PM3Lo%uF`J`I>C~Uv=lf|wZtJeX8h$utbEN4Z>SOKm?`Zea zdsfummqt0fcFnCG!)T_IO_|*IZSN=Tq2-r$oe%Po-h9&gXZaNw`@Nu)zVf=Fw0ga* z$7kD`+Ygg_E|j&`Q$Bk${8rR@N-wUv$xZk!;M(g+AIFTIpK6_@w3XLie5^Ar|5STZ z8f<>O1(RsWg6@!jY9AsD_TwGFaB7@nia?Daj<vW=D}e#V9Ud>-F0xb%Zpi;1qP{bp z?f!dTQN)(mTN0yHJGEy-BxaRvv_^~CdutIRcBF2#XX&C=#cpkGMM90*vtqAMRD1vP zee(N1xn8gLlRU|JpYwU2bDiq~4Hen^MT}X>yih*Jqx5i>=rv367e{9xlwK$W)L*22 zfi9ymH_@w>ynir<(n(R7Wa(r*t4ly>k?;k!q=@?hP*TKtfh{hgzd)~8()^)6lzxG9 z3?Va4SE}6$Hl`m0N}5IikJk>QqoN$o@LffebHK9Y*`@QSCFK%2YRT{-W<xqgulX3H zr3bhKELr}ITKR?VC_4D#ye}Q5H~1T{V7V9N_zT}sMEv8tC+(+){SBD2T!>mZ#W%)r zhTL|FZ+v&N0sr0R`?wN`6WncxFu>3={-LqHlsTf)UjsE3ef)#im3GrJ_&xZ;@>|sH zQ~b8&K$P++sJ006hki%;vEJbYddjjXs_q0-Rpk7Kep~vUUhM^X!s20YR}0=;i`0NO z)9P%%n`%9n$G$1BnZa&Z+zyUu!H*Zb-YXA~F4q#6$C54J)S)eYv>;@!++R9htE2%x zT;RF~^_PCF)jT&?UT}Y}+)p|)2;PhzEI`a)*DR=Q;AVV(f&R>3S%Laq56MDs7ej^M zI#=lwEvp7RLhHdCcEy5oca3Plx?4`PVA^#iTF~!8i59?MT36{rt@C<(cfr{Y?6L)O z@R*A<Mr*kqucJk($7^c|%mE4unD*92EOvIFKGY%d`7CzP;v%SvfNw7#??Sz$L$sP_ zvGW#NL2v@TxnN)yx+i87RkI><WY`I!lQs#{*Fl#&IapglWJ#hTWDE{o(V$=bm>7Fw z_NMaP>sMKLK@E9^>j6wkwL}gv9ZXJMeqpi2QqWN&h)~cVSN`uf=%t0Uht`8x?2N@& zP)sAfzTow4xrg*rLB_7LhqR*>U=}cK(Gj%r8DCxCv+L|G{ZMOg1~6$+9pv~K|30r_ z0dpdi=T*8XmE#q&DV6P27X~s_dlvwj$SYr<Kar|bQ))+Vnxnjx!$71w%mO_{sz~jy z4ZUHm?hW6R%2Ok?p?{hydi#aq(Q37A=yh}GJmyFWuZ9f(4dx;K_W(&(iw?jWtNFHJ z%kp3?04gjvlg#<OOUY7LueuOWZ=QI|U`ZZ-3%Vqavt_V2kEsP+oCjT?Cz^A5A8kk_ zs2%!)y7Raf%16zayt_7}!o5m2q(Z%vLqOVUTy28|dDG44C370@qhQdNJjy&~Un*RU z)QVm(iKi*X7^2c>EiKIty!?Vet$E+)F?&+}YPi{iXlYjS6))OgJRz@XzI<28L+wE; zcE)_nD<%kEpZ9vcd`D_3FJs<$N6JwR@V^IChu2CVzB-R8ySgp)P;IaUFlk=x<rs*k znzW2y0;TevmAXmgJd1IY%6?X-2{KiA2Lnyyl#kE{N>!>T6`(iGP%g@vAW{xygg!v3 zNac{KJ7cEq0(X<jQz7M}f0`+}_-WwLDz*9Obu;KN#!m{bf`x$wa}Xo+ep2Zw(J;KR zif=x)EC-egpu&hV$&BBn)Kv=mtWF)&n<Jh(Sdzn^i!RCG%pEMwVai1p=Rim3iDsNG zM=nwcDu*hd?i}us@=-G;mo68n@MoniQlZb3)j`@ST=|0qInz1lB{LeABdX^|4rLhQ zEfuap%0n-h9X#_>1GVOSAI5k|`K#da&~sF+)gx69A*W#&<0<8?VwH!UF(W?nQw7!M zyc%B91l8rFXgGjX@iqAG!}K0fk5vwH(Nktk&+2Z1s&br%>D{I7snlu$7SR_LM{4-% z+R_3M=xx*cmUWxZkJ4_DgB4k>RnG2`wz8XH*ov%>0_YJm^R@J#Hufi4HQV_jk!-3M zNpEuqNftx*oN*b8`C<YxO%$3NGMihnrmNPxBqL-uA7RCoOlU3r0yDSKjTT*jz#2Rz zwE(jNEs^HZ86=}o=Jy<5yGX{#p4S6=vS`4Muydw&A3JVJX4#isWPZ;s?pUKpRw!JH z1Z<ijAA>J4iP<kZ){Z3$6*eOP8>TYt&c~9u3WE`VpQiGUl{Y2-QFs@DEziEy;d~^C zvj?AN4rJ?htQ|^b*dLK4(-eFunMef(N@id7jWEEfDR(=RWXk)v^bCkl(4YVf6|PZ$ za0LMh&_Dq|$?VCd2?MN{{%u=3kc_f-Jj?9Lri22PP0!k#M@=bh&{0!{$1xj{F$&Gc zKrID81YpVZul>re%#Q4XcISP`FonTzz=G+Xz2mRUmTY3X^PZ%i0yZ2lXS!g&a+=wg zUDNKoEBRaj9S)c=9kF*j&8*9|eGEU%tj$hrhwex|Q8*97PMNmYcb#NbWxKXRw<Yf@ zG>2g)OyA!fYXMGXc|4^4EzS=C6<M|q2e(XaRF#jJ*xbu(0e;WQukHzud?PDiH%K-? z+yk}%iCGcVJ^tcJCYlorKonp@pZ>=j)mwS+rwQy{W;5_xmTk53n8}TMx0``z*%I5q zH4|_Z!cP(>8!hadc-Su~nJya*%V^2czP-`}G&T{e!r(xapZc<H-0o7(K#2#xk}<)# zN+z3dXNl9&V&Q`&S^T$eqW}`^AQOfv1kr@H3hFEQ@@`C1rk<>ZEw(6&zq;H<GV(6G z38*XkqaLUuJ6NCDnYHu)yJWKWv1i1D_8x6h=9jFqs&a2?9Q}BNT`)Pi8$-x!rG{3y zmt=r!4mDIvR_@XgG6`8tRpp+N9<mP}VP{On?#47`)@Qx0D)*3_%F3v6_K<Xx1v~;w zn{?b=`J7pu<@3LwekeQm5HM*{eb@1G=6ifYA?5^{XHmKd&9R8tgl1dRg#k^a-vt0C z@a2W{C(uf1B^~r88fB>*1|;Dzh4d6?k@TT9dIPO)3Eza~Nt3kEKhcVoexaFY=~`{{ zIvQGlIfCM)u>rtAJfe{P2%0V(9gt}(?W>I~!^1QIR48;Nq4_OK$xy6CT?nujFRnRQ zg6G#nm*6=y2aEAcn&@IYw2+>N=CnN8fF?*E`UAW1+=b<%XeP_94QRMU=>{~^LOBGe zEzPApSb(3_Krf+bERTYLU+|Oy%sw<+nxutZKp$B61p{00-wQDRtIjwr^c;H0;wT76 zz&8|NcA@UlR@BKZnrPt{1gyuuDp(5x*5OkM5Iax@=_^`;Q|LB}u|Qxo-lG7q4SgWp zsW~`-{%CRgX67WWCe3*q1xtmFqZCuu#!z>S9o?W=$WqPB@3`W$wE$=Z<eCUz6NNMe zYi1I0FVoiip@oo5VZa7TCe_&=nhO~e2K+?H8!Nj(|AD*{#+Ku5r8)aSaY(R6<^WDV zZOs>&fjn}Bra^pRnMjBOEVB=HLlCfv;!cH<P`t*a>OcfU0|qpNT!R7O5CIs_00Mwz z_TXp)0V}A#DQiB^D5Rr$W*3ek09Zzyr8tkGC@IiU6oYY$3p57OtOC@60E7WcsK3Y+ zwagCOL8`MiGz>B*1Xw`rAsy8+TX4iwXD_H91S<rXLoFazR5KfKHL1>?(B}}e5MTy1 zf^<~Pti#zF!&NhDafzu=59kxfxgd55)q?E0nOTK%O@+Ec??ajeu@k8Gx?>kylj$B8 zi52P27fcoDwig3i#y29%$Bb?CGB3Ekr{_oYP#|x_B>oJLjS+gl3oc@ML{!f)q(E%> zlIcylM-<{1k|Wl6Ik0Xl71?tH$r8g+xc*Hyi-L|BL-l~?Tm$LaQS@WRqLDp^kTl&f zG9*QJ><nil=5U!<nhw1fSTW|-iy=c2bREf%I9)gy5~~X)L!xyv&$#r(3@!(X(uJdX zMvYnZZlB?F#Uw77iqdz^6ARPV&Igu^4<Zl;kYHV^Ra>;!mCJ!e<4fJKUtAsOJCTTe zNT^un?}2&a9o^f%xLVS`MI!bfzGBh82WE}ubjMD)8q+^UB6cBeVg|nlei(n#y?u(? zHXhJbKIN)S$3)WaKpu-7UZAFon{?|=xT?~fBk8vx_rz*1P!q_9YF#Zjb0Ja#&P=GY z0cR@oU_S9ps?AK|7V@@QObc#2^|e=d0Hj<<U_OzIgsaiE;6_tJyvqF{`9dWPxZzY+ zFQ`A{wNUfiKzZtYuW~;~rYgJ{H<*f;NnAsMsq8acf2#h>Kv}A~R}Tp(=!v0%TAeE- zMaZfFhY)%&m$-uD^jsq%Sv|{%NG4BbB9h({N<;$HXk8(RLg)3k?$om%iOWc4wJ{e6 zMrgSnrz1qF$7u@*%rO<FGI_0yAa^{VK9H9}=d+26$P3jj0<Jxk><RUTga|dyCe9<b zRN(|%bLxO6)Qif23!P1zMb4;pHR3*}zV(E9LR^J3W)puP|5f#yXZnHcQw9Go3SWAz zxkK!QHfNZokd3OPpK%{kpLni4hujr<*MizMy01{T3C@+z+yuXt_uB-2;HwS5nebV) zqqdB0DfoqPjVHgd$56m!d|d4)vXQ>RQ7G4Fa)3SN82n1UE|hCH+1Z}{7@W<Q6Tmf; zY-5iYGm=qI4#lDPaBZkHBXNbJ5H1v7XaHA#vU&@uELpJywQ9s`hdBf%$!C(m$$VCA zOr^=fEr}(`+$~Hc$*e7j#mV$7s1+j`JNiTL3wgf~E<HY_Hq^4wg)Qa)93fw~0S=ST z+yKY&b^3F4CeJk|79`W#(;t9e@Ex|I7LCs2>w>x3lh^F%_rbw@wXLXmBeJ}5FjsSO zj~)FU*qhI{6*X%#EngSJ^*OoRj(!*H!l%@V`e9VfC($}EZPX(V4C1Ouj<D<52HWv1 zw+u`gHOOZMa(zs;wd>ge-vPN5Fl{4L%@N}WWpny*guJ<PAow4t+iu|ZAanuK7Q#Z> zQ4=?Y&9HC|0GEOQ1x#dwuJnoqZUpONff+-nN|$QjzG0tOtoecQQjQw9f3c`srgemr zG|&y43Bu+xtsz9EY2Co-QY-3Q#vnHs7ZT)_KTwKQv_Oy$Kyznba1zJ>hBE{y!EkVp z1Po^Y;)3DyLFjy@Vyw8u+9-ludc_4C54x6*D#CJFU`7$AW{6S5k(sj(I7AApj?)6w zs^Gq2X)M;f!BL>iJf=m&sZ^;Nt_{0nzUBoE0=>&)nn(PU0;}PguwTvBJi%Tdw>+j< z#H3WIDy{)rY)<v{dIq|dHxLMR1_|UPP9wUcXjO5aup#E<?qFNckKDvbM4eR3P230U zee?1yi7F0<$bpIk*DzE?!jrIpHwhngd&Uf}>mW`f-f<X24s05liQT^797{;iMNlM4 zIIctvY#3^bjh%CjB-{!c_-P0e%RJ}&mSC&vJZ5-9?Djb)nxiCQV9gM$gE*4FaYRQ9 ztQv~ztdR_b#a74?siIv};CMjc>`RadLzO1n&_x_dB#QcxCE`VIlO-^s%4CTcQ6O0Y z%W;Lm*^_V?I<RbbsoirR5y!E4%-NN25IRtpKn_I}Ca~(#j~dd8`E5`QlYwWPUlT5M zdiEtEIhMl*77Qt(nZG#O66SPz_9Oy1N~pp_hHIk0Uz|+|Z8|->5}q6m;R7><-$gS| zIU5r4b$WIro^mwnVs<2)IJm-5(}rI~k4`v0B?RbTwj~~Mkit-thBcyoC!8M=Y~;!V zB|ftJXy7zw8EoJ*V`*;4n25Xo5cMYRtvobP;w_7YUE(I(gb&`5L5fS1hXzO#vz*%| zZooD9x|%snSbo?h{)8*@#WZIO#hE=!T!&xh1G`DQV#%>ZmB*pvp?(q>ETOhc<#E~% z6IbEFa^)mAzg)SmL^5wz6Q>aix*?-CPDY-d1ZS7)A;OvEFhn?m9D)d^m4o_9yyT5( z%FtucuuUwA<Cib@k%;7lH*xB+{HW*DVHvE?=!{!>khlcjyU{ZOr{$w<%J>p@CRgq) z5yA4~QQ`vph&P6i(Hb``SMDVdz>@PQaSp!1OH0Tg#5Kv4drEk)Jb08i10Um!Y0RjP zdo5S)Au$z~A?NHN;m87b#54`>;9dEgQ61+a=j<-=kY(^8(<Ho_*YR`4``89i%!zm& zd+DZl4tva|cs6@o7^f-Yy8zCK*m6<&6Y)w$B^}hJ0g6L8jFS|L5v8Yy7cm}cqc#lG zIpCY(d5k1&)K3FN4!_V0G-ItcYTW=Tf;ke$Ghzcc2V)VU^he_9jL`uZ#*Dt&iDj`c zO(rUcI+G0eIZDamSoXRQ&fZvY&4H3weoa(KET`r`aV(Q2syG%ZN>4Q4<T%<8PhdRs z=j@K<7A+q&VB+Z75D#ZB-4G9DR}SISX5`WyD2ScbKrI>2a2y45eu<@sVD`ns8A)2G z1%m^2zhKVR*zY2kJ#l|VoEB=%V2S-Gh?5Z8AcENycW1QHLd_Tu+5Lhz>tkPutc7vb z#iocLcElYRuV@WS8MLvF1#(u$dWayl#UD_`^adsjKC<7wnK2n%!|pt;4`YLl>npOY zjp^TIbaWHXVkp(j_#R!%z7`-}L3d4rX;UA`2-eIXM!#fV^A|6qDkL#&=*zG<`-|t& z4GJ^;)R$*eb`$@H?wxRAdGsxIXFqWq16U(tAX=Y&%~w2w;mB1yjm{UAfuwVQW%Nbg z5M)}_=VpVF^m!Re)j1J#8Zb^nx@#~_IGq5D(|`^D%jk)w5oB7?|I51OBOb-zsGiXk zO%Y&P)<0u)9@VF?LPzx(7-L+-W9XVyIJM{i!c0s0e;HQPGCHCU*qpt^!{`Qum=^T+ z7#!6yTB3<m4u-fN9ae~GPJe-6MKz-_x`xf!Q~Ws{T8L>ze}utNHKQ)tmJzO+Q5&7e z2K5ksLU%5hIHljh&~-DTD%zC|>Mnktu30c~LjV0=(gnxl3y+J0iWkloj1@0zFZ#Fi zZu}`5)3f;xcfs-fMgHGzirAa~#Q*e@^$`DYZP9l>#7!LUN#u7U4k%}rG`mdfe`)^o zZ|G_NM>^*id2#Ea|EC`8KioOTw->g5oyPQT{AYE}f&Q=fvVTnv{KxP}4ENv5%l=h8 z@jt61J>mbB$zrL0JE`#BeF{h43z-Y!(ib=W8Xk%z{`Dn`#s9S;i(&pMk;P*Ea*@Tb z|Itu5dR|<f_b=;RUUnad#r;P<=IDBHaNb||f_!dV_=5E>-KZY@f4&=HL4Uc<IKIBP z{L{TJ7Wv=O@BRfn%3s_sj<y$bf4cX?0{<)i-9M+d_Lu7yN7IY8Ki#`xp8q}h-9MxE z{V(p6qv1vVpY9#8r~ftmjolG*`j7Ls@wDF8zlSFrpI!v~iQN`^^dIrUcv7$CukQ)R zhZi=xWr1QJe@{1XnExJV;4u5$)Q~<Ad4I<EP2}4>NTAr;-|F)To4O{y3|i7jk%@bd z0I}lVXLAV~x|+W_n>kE=PtPU%)K#W(Cew!^&1MqTb+7-Da1(p=++HPbcIT&Bx5kKH zp-KVY_AuB#XE@>+tWu*BPlMK8J5|!)XbV*wq4N@TKdz}yNBz#2Gj=WxHXCvB70Wnw zo$FuK7T%>B(-qzA_7zJzCAo^FoRXT-zD3@cVO-Vau7U)Jl*aB49JA|S7OtG8DT-ki zqAP!;wfpB68lDJejX1c8C2ynb65hWF{8$|uD3-MUHmrX_s|H-<<R|iKJEMWUG4yPB z)m!xCG1DAlX=vN-Dp7mo)WKCO?$p3lH1jt<EPXKa)`*jb=v45_4hTg!dlL7V{bMB4 z!-NT4+H;g`e^H?D9vxAa^Vgw^i0gL9Z2z(*ZB-djlYO^)RG0OaRa3g|Z}B<CqR5>e z35AhsKl+z+4|WWF#DY&9T&N%2G;{rnx|gRU0!K&W&aR=iSm^Jsv;FhBJEv9zj+V%8 zyM|t3zElQk|E%uZDXEd8G4kWCp{JPJZ~fW+AG+U8ts2v}bq7wB8aZksF}rjgVvm0x z%otDUHl5af=BSEv-lcOFyZ5_h#&|;K;eKaWy7@0+K)TtluL0?%zwWmuya~5yN!Zf4 zy&oNxJ|6yhp^PG0{)@jofvf}HzY>-{8XmGxb}X9zt2iKiINWssaxD7#S5sSmdHDT> zvLn&VJ%iBn!Ei)N!kP|v|4L|jf4F{2e_6QtLN`fAa6Xm_`?X}zlwY?3(h<Mzw<WCT zaL%t1by(-ih&oL3PDCC0c?eMlxPOH#n)vI?KfOErtT|y>hk2j0A&U96<e#qdi|C)O z{fobiu`rxzVRb}jXO1eN`|{UWYr>+=#a?G{dV4r|9<nbQ@~f#eVP0oz&mcIxIecIq zvPY#OgtR8i>dfqQ2Bm)ve>)G^6?Ofk-kR`3=ifcwcE%q%ePN07kR8z{zs_0`rfxQ! zQphcg_tLA}I2Q~*7~kdi7;Zb?y(M}_`{73CzfR-Yuwlr!w&KLwTmy7BcVM5SX!g%q zO%BuJw=j;0(DD(wK+(!$#RB6^Y8_Mh*Dye|L|Z>JVx-$2Y!uIW?TBJSQ{yk1uPtNV zo!0-1Sv2=}U@dxZ71%40jy|qgvl=v{E_S^-ew}Yz9*P=)_=#p5hvYMshid00P%pu7 z8A+RexXf2H`Ddp(htV+#mfjmGGeSqwW*_b*YBLYV615qI4T;*c!w_H5mp`M`)Af$k z^An0f`A5opL?eGvE35ADv<ipL@qkKtXXsK6Rl|1gTla`I?Zy@L^e@y~Q06TfaXg)u zu%LbPGg>XZHFSEo%u6)j_;p^woc7AkD{AS4(5B%sPf?HK`*{g7+G9VXRnzN3Uk{g2 zFGpy`F!hc&9y8@JPHT7kT)vrJ9qKde<SzQ~cp#T?QoH)6!_D;f!3`a;Cn9+ZC7U8S z3(=b**$cH7?56u~DeM!$<sEb<B9;4!k;a=^s70j<s+cXNgN`Cnw0{s`yrHGOXs{`g zw@-{P{;8$7=zE@q-mi%;Ue|)Q#~z8`_Y*1XgTaUnx+9VF{g;$9<9(lqgtA~*7$X%r zoJd;yizQ?c>_Y7sdvCCKSbs?{f0%JeFlShQaWGSuad9xTgN~@hxp=rClCXbp%-$W$ z-BC8G#kAPDArih&vLO<>pmfHrz0VoZUl2SUYP_UHvv~N6{Yx;VJ$7Fte4iL@yr6Zk z;QNccHTZjb?4F4Kenz<QoYvC9;VC;IxS>6ESHyk)R=Dwu7IDG%l)XOqRr~4%dtGo! zJ5{gOVV@?ve@d%ufpo%N9qiF=xGnNv|Lgw})yD;^&uNo^HPuezny@O!xTa#&>X_!; zDF-)^tjUs=wC{n%)vEy_71R+a<EAEZN}?r=82GY!)nBA=n{3Otp(#`4<S&xDJz&fD zQ&WCQ$xY;+?YFiG<$<@VsR<A_DbbuZ5U5|h>MN2ldFU#Vw(Zl9hTMMAkk%J?<00d! zCN;y7G<l~=n%EKB>J99M+cFL8@NNDEcIw7kLt0NDl{2xT`S;_hk4V&{LsME;Amst$ zvgX-Gr%_GHN64rq!&J13NX&LqJ-gO6lP%+t=HJO>LRv@QL6wuYNZ9tkBgO^Iy-5c` zT1z0Y%E?Q_Z#(f3<DBNg<Z@$LV_;2{lc&h@ZPX*i8O@PNhsLzJK-(#U#<beN#43n~ z$dm1}hY3@fEt8#})2aept03+o_qUs<iCOdg2q}zx(%(Zfp~Bx;ld;0zR<nOg<3>T* zn1;<LE{y#<wLWxHgx_q4>-3W~5TjgS>_q>F!tP_?f{i6@#y9>Rg@(t%IU8TK``0z3 z3c8Pkvo<mU*#Gr6D};<`Ku5Vk*$4c!3+cu*L<_nPh0{hzWZ{$%Qb?N7#uM#?Qh%ss z|B43pXf#<kVZ?zf95-S>7LFZ}APYy2;6m8-H}tjpi~NNPyGJ!xN3BBAbT`Dc8H@aP zG!hE^*EIT<G!F6&4}^n995#fbH)yo`7d0+NNWttK{yPPR`@*3cU$y$@HFid<g4tXA zzZDqn3Hxrm)aswrm>VGlu{ZjEEHK;^cH7X`>i?nfZNw@lZChhtL@9{9)*n+qw<G*` z<3Q7RN~39{Hjur_-?@NpTln5aji&L0`osQC%{22>A}q~p^(!pRboIVS!W&;3!GtaK z+x^j+Y2&`H)5-#b%UAhD63FWC{wtbkqrM?&W&XnXtHrRiVPDrYh`;db)h6Noa^L%D zWq!h$eFhq7gT4sCgf(?={}qk2eqVjT{xV<nv~H5RU}`KC`fFW<Q&w-m(h#fnsk3!; z&eT<+I%{efQJpE(iKtGW3L&Zk`>(hPC$65Uq;>nA2_!75Gxw8RgfXj2Drq{aM3pq{ zRetKKpD$C|>WKPI3dBeF<?5MG!lL>`U#D7HyDvEv;w>Dq+9Z@PufEl1pqAF`JCF+T z682dQ5lWa<pXuvVP5bQoHWlJ2?7FHhl<-6S-#%Xv#vkf^eG;l^HNG!XSKWo}SIL5m zQ|gU<B{$PP`aVfreJ*@=_3Z_6TkZar+D)O{4&0{D>ki*dp%05SlvI<&TYr#SYPY`l zUa*aOzlw^b2$d~z{y~z}^uHXQvyFNOM8zHpz3QkvXB+l*j-opj%3gd;VH@(ci835h zllh`_o{Cz`xJ0h0iGMjfV?!;5P}ust)i01`-ijB<RW;to*h8VD4jfr1dGXdIL#em$ zMSO`j_XR_VH|s@wu{Zq%wM|MBNp~ppqQm!$O>a^061l8)5fOVJ6wy(;Ar#hu+YpLf z{CdpR={<KIU*Jt2MRy?dV)5WNa#8K9qxKhDyZ2fo-M&!pV$E;lyc)Sf=@(nGcTXhU zo{;yV&u`?c+H^<lDcfi7@<_T}A(utP-^d?o)r;c4`=-@;I=D{RYP=&NySIhx7MCvi zCe<1`a3^dZy=^0@lBIWMUFR9LRaHF=$5oX*>Bd#%J)HuD{%N#w6M8?3nrGNjwIDdO zq>g!JcsT_KmCiE#j})y-SZ+=o@$~VE9aB{$lr*P)^L*m9>L-M6bZAcf*Aq3vu&yda z;BphnoK2i#SW^`xTyYahZ(MF-GoE#AU_;Kj&h?dgDtZ}`RDqsOzCuZ}`VFauvx*I= z@LBPORD)U0hE)Ao)Eq;xr?}VZs46>Q*+nRRR%Q-a<jLt3JF0r>VK}OK<l*Ea6w)Zs zl&UpbQ=j^kO445S7K)lB&oV5ko;H>cQrkS2JXgJhf@a^&GR&*~Y?L6RHhF&aT=f+4 znsuFJm{px@ENM(_@GSOR^$>bCD>K^{DC9iLPxW`D_7JZ$rhf7a@ho!}vYnltiJw%h zYmEM!`oZ(QXW5ou)zp*rz6y8O7G#C{la{_W?jP;D$8KJ?GdvM|H>Ka+w|Ud-lT{eo zn0t!7Aw{rcil)79<EHi}QYhPq`>mF~pEqHjaG`A9+->ch#%|vDWEIMWo+@tZTe}Ii zGdvQ+O}%XETfHf6w@SJx{Armim|ERQg{k`iY<=!BEyz;$8}^2Wf{E3>WWo4qE3zP_ zT8S(eQ_V%DcF<`8*m~SAoBNh;UfOma2*yp3{i&+v2hDwj?&M}<AyoyDZuBPoC*KXh zplYrVwy*A&cHR4ekyA^peG4}!)wp1`HupKZ?mfZ4sp8hYxtnX%T)}Kj?rnD6yMmrm zPg?tCZho)E1+g`_=i7Df2tJ)^vX9*nbeiI9MNZ%RT74ME_Q^fKE_Pe+(G;--IeD|D z+BcBxgS(A+S)ky@@o5;F`S<{g&1}30mO9~fKNtDN?X3kQQ1I=zdO`dq%%svlGnM3) zXaNZjEFM40kKcf4R(5KznT${8$Nz*WS4L~34!N1-#;?P!S4y}Ez8Zg>k1TgXSwQ>* zGsZ*m8Oq(XbK_TG!scZp7{7U$uV8XTr#hR_{{}9-ZZZ~hBpADSHxb7CKh=B&b3-DG z)*Rw1__88eJyma9JwLw4jo+foM=-L&K%Gr@d|HJ~XM8{<wbN}WCw>XGXVyIeqpiH6 zp8Cb@%)HE7Fk*Z<FMa`bR1vL~+UhoKUgjkjF#b9(eh#)$aYZea;MQbb<|*hgem^gM z1~yg^t(scz_S(G6LvYG1!`#V3&~cn8k6{|tQL%h8wc5?c+{s<=;rKu<!z8S_!r^A> zdzS{?*b{-g!jesaoWkf$f$YNC3s%$7w-nY1mvUXY6M@Q6#Yp6)3aUuyf|cZg(WRpZ z6pbE4AU9Ohiwrge@<xdf$e${TMZV`L=+T-8<hlw}C-z7HpZ6B*%*X{+i&%T>h(L3^ zs|2{IfOQOkXdVbUfHkD6Mh$W#kTDt(!BFm^9TvZ;BCJzJQsLJrI}}JR>^x&N`rk;X z*F{E`j-<k_(@j)i)`=ymFz6T(RcLh}hXOAPqt8<GM%5$Yi(L41%MJu03k}X#bw{U< zS#?GSj#D~amO|r~RQ9yHM^tEwuAHTOaXHf|+ZTu!oeqy*P&q1${*}_|GObg#ClE0D zIy`<(Wu@@SuM~nylTO*LfXC?l@c0>(vBK!nlzNxfI%PWoQ!W`gPCEjQqfFrp(<&W> z%O@$-E<QR=+X4?q2f`R8RjLaePEy`KZIF)*6v+Fh#7!XQpJ+FM?0;%oSWSoCHn2`S zEtjVY6sR0hv_ozxqq3D+SV>PY@^k?LMMDR+$PH!nYy&reydk13@~5(5wr_I^dZ@-0 zxvmVAi}e%04<$CR4n9T5)A<Rc54~(iF&^@<jW2r&d&ocqc_)%Ge|Cwh0QR5SCf44k z;t%^up7K9LmOSNr*jN0N=^?WCDO8@0sLYvt=pv9XbWqRQ{ghk2Y*d*kyVFG={GSpR zfzW@Hnpm}mIBok1o=!hNE-BMw9}-x<Jf+CRdJBXP5g#EJln?&#C9t+W{Vo^lCE!1l z@d!Dmy!6juBP-!)gIuhqfcwy`N5~mv;y=EPto2V{$*s1q);&#;GxQK}7@~R9H>KS6 z59u>&^-~WyLwA7(Lth{EO(=i-$0{&o(y2z&X<P{=0vT6Q6j>cpx|{B>$)A;05|;Aa zsaSNC!e7xZqr<SNgiMzROCdVF6kR>$FYG64Gi)fyh&Ubd=k^b1GyGJNPgmOH|EK@0 zc6_<hEm5Z<eq5SFXv%<-zUb;9e@5CNnLn-HCm;pc|0E!#&*_FH!>SUu2!y0W_0kPt zMf9r&up0Ku1hB&U`2$!D`k4Y!dYou98CI133a=jUN2NK0q;xq^G#HkZ&V-#tl_<iH zQ6+}-=neju{w9A`t$rqLh9#xHY0JSW9Zm-#PW${}{R3JI3rc%w4#6ocPDBx>J$}Fb zL@kCnrG>QRpp-_Z8WE>m{^$KDEruDTku-;(lsYHdbc3LjTBk%2$PWLL{xi+^DW#UQ z&cKu^s^~CeoBw`)lV<#c())OlChMewhhTh#gR>w*g@dhN-<IMHwz4rrn*^LD>vxBI z_U-`wH{IeQePl&M0+%K$(IJAp+n>Lndr6q#je`ffp+A34_gCS*bww$*Za@C4?hF{~ zzYb>XkTFGQ0+$BsfP*$W-I$^%TemNNT0F^>KP8@|o?_JfL^!_G0V>$HqR5>P?aH4J z@8HTG7jNLo9~&>>${!t%Q)kuh))($8au8<k9#v#buu@Oa?G_hiD00{lh%a<l6X;t~ zJYY5S;SY{?aN&>crV;L=ezL@q)L1(lcGwKP`9r(E3iZt^?!;TEv9>sTV>9&P_w9Zu z)Hka*7f(`UZFKm^X6VW9)~zqp_e1epyp?Low&Fm%k}7Mh1BQ*xga0wLE+MBBo8oJ4 zvQ{}bv(dTp-|MasL{2C?e9?K4Z2pBvNjCfPm6B}w<^G?zH;-*D;<glSzlgp_9)JA$ zZz+YZ{0sk|II;r##g&WX(Z?ZwOON^TzZ6rFhabEC1t0Uh{?c^WTmJa|-_j$#%qWBN z<iW>?i?}rf@QW+w$^DP@FM7)!tN-mHDG2_Fp~8z=GGEG<Ta;wPm;0A-D+-){R)`9$ ze@cl8On)4S3iN-#L<QiBD`dXJFK5Te-H*@C<CYbeUywHVFkhCAlXbojkCU~(@Lygn ze9ZKBWkg};5`4h-^2^!pxJ8AFsLo%>?T^WS!25h5Uz&c$%`0q08T?9aemw98yvOJB zCFFP9tinuG=V|ii$8Z0DcllhusQ-@pq3~~%@1Ltb6#AkhPLpdMzx=bZ&1e6Gd~tP3 zp)soDB>CgxCx2G9`0jprJ7cshe?Pd^jW0I{=f?Ls$k&bULu*Y#vPtW$d7~})Tfx39 zEaP^s_F@9~%33-9H~Z5MK5S+gwF}sb@#lLLRNKrlZ0Ed3>(7_n`nrK-$j)XDJ|-^{ ztkj&0YR#B4TB9y=9X7F`T0<IG`t8(bjLPg3XN*?md3R%c`I3Tgu6)U@x8|;v+6m9Z zmDq95TrII<orx>9qn|Nak*C?E_2qjJ<lDre*Qz*Yv@CzI6XU}d5mf8K7Z!wb;frnk zTF=sHH}@m1fI5q$_2GNbdN6CWD1R1IOJHfYTid1e<_m7EnKhc1CkH7JSeos6{<l)* z-Rd)IG%G(HRNKh%*{*z-)|1brRdLqnhkSLb_-yaAd`}QpBTJ24#BP^6pIz(HOz)(8 zLlEvW%SSug-LB_+cL=WSSGVO<=i%dW%Ja12a`N+zC%pf7S#9#ZC!pG|Zpm4AJA@^V zJ<3>cr0|v!nA)$B<#fH5Lz71y`7FeY$*FpmgeHG`^kiY>h!^kW5Ssk&BUH=PbvY?- zu1(%dLSoz1H91l5E1SIOUdtgY#st>@79_#7t+(`%;sTr`2b_02<V_;z2P7L36a$js z1o41m0|IA2vOWRTcD4AC_`=Gl9J}}O25&q;rp>765$8h8sNCrsd{pje&hdaZ#7iP1 zS&LBPpZt}&wz9I%8$}?uUR{(s^(qNYZhN#ezp}?0M0neJbzbhLmqc)K)1$BRE4#d2 z1lQK9vvQMOB|*szkBaA4c6gr=WLkSqc%2FS{~JDad0h!g{`4qhzI2<{mN4BCHz`-= z6&;xTfvWCY`kc3_{z*Y^#RJz|qlyPla(mxA_&CxvcH{amJdpQYy?#OO<_$9!D@~TM z2Pq@)0N#>%nu6Yq8`>@;4VIAyw{m-b-hjE_G+4epupMz6yK%$CN`nPmU!32&b^|;N z_v6LYzs&Dly&*olLb@UBvh2#6`mB=*_4i>ceGg=EjY=Qf7=iopCO-3Z<&A%4<;shB zrsT>S^Nh=t7h6vQW9fNtnbW&`<MLaV4{uyOS%szR!9h-M;RAAxQQ-sD5!%rk^e(<G zyg|>n)LFhhxE${C=8ddh%IjUYL3xH#V`+OZH{9jL8(3eQ*E@G(?HQLEOVfk4;Vw^J z&-y2My)!qyKf|fAG(5;3?(*P$THiDh<H74x&zWa5edFu1!<#Ih9s~@>xbr@$C*~SW z-l%!zdz0nE1Dp2J6P}NsrYX$kp9Uz*W}liUNfY<(hZ()O_qGFk!t?f%dSu+DtjS}8 zizL#$#11fpr})!ZMBIj~=Ht$DW|L3T5ph3dl^;i+Ck@>*3yWKqz5ZBYljqf^*AYhL z_fQ?+Bc6;;ArV*0?`em{t;!0wmy%@p+e;65lI=Usn2kQ6C`rBdWIAX`vh3|$L|Nwc z7@{mgJDey>+YUbDd1)VgmZbMdJtD5?9)Cya0Z*j8!5Oper|Dy6olgVDNuBqWLgSWX z_u9HfWN9B?IZOI-@2tIapC{teba>o??2&!+ucX#{)9s~uJOQ6xhsVvyuGnAsl|;DL z)Ly#F<MHW!c-)Nan0@qVQvJQx?WH?BQ};639d~#fKQV=0otEveUp`5yzUR~KxXttM z(?HnON!e<9hm)lDcN(f=0(tW8mbmfc+>LhQ$-Z0L!fg8CZ3FYfo$_khK%UAEigreu z*HQPBT9`?9Fx9jHJVhT4Y>hUqtKTzl<H`F#v^Dy9UGbi8a}xSPjjhr8b!b(L9}oUR zVgvKw9Yi&)A5Z#+mkmkAAAD@%%I?4(UZp~{Bk4N-y%JX*?A_WX=H5Hv4|_}Q@IN#v zxx@LexA+dzL!;t5&}v%Zb<TT-E<6bz4(ge^?{HU_j$UWF*XhC&ez(MhC-kmT6SMXQ zPTSssJJS!0mafy>J0viFxkIUn@#YEtKzwAhaQ)z}FM+xB&iASqFCPC78IO$St}op^ zY-A?fX{d_v<Z=IS>ygpSb>dy$M&|lEuc}sBnCtGORKY!X96r!I>YcjYc9-;-x%!Sr z72KWY!H2I8dnc}cylWMhG-+K^=s122RsbHqrdY5tcI~dE!zOo@MM+rFckAN96$*Dn zrHszi&1*<YiLfN1^~=JQWA4IAvi8-DYcd6n$K1J<1KL-AUX!;}+T{MH@~w7Ux%I6= z$0Kf>g+yr5fVF<%${}}##UYtHt<onT30e6hAgRy#hUV4PYup83(luVok`QJ@rFsCf zVWms}GrW>NfZ3puDIlrGnnv^L%C*1wD+k<B77igvUDgzhtIOBU@*PL7QS!l~*BC6L zH@IUeoBWxzDw(veE?xU;u^gP#VSP~GxX&F{IiPiQ;o6>sLvT`yHL<{PkK3;@QS0j5 zwFQgiprl6YngYjN?&p;#t*bNFMl2kHlIpB&Ee(Q_YONCsz&qSeD$g|IrmnSEbOt6> zQRT$J+uZjnn>6DluDwT+G?^!@JOtw^tegd}R#@4FeFeqqaKE6MywT)7&tIWu#r#&m zW!(jX;zYE#LnBRWlMG7;n#`tUZ=FjyuNDGSK~rYA_l=cKvB(rbE1T3V=H&6)_)KY; zr|S?Y?g!GR+<XGp>Ep>g5}-0Ed1)LO(wP7c<bGGCFVedyV`glnN!67|NrMM)mr&Ir zdN*XWtpd_w{JCG5DL#iq573t}4#QDqO`a=kM<m2jBFe@;{jIQr1{mn~9{;cBb7JQE zs-8{BTb25z9)1?**UB%HN&N>094kzH@4j6#1I5H<Fuh~QAsY7f(-$o!8f$@qA&Ql7 zm1yIq&)~!KGc$?9?}oWjmXNL{%2uk54Va2+gZ*5El6Os?2zH_aZ>mm|zkyDanfq9I z-j0sSJyXszDKNPO7#_T4niwIeZ)y`2pXLgS$pk2arhs^pCl&&?<+wIU5OD8A9o%2C zPs-@>X<S?r4DQZV1bMHmVE8uvEzG2a$s|(3M9I)up4JRQc1|=)&jG6%7H@s7OQLCK zU4OndFzWPX!jki&odh$^r~D&*^t)q{lX#yYcb*IkEv_ku0sC{mmXSAB0>rJ$TsQc5 z=b=%iK4)CH)vYu~KX*>sY(<?cw{h!_mXZwDVQ&&ftuaA`EwLNd>MEyci%P?vE9~!F zYp5KXEWBPHzO{EvyQ6Ypr7$ymYm4#BW{Pi1?Dl`f4<BN;If`E}iTt5^m;F;rRpY-U zf%AkBy@={-&)3eV_G2&Ue>{zs8l+m2DXOrr%`dGfx;dWQ3UvD%3pGO(#e~a%Q{jHx zxU!eRy{j_fsVgKIVdG_2?o?za70T|znER|`X6OWx5M}p;<5pxi=OqHU6K?Uh#Dc*i zCfspI16S@?qy!TnNxuw*xZ=v4Sazn8)NOSp5VtJDY)oRjx-4^6JWYLemkp>Sby_VM zNT?@i<qZhN6<E>heomtG;eJteAY>%Q++nqo3g<9dkU3EGHS+zHXvrNUvxPKJOKRr& zD6=Noi3PJ?6;2{pHKmq%a(k5B4^QHZn*k@mxLwQC4INaI>a1*y4OEkAtrAng9^6mL z&ZsRVY7eUOW>S@vYbw~C`+ixIVBCbvdtK56VA9g#0#jk>d_iAfX?xMLC4D2ZbWGYt z4|f6hZkZp|MFG7j693afmPY7tT>yxd5m8;opn{^MOZqpK9#QaPP)^a;%bs;<smQJ) zP*za}1@Nz>Srm9o8mh;24j8c1j-nlt7LDvW1f}Vc$e<Km(izsM=*cCf)Dn8pvm(u{ z7fl8w=sJ)=ak>U%P^_*585FIHI|Jw!>0kB~SqevWjY_lXS)E~Zi^MPKi!67}F@=_E z=RHf(RIT{~P_VAU1}M6S=CWr|`cjwl3(#S?6A9l3g%*AN-7_z}qigjG&|>*5621rW zEqeL8XI6SnmvjnfwEP$e-vzl9>HqHeA^lC)>J+;zJ)o;}3aGWjMAGhn9v2;4Af}|7 zbZbulRhG_?wA-M2MKu?Q3F<0FXA9OmkJx}U%lq1ZHO;#}k9lKmGlSWZx~&%7f*m)1 z?Nu58Di2n?15;`NSb<9NXy$u1q_ovY&43Z}TQfaBrC@5fW`G$eTS_XYM!2VVOX|9s zgd6Bp-s?F;xjD)U><7xo3z?%YH`kuQtV#)cmU6vj)CQ&K*W|d)^_1#M@vD^#Ai$vH zyj#?q(^F#3U)O+z%PJ{qOf&=FdHgV*1)KYMoDEog>!;>#K4W{#X=dnGY#!yEIj#7B zqEsE4uwCYqQ2I3+uRQSx#F~vydPcs<9vAMqTBi#rT+~5hV$LSe`iFU-8c)$XCV(e= zRO-m=rtAA2WBst6GILfh+EE*aJkAK_@ty+n=}`Jr2-JMt6%?IE13OgXS+mKqiMIZc zvo&H96PtH1i&&I8Q>|5-C^RQ~g46xp!$2X{1?F*$*jDpt&r&Z?fQ@I~>sid)5=1H| zah84#0`y$*1bL-Dp}dmXkeU&#Z3Z-&fAoZVQW<>uc_w}!7wZQWMj8`qQr|>Lns<1d zR&DO)$;|cyf}HdC!;?r-J*r%dfEx1%^Iic>9x_B<j7yW}jd7yI=kr98d5CA}HdlGx zQVb>0ByV~KGig(9?(9kH4!W0qHiwyjn5kI>VkeDX=}LwICQUtBFct5rg>|muHi56@ zeK&z0(#a8+4eJbfhfQFXe96Ukr!|O-9ry(JHd{Ti$8pu>rMyEJ_O?N`cqF3CG{qiH z0hVObwD)XCYFA!dk6;eCUQ>B#TY3!4&n^zY4p+h}1@n?T-f!E)MOA3#Cy^v!)?(4` z#;oJzaiM^3rnb89afqr;oa^B`MEaBVo^sRs_N9LBd*1=g-?)+_q2|46cNT44Sc-t{ zV=}KF1M%630f0eMggxyMFg^Qa0M<C$rwvnP3TvUKg1sY2l3$^O48+RUh5&j^#antx zO!+l26<lG?=}px-w3c&rh5vJ=jd_Hae<;14sEtXV9`8TkvVW4yZ$r*-1S30)DSxi& zcBL&r7!<6?K#aT+85kqaMg7TangBqL>1A`zvgD<0*8wmto9qwhGCgSSDKsTFBMMDf z?P*6P=@oo8fI;$HA%L%@mv&wIz{u>S)}94PiaahD&}KSk*R=->%r0*2nUh?T=L!Zi znYP(=?E*cspS1SONPd^c1pyjN^X<AkJ|TWcR%eULD&2+e03C3BCI(`pK&o9YMjlS# zvQ0h{vii)_!udAYRR`n3bjLI=f!GGa0yn^#e9Ho~{zshn@?;si9w$JJX@p(ZHqb6N zfxnmgn8o0l3cX9>FlTlJwdvPQf6rRS!0@GpAEqI&l733EQNAP)`_c4?-O3j5ZuZ+; z>Z-tfv_~$c0_~hjUxBvG?b(9fFfSd0+DPLx0pHR27F_|rH+b=a9x@am&7}z-q9a6C zlrnvQg?Ms4{RULV+|eJH3sshm)?oVn{=*GmHvTmXFod?TfR90Cq?I(Vs2mi^0_+FO zfQm|AaRa7HEvo~J@vblc67QPdQ;Jr!fRms=b4Oob5?&vMHN-2zuyDLM3~PYrgkkmZ zsC@cjw7A8}D3o1#*##Jnm&r#InOg!erO-1oM-G4ven17=Ie}i1kZ58=q5r`*)h7(G zXNkys(JaoaQNeyi(^#x{1EcWdJbE!~JDMz)InYW60cZn*p*vDmYJe8>H*>fb&=>zQ zuV)rI#|c6=n8$bm-SM~b5HnDsl&>nF9{tLEMH5hmPBDjj03Gl&c|B9mHYw6gKsDOK z9PSQ$fd87?GXec5Wp(q8ERTDI^jpTUcdF)Z-c@BLw6wlcHjmp(-vTnJz;1E`Gjt+9 zYi7N9cisGr=AA8@x7Gy~Pc`ps+LT+T3M$pDMT=*VZAz@8ElxDDHf##4eJzaK-Vaya ztsKk0?tlH;JNe37Sk}5tmNhyz$?yH(JFvN=#+@~r3~L>Wt8VX&tu-vxUEd?Em2%(v zzBjT~vUubA9$_tK@kX7e^c}nTQ`h%!Yp&eqM4OljX4st-o0k>v{H;-&1ncvhe|_F} zy}K|oQl}=(Q?oZN@3pPjEaF_QcfQ**d+PH3>pPmFi{tOhHZQEt%_r1&7Hz_<H_fxu zsL48*nrrW<@yy%!TMwG^sPW9%cw0B?+&O=>ZsTcPYyMd^YsSXSy2LzCHS34XQ)|0Q zaNcu|>$UH?3XfEHrmf>Gd2}aS-hX=6R<V_vw0%w2ayQgu)aH@3n|anvo=NL8OO4#E zt!qf@2mj|%3%fG`c_`L-@qcEu)FeaAG|D=G<ZFiMUnw?Q5P2QP6Rv+mtv0#dr=ue2 zw;&c`4i|}Il~6H*i$s!fqAr-iRh)kI|J`tzMvVh>V~*b$rx#Of$PiVrl5=h{L|H8Q zJaNd_EDW;_xh^KL$@S{WC%&2Oz|*jtcKfHFrcO@4;q86)3bj+RJMwwyp+eslpU~`T zOR?{t(1y2n*eiUR`mlYn64u^muTV85yM59X)?RI|AgiRI_$n6XtnnJ1aH#d|@sh(1 zjiy;?areh|`#awEwr6`Uf;_`oVeNJ~3-V^(Q*Q|FC%bi&pgUo$HjCBY2`ne_p}{{4 z?=uB8^4Irb<ocLBl){n+g~soGeobnAvXY55TXtmSTkXgDDkb;8<l{+>g2QoRPLrbD zs{DvpE8%ZTPmZQH>o(;p3K9;rzil|^bAZD5WZM<J-IAL%SxdVc<bRGi-fivZfI50y zn$Fva&e^Y6EXt1)K2UbSk*&x@c@jaEvP&D;s=FvZO89WRyAshV({7jNo&9q*>paMz z!w$b7KScO&w3|r@vZ9{6@0Alwk9LzHTE!Qu`w1*#vnS+Tzlc`hcDvLCd1N?XHhJ2< zuR6SQmb!Y!k4kU|c-_~1Lo=nc+gTU6xBEPzmABn4#XGx{AWNG4d=_-A!!B{5+R!_@ zgz$klYee1^qyz!NT4~$uu-<&d1eU|yi{l`X4!fuY`EJ68gWav;Ag&I(h=pn$@9aW? z?C9*xv!LbBR{F(iE${3Cg6zRAZA9ze1^F+85Bs|-;jO0&^6doK{oStc*1ZM!R>Ft9 z-O}*Zp9}KM1lhganDEwx1$hGD!|twQc<bbX{AYsf?k+sMb!0)lp73F3x240**?Vew zR`N6`uHEj5_tezv`bkh=yPd7~6mPa+5>`fbe<^2HzKS5bz1tPmTCGeN+S+{|*8K3X z{A9NK_@mo5+ii2~<;@hP-ekL<tk+Te?u0elJg)wp&2qA?8QE<1xOyx*nX)bz*^GEx zP0VJYtY1VlYd@|Y$xc3AFQxc-cG%`B-1|8cckXA`VT-qyAIko4w4O=vv!b39?v-bo z9<3)uG>bp3?r&b}9J?5reEj`y-Q+1Bf!Lmy`S<FrVuKeFHz>z`24T&jMorF3famMv zg_c%rUxn<|p>^{5bBdo*ShL{cY9j@{)uA`!brXu8T!(Fv0$*wNHgV`FdHp)Yk1MR1 zq1`sdzFJ@5-pbHb@;W@C`EQ$Tf<kst_Rzul^@!$+Hd~AWUs1OE=+K*u^?#53*g9-O z?BzSNw?~GaZmcs$G}An;{*pbkzrGdTd}d$Wo^86n{%?5mfqivr_R!w?`|xJ6eRXrT z>E3!$c=M8dH6eRwcl~*I^R#{S=WNs6b(8RBqJ4FJ_R!Ay_3-9u1->6cH&6Yr?Y0gI zeA7cGCw`&rwss19Q$wFm{M_1YA1Lrm4h5e0J!rSRvwwHHwfDlyGmHRhf0VNzXSP52 zCfNOCzK-H`CyZdTSoJ-a<z!wnl3=!2H5QyqnHP*CAQr2L!7P;diwJ`DV%11+^6`8r z#mlqfQSScTpRIA{UUnUi@C$N7!5@z1GbvtH)YJam@?g`W`J@Pf_+nLmFw0o$33=Wx zf*{=fD0M*&xqo-H^)q?iF@o^_C_3+Ww)X#zw_0v%eS3Rr)7$CR-m~bWt$ACu5|X0! z2trk2v~|&n(H1dc6K)%k5~Rk7s^S_Ep~OszA`!$0F{=IL_s{F``22g$=W#ygea`Fo zdYu6Tj3vG++Gb<sCNES8K@tbRNeqpf;>9A_P2*i!$>C`}>W?qT$klsEbH_@fZH8K< zSTokKq$2?MC3mbOnzKH$xfLo$1}AZyOQKQhGjm&^t1%>A8#so6?}!$owqD*1orxi> zw1J;<rN6aq^F#Lla2!{f+1khtWdq<Ct~8@HiXS=wfTOt5Gpz>v&|Ux>&Xt~S-QEdp z2EZX)>8aMnozQmxIDjiX*&4MInh$_|xMSNh7m%cGhDKeq+RBU&l0;@`5TbFMnK=Zh ziJ^gyR^!ZkMv!V58n|fO@@QaOqbn3|M?hD(sEy!~*rP4HKs*_QhTyFU=w)2aeqb3H zR82s$aZdYzZ^)nu0-A-B+7EnA29*%dOSsX!z;H4s8-k~}*ldmV#Wrd~@%=7A!#JP4 zKqoTjEkvWwC1`Url^1xA40=P5{*K$;4ZMM9JPUv_2<QqI91YjS8V!tXlm<X)1a!HJ z8V%RD8>m7Cy@cRz5zu8WxFMV+YgC>WcoNYl1b|)<q)T1IHb(ci0+q<1c!)-)3vPXM zc`NXDGAI^;Hz%Nrm8KtwXnp)75--2}FV;f)#kCI6c<p~KihnyI_Vm;7C!o7IN+zM} z*XwVceDkMM_Nl%5K0TR=R<dRit_`3V2)@HbjEcLw8#oiwxY7oCPLTeF+vW%E0iZa7 zG!xgz4`c(N7=knd7sU^p06<X$=^2~>Kd=`7g%hNwaoanA%>XEbAU%a^+zEUKfC328 zlenmzz<dDYLm1m0y?|`&hG^8esI80&AsfjM4T1}fGdhQ8Y=UUuUDP<EpAn6<5DlCQ zZkZAoM|5S@*ul_MMrtFNBsQgm=Zh!1p&2#SF!VAeXWzGs>{bm!voTKlzHi8G6)-dl zBen1Qoa|NtLoZ=Q_k6?2ZrO|)ijmD0r7xDK&8+D+3L3`v?D;y8-QF_P`;3A%DXBc) zdt|paFzN4@?Oop+2;y15Edz$GFv8I=T`WpqEKwS8OM{`yjnrtE#$8_(vfE2W%`F(Z z%m_Dxv1C!?dA=tRL?OWK6->I+NNj_$zvZh$c8h1IcN*c=Da%{Fzmwf!88zlGbg_{d z6=SgLI~zkh&aAl#Ll+sTty6?zi9Z?Y?M65*We!Q)VW<N}YFx@^Byo+Q-fDzfqm(0w ziwyN<BegZk3nY=jP$wDTRw-Uc;uu4{(MWBTauZ1$WT+F3a4VD+vfE?W*f{3$j&Bm+ z_6Rmc$1H67MgeZ_u(2@=cH7qnaC3!?jbePZeVqVTyp}Wv)yVD|=6U%b>a+pZTu9BR zO#d*-iQV-euI>R;{i_Uz*QFa*XAM;!mI>l@osO%!2~{7G;cRtn#@3la)dyuz!>GnR zuK==ZzLxYNDr(Qm4pFBLt?84o8Ae$OR%F*V5cOUewau;<v2|ym>UXuqDrEXMx*~X9 z28cRYz%^ZKtXu}Q(e;4mbs1474OQ=w5u>4^SY5h2FDXRb3BWZGqJBfmxl~4Nqf3bA zb)M{+0IBJe>8GN6cD<y?u5l3c&oU?~s&T1nc*|=crcMN^Zl;C)hKgG1s^9VwCc8e< z8e^gs_+Hz9Ypm871BK;#EdZ`hwZ>*pK76lHz%^29Y#Mc$@6`pkhG~sWp%!+$8Ufc} zt+7cIcE<|~xcY02O`v>symA0nx(sTiOCDMG1yb`tMr@^PA5qr^si~Dgak?fEbq$c3 z8W}N8R|}%922%5$|9%_riX#{TE(HvnEmx|FzdVd=+=sX!2&#a~d#=+mGHD-DM0Tm- zI<b+K`;c_9OF7qxg%sY0#F1T!xlT*Su06<o1i_H0M&YY&0iR<DnoQh1Zq5SIX%BJ_ zLAb)i_3~ARk(c)%mSmUL+|hZYFdIPdASPs&3<l1MD^<aNzX5=F5FN5hDg$?$J35EN z?n2HZ2$FzHG6Q#$D^<o1970}Z0iry}WwOgNhT0eYG8GxM3)zbyh%<4Y`R}Pn%U#Gu z3}L6u1;N0XaYw%)la_$fv4piYmnRI|C%))9u#Y7CVBpC7R4y=yB=8uxHohnqXh9NI z7`PUG>KaghBz$M!KJrD^00fdS&A>JBQ&#~HlJJ#*Yv7Bn0;Wj900URgU*3nj0bIPf zIpavF9msRQ1<K8#BS*I(;eZR6n=^*Y*@k!mE-u`hQKZv0sFCbkLl~{1TaN_gup2+~ zKpqIZGgQrn;Dn`HZ#P=U;s1u>M(BOKM%6g{T_}!5x8^m9#^J9+aa4NWR^xIk-WaN8 zO&DDa!tQ~5$j*6$(S;xbc4K`kUKOf#k02#j?SU*2_)AdSe{|8!Mno+B9N?S@QR|`i z(Sk-<jb1#E0ofS^QR}8#(}HqXji6Zk8K~NA!e|-2Zz#x#)p&sil0e{(1J2JOxG!|k zp`fH)&|wT-5~_BMkTV<Pv<upf!JmMtSrA5x>DJVsoTWzjSp0gM^9#ag5xs9PNNN{! zl<b^9$YBPJ@<AJbGm?<Q2+HAuW&!7DLe5N(6CXqaoZ*C==^!aSr~`0@5pt%2Mt4AU zfO8NbXEG>f2UG?)`w?;`f}D0h9#FM<df-aqX(awLMC}88nbWw5z_&ouYUzQT#$g1$ z9->x5Ulx4HM&REw-j9(|xABua=Kusw7jVjBytjdkE`xp8`1d^LcVwq`FsWs5)V^~* z*$E4iVuKC#onMii%3x9~@b;c_4B4p&Cba~%WaB4ear(^n10>Ncd`m1&8E`_woEE^B z+4yq7iuwL7Eay8|YR~xw*(nnyRY_W=ffsh2O%OPFz$uOKzMB+C19$B@s~~Wv0VfnJ zXAYdi!khA(<q$Yg!08{x`x~&FQj+QrIA_=SC<1qi`TiPgbQZj@gx8J5i89|?z@%ou zT}$}MEoXKNZnMoP5hhhkvR=oxY&lQF;Dnf}pGeDG{3a5&&rl_k0=f8MByN+T+D2Ml z!`CBm%M8^PQs5f?EfP1!Q2j_+Ud2Zsagz+yCQ{%k{s9s<%usD0EwA8pk+?p_dk)xg z$2lEv@`5>ygN1jT;{YcJ%!v-}+I9{BoIo(AF>unhvj^bh409Td0uee9MRs&rWRWes zBeKYb?gnIFIS*ShmZKgJ5)nlsoIFT1&$N;*2V}6KZW4|mim05Ykm@bdLV8(b5ryLq z`D@EGkKWuiSjlmPRBxKTt-Tdf)XTZulJPz20^wLpQ4i;O%U}h^7}7(FlJ`gv2!Y5l zO{3qBEV@m1Ys;96I_0r66eaFaJrs4q!*3|+xW`{ZQKt#VSf(%Od+?%8&TezYY?LTr zWXbd&`YgQ2oKAxmnbGCiB#Q-Aj7x)2EAD@-n?9rOwPrA*_G>$%ivSMG<1eo1Q+ji2 z#!S?5?XBpdX3l>ef32B@(PLUOrlKZmJD(Iaa{l%BYt_`BZqk}D5!F`<2P7w=x@#{! zDf+;9=CQ;vh0xh8lJuyi+KQ;68qOn+rDXxH@UFm~6X{w_3@|OI$_g;etAYoZ;zsK9 ziv-!}MfS^)e^<jzl}6av_9>C36(heF*|Q?AS8tgpQQ499DR9&5Dx!%Jh5gw6H@Io; zNWQ*OKl`Emo#DtU)p{mHcSqh7+6(B&>MdiXyCX6BN<D1j0{aTKc7gqTq_ka1xM^xt zmcJ=#<bI)mNL4JzC}W=~kSr6l+h>%rj~Cd_MIN#HE!;Gr3T~`)ZA7Qgel~L7R!}<` zTSfFUMO0<^nI?{O=qYuur}Hz4*vIXE3o}g^*}&K{BX_HaMoIwtyWMXv)98^pjQva` zt4hyEshR!7?zd1=*ho0WekyXjifE|R$gZ^eEyUDsL<eI(5m`HO9y2%|`K9U)L!}Sw zSi7DeQ;(6^g2A!KhN>(Br5d)oT~DB?6Ez@Ck^?t1zcT{YHUDh{u4(SKZGtX#WShLF z-iwo5hTE5T?-vd)y|(b<nUqsc#!0f^7A5n0g@a3B7AeDU!;*@<!hxkn7E7BZS;gLa zg?&qR5t0jV<&r<xCU2>)Vg&^0*^*;ylg#4zUBx@p0G^^1H6V7dd`a8l4h??V+;77q zm3o6$cuQbxE4)cP&nvt^{he2Moq8`;vUKU3#S#@RSu($5l1x>JwJ%vZWsyRK@0i`8 z!Z*$Q)=i!kU)(LcO0C-}{Iqn$Vu@=KPh}$|ned(B3VvbR(l_&^HIpaQcSy+@_+s%z ze&NTZPv%RjCZW^-q~sKQthi#Quwkjxd}+nRm#Tss+%|bkl|yEX!#@`v+bJY0JvFc9 zn7C6X5E*0e`r^dxLfn$8d3B%(zTeYhuxh~{WM8%L2sDUYsL|{hj!@OO6J_$Q|AxmP zJHj^Cu|;usAx-m6q)A!-QIEl;2(#RgX2rpUzd?hG5&F4V&58pH?wWqX5$d^)&5HN> z%iISANdX#nB23=&KX)ITk2t5XM2k?&o%c6MM|TRO->pI5n!5tBFJDmCyc2Hn5)Jn^ zNk%*RoBV^;^EY{c{=?toRsYcj#V-p#+y-YOeyI0^nI!eIiHe^W*4+k+7g%og#S7w^ zzfmKO=feF=o}m8_#E35Z(CC4g#P!dD2N@AObQVdmZDCrYC)6aWzYIJ$9q}Fg2TAec zLYqcUh)GDlCwOo&;ww6<NwHy}P@^Z<#HYVmGbPx>yZ?8v{dmMD^j4!HVIfi@CCCKa zPXpPHMSMWR8x?U250$F7jca-)A{Fg=dLtEWdzvE)N9SEl?XmO!p8adv_}?BA^9**l zb>=NZA#MKU*}r(kr9FFQ8B5`&nVm6;);$wu8H?e%nTaul{qwe_84Ka6nHSl{***DY z_LcK@&;GS({JJN`OtNy`%rs*@Tv4f-7A~t)y<v>X=zOYZ*>f*aV8Xd__BUF%q*BjN zxTsRfP`HTFouP0crN1_epJgOIExguaVwO=dFMGCn-8e4e)>B1`o(Z_3c~39Auw(w4 zNyfMEHN~F6aG}g&PYc`UcLgAsacs}T)eJ`XW=3LkVe9<3QuUf~WKaIpjOp;XjAPM- zr1_6Z)vLzAJ#JSsCc}p_5}y<j=kt`RSB&ZNua*2(jJ<kJUX>gVCuht@71jy}CqIq= zkm)s*91E|_@Qx~cKieRg5@n1@t_U#BPfiRl&P^toD%y0u(^njwt(5#N%DB2yN6DTY zb~i=ORFO9OkK}KW#w7w;$bKozIOSG=F{YEIXulYyodP#0yw_Q$XulA4Ng^e}IIHuO zzGCm}ZOPvvj59l*>lfbX3|GvknAMk;6o{lBElf70!r%B+GSOJEYxbP{VA-s!ynWg1 zDfz+D*%R{irL&hLe;W!rm9ph$oYJ|Wr`S0wXbY!?ok;2QGe#y?_!-9}>lrJWb^fk6 zSTs8>Xa6niNXnLxV*4ylA_ZoQ?4&8!Gs4!B;YNzBvtK1rLXF{_ZxrmO!@ebN87h)y z8zfRfjDtGwE7(tl4JE@36^XOi5=*9v^|NUbcY=*QJC7<1(!<)4M+_8eXM-i~1R1+^ zcE}HohSelHZezxnAAb6d!1NE5M_}5Ai^DMI1aG!cPJG2a<}0)G=OWLjs$Jz!f(^4u zxVVoQVm|x1xMft_&i)~>1iNzRyJb|+-upvh5vG}-$2LN@zx$D1$^84L@21h4_=|g( z|CrZ*E^ZjTj^AP#WweL$FjnoJJWMxJeP3c8cIHr-29r*x*j2dQZo*Tz)vm%*xY;hp zQ@GK7l85;s$jg<OgZ=!mxNa05@4bup%;fD!%))km_)=lKAIemiNJ8S0(Tn!xErqM? zCx1wMgZ+%3=VQps^+R8-5u&~KK!O2Vi}&VZT9`wJzH3Gi?XM0breTcu`5jCX^TVO< zs!?G3y#t9!*kHW(4yK;zo^WdiQ^$OHsJvqIsC{ogoepb>@7%`Vnf`~$|IZaQ@28K# z-ai>>Q5c&Eo=mTrc|0jmHRC=>Sq@cStr`w}7@gIk@O7qetvk{P+j@S6!V0||{YQ(! z&`j)FH{7VGb#__;I}^NS1vkoX?U<%4getFgM;PU_zG+bCo3UL}9uB<}{YSIHe=}xl zBEz9)SG&WFGM<e18>KxN`G~P>^_)&GpSd(inF~D=o#=0r^2FQUDCx;9f1|`F7yXT% zKgs&2aJ}`$G^J!lajlygDjx0l5o6JMep;erW@RG1c;@>A<y+|bidC3V^b>DCL8$uC zX$mv+$CD9~0(oX-)e2@5)7mjbnF(Ea;z&|xnHgBM3N;FEeLh8*3Y~s3(xlKdQ?qIn zViX|gUZqTg4m@#e!f-<Wd!pB*P&f0>sz|UAv~^?Bjvo5)39(TDKl6B1B*@66wQkaG zGz0|eL}2Vlv`CCCsUs3&LvjPsu~QFQ(w9RXz!DLdk*PdJ70<AeB=`UEJ~v^<5E$yz zQ%2R6VIiq35<{8tXDDwO=8>A)D3w#LjH*q;x1qOUFuhZ^ThawtEU;rSn4YQYEtHBW zV@5YEM1Ce&ATT1!FpYFS5_6m6)|NgOa%yIAC`5dwYAEEyjPFp$@fqczkkhbZEW?+i zJvgRwYPUIkHbfLQvSj!VX%>z#C(+;-Gm>1JMDf%K#^PYe%Cz#j;WN@+YdSMzKeRI% z15B}Il(~jaNzJY4Ga<{Nx1ur4Q~%8<uNj7sVp`LuLMB5ypI{oN{+&@?HS{N$w5Csl z^o7C!iHVTz(2GwnAEutoEOHDXBzB7gJ)|kLA_`M8^=M{s+0dEvuE35H?CM7hFf8!R z3NXy`g$Eeoh;{lHYvTPPyXD}&{otkwBNN$!$&rQ?#NUhTSi#r*woDYL6On_0=RmeE z(L{kV@pw=KZkS8V*H`GDcsOV^9DK!3&jfRq_@+>hS98v9%UIzqF-BjZXTrF^u3|#F zz-~TRnvxuDnChG5Z-^q^FO(>sP%KC<n>bS-Q8po7kX|}*yufZQ_y|QL+%UlxZme*P zs8eV+8@xY|9A+5nOY}2D_-6SDT46i%6gnoR^V5qajt`22872@nFm}w~U0<S+0x<EN zA_6mvCe~r>W`bG1dPWM(6JIDIp@uMGIL2-&c-)t0sL(i3Nf8M#^dstE>?VS1iRUqt z@!&7Me;6u!n24ox2N`-0XA3A}!41Ay1`0J3?v(C8LnlH&oCGJx5U?5v(gj3Df;0i& zZ38sKk!|pva4$|`ImjOBy<ad)e@*t~8I%)F#!0Y(ETHpy1%ihpIe9q95L&TUFhGAq zUfeXuf_U#0^wIAkBo=~{p?|Oq-V$ELN_-DG3q8g*$b`)A%HJUb@Z_xs0kM>Fx;EL0 z7IYdA7(r7BH+TiN2s*rin}qYcf*XY2c?H)A_hKbV>F3Cc)F4Ud{E|U3K_%9%gno*g zObyy;v!VuVw)w6bJcV4`Ex1ak+bZ}(KSEyQ8pIRWNC{@p4y1x#&_@3TEUp<mA-qFM z%mgh$F7gXL(mw%<s|KNj0HnlJ&={m*r=Wpe3M{S|_!3l*lx>5@1UY2-c+h9av7G_} z{V7nzF>ohLAkxQz>LH2S1vt7ZP!(u^$9Z~Csz&`mc2%Q~Kosm~O>OsZpeo)f%HSRD zh6jZmXzSwGB0oHuR%;b$P=-6|L0Jkka~WwC93+2(D2svmE?Ld;1EcP>zQcj)E{@Ie z_i$zIl<$ET@KzCmz@z8xl=;AO_(fWvqRYI$LArCNKyYpi^4+6XKz8M$>a|wk1}~lA z{szg;j{XM!IP3Wvym0=*-{2MQXoLKh(I0M<*}xw)-C+hvI5tuK^XR%8rFfL(W>-8a zUMoTkJnjPbGkD_s$4B{3qd)N7FoQVUESSOw<T+=N<l9E4@!g>YQMfWNWjgS?^B*Mn zkE3n)?hu0zoF|wv8Ti#Xt4Y3Lv=HANY~X`yu1yX$@W%ZPwi^%p<h<1=PZ*8FCkGjT zaWs(KSl|a|c%wXS^kGrewth|ZM5Mf3b#J7+ZFO^G!RUyqsU3FY-(ux${eP=X%+uKc z)(*E21+<Zu#mYSW(&|04^rZk(ht3#z>*@)!^u+*Ohs2nI{t;W#^o0Obhl_0e?CN|o zyULNf#mbxdud8FsBq~SDOw;EB6pN~80kTC^8~P~w&ZqL0)%PL`x<;-Pi_iiji@Jvb zM2nJ#0z`_eh603&lsELB*(W|NxK?dqmR>R<TU@oSA7_8-sk}w?1YF*{x))y1G4jnM z{ae6VVfSEwki)U31??ldMO9q=*y@R^>5PC)`^4yi){*g|sx|${>inze(*bk#$D#{J zBOi;ZR`r9c-L9rj3JO&cpA-;B@`|cf^ywq7i+oq~y{b=Ml^72o+s{W8)Q*G|`Ev9@ z)xD+?V*$1H-cbebX$=|4QTiCGiU9q5tHc2PTq~lfyiLVBefd#ZWrj$UeszV8k{#Rs z?j1c-c^d7X43S9vl8OyQyCr|)JGTP#F%>jLyG4KPJ8+YNdlhwx0*}z8^yCQrtcq9q z^1Za%86pw-nHA6V3+_~eE2azhKY0m(WcUium^&5z`md~r#`0aXbMlljnykEC8SRuj zrIdC;UeIT9DMMt)|J0o=KmC-74L$iz+L??hs{e^QoqqaAs|r8;7%M$vd9#Y&6)8ou zaXGth{zvX?8OgWPc<IS7ePjhq!H(g-E;!ibTWMd@lSB346>k*mrv1NJZ5hgwXbtJf zA^JfT_Z93W{fDgJhVn#OcKV{Jd_65K-6~k$v*M@%h3?;GHDVxNOAAi73etD0=#Zz3 z`qx-FZs(6tKg9cv`02+fkN9cFEe`uRU-M?`<ychg=YOS^#xL^ps!CK2#o2yV*Dmho z4^f}RFK+1-m#}|`FZo@G^WD-bDCzwnzUZfUO^>aIE_wGOt&;k8yzi#o8;gs3`TtR` z$1iT^y|&n5>1C9J^YX1qJbC%uRP}xFdA~Dp$}~UeYZbe4w@XZTa<@uUcyc#O<aly7 zN>1|fzfh0ti_iJ}j9pyUi?{IJ&Hqg0?TOF&?Z*02{dlp;R6mhxiA#DfN}9Liu9lqq zA^y$pr^P%!pG;kk^X2LxN_r2(8GdUP-u(O)>QJ2TnqEZ7s{`?AKZeEpPJR>hL!9rb zUSP?+1Mx|}K@0Dl{CcYUwOc#+b<~$}$}4)0O7`~C=zc90o!j|%s(+j^N6)pSc|T3y zTreDIksG4~Po`B-9#4u_QQRj7mVMPjs)l_Z8fCS}eWetJc1P-AG3RFnSiZN7{`mg} z^w`jDxLy%vc3K=u2@bV_>*ZrQrUw>$l|#BC^l~t78sz#YwxP<yzL$*tXqNkrViqbg z?0Yt(J6tcraKv9P&2Z#nz9q(UI<1^?X>wrB_l!}Zzg~)=x4&MJ;Vpl?M8k{zde05B zKFVFk+?XCHp(uuSQ+>sa96#n;V9rmAmrzzF(uyhHCkDRxt_NF%=|vlQ`{_N$9GxCu z`u;E+A<2;`D<M`ey%<c#)WD4IilHM(u7xrXVil?vj(I*cFy%XKIMO87M5zg}3egL| z+?X1e@EtI8Y|7{O{%5GyBv&VhI~EDngJL!&ZRx%r4T+6%c*^4tksv)6Ox>jIs1Hc1 z6OnJ1ON-36&FzTHx5;$_(y;vxThf+&9%v;Z@<;mfAXPlw%3L`hjpcJw>lh-R+W!<% zwWV8_TNas5>Gy{yZ|UacHn$B__Pau=Hg(@>-ipcZ?Z4fU_TA@#*0GrUp8o4C0~P(o zkZzifyhpM?w1_O-wA}lV`L}c3+S2BHPI)X2`G|W|4f&k#@E!6w?x8&7b6V>dOZR2& z9z4IZf44bp)<;xpWJ&j*+*x?Oc`gl}Z<Z_9CSKfs0<t*hv*ND2uKO%^uQiS7v#;41 zoe%W0Je0Y*Pjj1F(`I~@HE%`dH~0VNp}eLWmK)QWHsv#^+4&^DvHxEW<yBq(T$9$c z37<YqI3PaZ)2(^&N&biaXC8|jT}UpwMV#)_q*)P_U(^4{V{uv6Irm+GE$6Z8U&H|2 z0_Cg#-8^M@fG#epPCwr|>wb~#^5eh%f}6^X^k&;9N9tB&{a$3tdVKw_EfYCvZ=`)P zTsK>pXd*}HeQYlR*Uin!*O%+>eQ0kr{P@aWdM5dIv)&Ziet&%KuPtM_yIC>%ay`Aq z1-2Ev+6A`rkEQLB!*x@Yv;1{YS@#RY%X<|I(#m?z6o{AgiWj7n_8u>=oqK%5P9$76 zK^bl=cP&e&(02CmzHM@tZmcrVPZyz_<)@pN)uAWX(L0@=R@8gkUL;I6A!`F;%Y3}6 zOf-@MdcWI=z;vUt>M*u5k6FrkMsm%)U+hFebzxcI7~84G<H|%sxyIf~JCP7wzbqY$ z?Zo5Stn-+G@yB13|1gyM&>L&l9i;1#HCr$+_P9Yg%RsKC*WIo=P}eClAWod)ZD?*a z;;m~gGUBai?z^pnKI_QVd7pVNPJG$h{!j1yyy2eL7QQ^4^30QQ;w*2AKj-)I27AIR zl83zw|E$={8|ZmtvAC&|b=G??udnAWLVUqn`OiPtI&U*y#fpFTKKth}woc~R`CZvN znE^amtIUAdf$|=03oDxUX>;EVoz%=5yu4ePI=sA_ndf<VH!^?c<z3Ib7b{-cbIxLs z>Mi-_{E|*`rb?`BNzW;ZWUBX$nHAN0)692W=jqvtyLneL>$dVf^&GKS<m$v{vXSCU z@13(1{JgfFZ{~|@I!`j+A;o9B7tdbg=Y8z?WWKnn6Pg)-6rU3KeJXbH8hT327guzA zGgXiS+d7Xk<&bIP-k;AN+sPyJJT<T4=(uN2AOz5D{n^CrJY0{fc~zhe9_8sVP}SuR zvaRZR1RB70)o6APd#P$zMd`dl-S8M-d)dl3w#W{5rD<A4>Xf05dJHUinaPYa%MNz^ z4H{VV(wE6<mL2GF*Yq9sQkQXTmc556b07HbbwR@_Lgx+Yx%<Gp*Ex+vnwO%?yuVJm zbf-X2ZVj^CT~|Q1<z4EUR^d7?rQ!ZM$<mJgI{!%P`Rlxp{=;AA73ye%?3b<|ZUeJk zKh(R!bdpePqU`6cb+>`yE|!~ZahJHJ2-WMj4BSuWiS!>IWj}TO(CCKg#Gz)v1OJcL zWszjtx~4U{Lv^B1W#EBnukX@-kYqo0wP|#R=!Bp=!2^?CU!}8}WE;8)HM)a!d{E7r z$-z3_sNcc1<6fVnw;E*$U6C5eK{{X*4P-m!^+6imD2wZQs8qGBU6V2qDQlO~8!2m> z(j1vL+UaU)i|zdPtn#+@zbPi>X>3pH|J_35(K=tARpx1zrtF!eEqR*$uQNv0I%UEv zZP8Qre~B@9{hhX^X$zjJ|GUW6&Q8fUv#spBdscZ<`*li;nRsQVnQ7X*r=n67%~MvX zYC{_(+4)q~GUZ-mURURpvm!K4Nu}-~Pf?}hAx{w{t07MzCFKq6XOf9e^RA_sn5C6; z%AT!S*N&6C^;Fg(Wdbg1p3)1?>*)MulJ?DWO|g5>Q|N!kp60c8?kZJrwPRBzuBI_O zHzgCJ^IAK{m8#aXBUAFPrcHa!Ngj*NBXxdMs#?_!PI0@MHt9JmnfN4+*qNtPwW3Y$ ze68fWqV1J(@~Zf_Cs}enDzCOPOv#s{4NB?#e^$9x(mN{eeMf_2a+Eekq#{5&UnDU= zJ6D8gDr=MYPG5Gkqf$~NO1nByN6D7`=<aWNrn0n-e<VdBwM!B=6m6Ft8UJ=GKpT@t zQ?y-tr2QLQV5Li}Q?y-pbV(vPLOUz*mA-6m$8AZG2<^<o=lXef62leKDmwJ##RVei zOM4{yTZO;&D-oixY*)uQ`GK+yS$W&Cj#Kgjr5z{aZA&{YNs0_TI`!L@pLR;(hMsI^ z#~H~g>Z23Cb^2)|MJoKXV?^|fWz7<QR~#tn7?-pC_UOoOTSl_&9XyF-m^LzzreMo> zv@QZSl5OqyDv=zj4NrWdU_1Tjo5+@-EUBYGA~{4mDDl36?c}2&!PG2E?8uf_G?lII zNRzM%*7i(1svsB)+eAhTWNSNuC9Hz9-4Z(lL(iic5y$P^vGxx?eMX@AhnGj7+J_6n zQ0HG>Y^|K1<@>o`+e?2g@U*HDR1U@1P^(`T_H&2YpZ#3e(kf11{}5Y(UODvH(ke*k z{UNpp)%>N))<P$|`+=%#|NE!Urq-LE7xr@hYrp<;VMFWn&rOzAMnX6**DAr2m)qU0 zzArWpJ#%=O29^F*zAJM(!GtGsD?x=Pb2CAXCvzj=Bro?%`;mRIIq1(H3+r0(KfQKy zKezMt#AczpKYXZA-jB;vsK~DuOIj}ynzv-GCY<~s_6_>;=Nvzm+`fM3!_`70^d5*Y zpld(9__;0ZLx(<VS`i7a4#cLRjGuEmxlQdK4t-X&0u$~Xh)qHVe|qiY*0;O=y1A2E z*Z%VG@`~1@guQ(f9oq8q^L8%2-T&}1N6R&#c^@?jeZMo@A~OaAPok=T$CF}Jfcs?s zGDLk9I}CX!2>zG(3KXt&MQUM@=V$s^klXyzEiywu>{=IGs|YzeErtbx*DT>$`N)px z{soBgYFC6-4)RTdOdnvoc6k_biGR9T=0Ct}O?Vh`cC{;9D`RKaUn^~A_+zdm(sLSB z4qTe-pM#v?zwp;e+41t%O4_;Uua&rS!C&k7&fAYN*O51-`%3`DwJs_|od4ist_AY^ zv{(tSGJz@vzEAXjgRHMuhG|9bc=>5PM;@K-XF`7L43lKYz{;v6Oe;p<ne3l|tn54> z$+Q3itCpc!;mGGx{Zo+Xo#7^#CZJ~3GDIr?d1I=70y41kpedIF`EN(JNv00?XH_^@ z3yR#Bw4p;j?$kHR;01LD!a-Ut$ht|JQ4bLJGa}b6niiRB8{H9^YZL7Tps=kETTsg$ z54bN7xg)K43@lHxGFlEmu{>^ag%G*a)~5{YmS$meS!6Dy)t_;BOEWLJxvjsl)s=zW z)O@>kGbXpU^>&LOA^HMWC?>b3^?FNxMXNERi{>FelOzysJxen!`hH~Y?P#|))SSnu znS~(_@fqxp$B7xAA&=uTmxnw~bA?!%FQfP1xt*=M&8S%qQSR`P=0DN1@Lcm~8a&r5 zTCPp3xb*~MVbEh``trKwv*^866w_mW?Q?W4(8`**%+-7v-Q0?r@mOBF87+8S`)}s* znr2vZOe<>2V{+~DlibGEe`hYQYWhc;w4x?F`qm-<u?dgvwF^&jKeRrZS>R|wqS-BC zbdRRB@~GUJ)<-i7%bL#7?+R=<?ygJq0h$GiZv!;*79#>Qaqv3*Tx<CKBAaFRzn3CR z1;=FeU{a)J1^o9S8<zWZ!9O|~YIEdZ5?nKTvED?6()@T(7_ONM&)1jfZ+<vvIqZIA zN!KLzF8ocQ&3E^6OPj_rci}PmGCj@41vVAU+66ZA?$VT`aLv@kxBi+a`29k$@@B;X zR9W+x0<p4Y@d8w7^YH?kIrk$J;c(4_#Ry}WYjB-Hn_2h$fuu0a*u{E3O~m3`Kg~pV zhn`GF^K?F{sQLJyaF}KSd;?>{bl+X9H<AIGzf*)^n$hq&jLnQYYf;xorn&hGML1Lw z1`o&BOu3IQ)*H$+Hdj)FLp1&1IvAS?_geUQO#it1m&MbDG9Q{_DP2LD9`M<M{xSE4 z#kU4BHO=mnu0TyESU{W@2W$vfj(~Lm;SsPV;IplPW;|ePyocS36I%w`GrjiF!=%?_ zK>$fP>|~r63v9uh+d~hM!pKR(U_)m49(sWEh`g|=bS*+wWxX=#@0YJH-#%{k`?xM! z0}Xo@i>f63P4?N;c*D4$;$`z4e2%%fD}5Ih!;|jO(8$iyXfj6FRFJe0HuGR<AZbG* zmGPFPfr8zS6)PtxB2Z<dGYGLVk~jiYN;;0PnFAjIgf}!27!kYD*I+uaHnZUUwxo59 zSVsMl27>W+Nf49Lu_fI>nvOvgk&crEy^jg74WtbdyvwNPO9Q0ufG}4h8dit2nE|sH zx_s$o(icE@O#=oCN7_t*#~JlI(v74_KzLQd52k~(nE=<q&LjKB!Cx4scced%Vu3D> zh6ijG(LV-mV7%=rjSw3H*D}1e(eLH52R}uM<bgo+&n;-XAX)?3HmIWkZ4>0?fx<RE z1fiBe59lvi&?Aj`wb)3F${;xp6bp1y&?kweHa@Mz!Ziwm${Ns=M*rH&aFJ|~Ha(&l zeJ`la-DW}jZsT2$*aAqo@iqwc9dv;%)Qs+FybkKGXf&?vqJiY`NdlqQ`)i~H-ETnO z4svrx&4Etg7luIMc<d191m0%|bR2(q2y~h*<gf8EXpe~QY}|E2&4NVf!+sk71kDoB z=0P+f+AK)UU97nAMD4;LXr<<In8vf9Jur$1+8_H&LIaH~{AHNN)1YQBY6i4Cc9Vo| zZu}2_IaDJoC<ct00!@y6ZbCOU{)@jHqTw(2=ZTsC^^HY%h)saH$1XIXKL~0w7lJe( zL2Qs19n>^d-iWSge1u;J)Nl@ZXKKT7bEVd^)e8pSveokjBiQOVzq&}YwcmYnn`O7Z zsSyb25n^_65>LIt?{{+>mfLmeW{fnI7+IXOrJg-lA0tg6J}wsCQqT3vkCg5wJ}kBz zcDq8=jX~e_dt+wv-R&H8^QrV*znDnr9-^_SO$AZg)MnmIx+rNwJ$3LcOC9BR-%PBW zsA!5RBc3r8D<g`VqDqO!O>O4fjuZ)Rs3#0YJe9uYr(<R_>$YE*w5}dISihu>7<{{= zp6J&Bm+l}=o1lt_$BTv6)f4<SuG%o&b_eUDr2*piB4Mt2v|rs-n;AFOpl-BuGx1B2 z@R~ZzFZ`;_l-u}V{S)a%Vr7x=s=A+_&Q+TUw_3mRSNq4^z6_pzBK?6FThzr-_wbuF z?H_Y%7<?NgT|;y)>RMKJ@(xfE<G328TaLKurVEd_YNq={siV6e1gO9FzNaL%>}ucR zWr`lId!6AEsb21VQb~;EY9UBUL=V=5Wh4!|8upZ%pa<$6Wh_LfXLWm-p!@3X%8M<y zD)*cYP=D+FN>S{)>)9Tm0QJo7Ib-QN-U0g3R^9=M{pEGq8J0BH)9F6p>Z#s0^wGDx zb@b6Uz0d2TZ+QQ%kG}4GPf@J2?p($K)m5@*&QCqrTSd{Pr0!Hk64iAl&64W6ndTFw z{<QmoG5V@^ogVsA-I0t1n0mZ7TS1KJy3<{5gl?<*mcFp|hh_GUa}OTheNp|F)p-Yp z`|f3~Ewv2==(iA)uDNZgQNo8h+=R;&HR1C&&3GwY_O&4)>Z^gu-9Aa19G&6m3LMcq z0u`3%^q})g&Fge0+84Kbv<p@lXU(mwRBx|8EajyJvRtkcDg*1=2ByFNuwJUZ^6t{t zT7qIc_SFB%%gkP!+S}_Zxp&XvpV;VU@d?o8t(<1U&;-6L&T@4{Edc8BsrIQ`Z$p9c zZ}*10D=(;3yVR(9yfBqEZ5Z3}{Wn$BZ*l1q4W{#ZiA8GkdYD@?Xk${Ic=L&rlN|<x zclC6-Vcz(4$+~p*yjS_To5`nsU%dYIamw4jjI$M=s)nUv(}LB#ypJl_jJoK?C5;Zd z9B&`Klj%9<BCn+KSHP3_g)Oy;YUsT~Yb@behEI^Xo6B*}cL$<ngezzFQ_BfQ=2qht zB*kW27rQSQp+6Enr3;6u!vtA;HdC(S-Svjjjdhjj!XfH@;5U!+n|ourmQ(xttxHF) zBGl{F0)V$&|ItcEZJit5hovU@c-5x9EqHG)viZk5R_LIehQlN1#7NeWo9e#aDhmBk z>W{tU6j0-?pSy(&(S*9E>DVB3ckc;#)R=31_X`6wuFf?byR3$XdLH&w5&ZY9s|b(w z`>=$X_^x3W)i}#-wRg}Phka}p+x7?hQp1F_cuSsI8T9C3-;#@2`|zIBAmQ)*zC{=P z_P2Xd0|fVYpJ5mE_6K`X_n>7z`o6ndh_l>Odjoy`qi^2jT-*Z9MX`O3rIt?qED)$0 zPpX@6W#77-pdN3zq4ts-!BR^mKVYf-L)K-fy&#`vsl9?8<w<=Z{MhT8b@>t7wXT)~ zWp7D+CamxE6%$x{*2M(zcwwsG21G2WJt3domHI^Z5!c04i-XP{^f6p`<hOjOHo|mV z*P2=swCtd7+T}a>G+*i?p)Ia!RV@VSdC)iM@|FB{N2-BP7}vF;<^yexPg+s)hW>tF zJ?`>}ytyq!AVkI`an!(2+P?Le%Lj7AwiJ%=Fa#T=Rs)`Bkg@~!Hb~imn;UXQ@vf8B zSp2`Cm!s7F1)I#IvYo9-H(PRO_?Q1*|5OUzn@(MFHYI&-ma+y<Os6h7>ylnH=k()k zCsP-kRY?~D)Uv_()7F*vyP=mO)Lw&QrbR39W|OJ&&Wa&enzL*OHe3zW^!cNdCHP)L zP8a@4s4&f0GNfzBSu`YR$XO)Ba>!XI<Z`&$v!)jxbFP6+rc+DsvZ2^8wYa96AEhk7 z6GSO<a4#{Z1OIIz^_%lraMz%qj!NibPCI@#1PfD(1y4++GMqP?UXXHH@#7)bP_;;K z{#5F;^IVe<DTjpr7=jH^3kJJQrA|5zH@#@eA>#8wu)%6{{Ob^(U^OrB$tlrsXL8eA zV@@qTEW{^B4Fv9;6diM}ZSrc&d5>#=ByFo=YRlQG`L!?Ds=2lG2q_zvcac(~xJrod zwraJD4q(l8x=YYSNYQZrK!ka!B`zCn)=N&tgqv(tj0>&JdeKRn5D}Ae&!w)-dco<E zN7ANhmdmS1sb1V|i14OrrpxoloI5VzZK)MF{T5MyKzwLUvV?M$>Z{uNr&3+Gb1i*k zIN28KGTf<_zEa$Y7VA>nC5Z5l(<#E{l4^>}23)EWcLsu`I-MYVUQ$KYmM^Ks)apK! zGIRO8t*;0--faEN=?GynTB;q#^GM>VB3)>$)(ofh+K6bWR@_&Qq%~Ez%bQl~X{T?s zn@^-jxCW1;Rn;Ju`>ocKPD8a3Po#*r?2~fqkFO7i*`h?N?3`+??U1MT-c*Wy%}Q6L z<6e9Ctf+dqoNN^xcOuu$Mdj4u!aRI9svwu%7SS=M+FGxuocHe<?31EYF;(ROs`*tf z0#tLW>P@9=9N+0njlQe27miY`cGM}dW<R`Jt!pYpbFBPy|9i?QA&0<IxHEfY-%cKx z&K{{wv%egvTI#r0n7Z`PwEFYCq+v(X>Ut9?%Dcz*!f@5x*AA}=`zoy*!oTchTcRB9 zUt$e;mR29BPyFk{x=M0fyu<8Pc6(Fx*O@N!u2q!?SYUv!w~xcoKMpnvQWqYoR$mBE z&34Q$w61)2S92jk^^N26!oK;3=j;|}4;8ED{8iJdJ`2RrO<$_}-IW6C@^|X?mf@-| zD?ey1z*TYt@8qeKSX&{F)CDZ|5y-j<dsp-F>6}}RI{G;`ZI0?o-FzrwXF2px$nJ8u z>a(gB#yQs<O$t*>-pSfy!&Kv{ZW>Ej*aWy+mts$V`bx2v?0u*YdA66S4@Ih9_^H0I zIp2_d(`HXE=c-M3!<ineqUHka!KrF5Kh@`sM+^Iy4}UCI4I4?3->ukL!c=1%J5U$o z1OGpGLYL^2I(lEf!t6mJvEif*$|g;{PuXkkyror7x7CBO@~17&(Kp`~Rz?^}wZ8jm zmlUcBcYK4fo__dEV4sj8y=$;b3Q-MmypOS-d^l7UVJJm>mu<ITDpmh3&CYV=3i5>5 z;om3qZaG#68Rih)J+;FIsk%E(6r_$ltgm`ukb`^YYKL7`!8>>s)w5L!ir=zT@`@wa zDmaHaUbeNv{W$C8yH%wY3p|x72bDNcwxd<ah5hWI>Sq=UTPnp4>{!ty$1CPOTPg(( zy|JQ;j+!O9Y!$S_yV%sq>c1^~HdWpfU)an3ull;h!iLK0;!T!HhC?_n+seU{m)%{h zju4%9JY#;D<|tiKzI*1jg9-1<Ee93enVSxByfZf(PV%z9R3AZz&N==xTUb|#FZSBa z{#?zA5uJ71HS?i5^2{z%9YsoBEUCP3Xx=(=)!}5U=r_lo#dG{@a`n2o4_5`@(2Eph zIIb0Y@v~d1hs=G}R3aQ+Aw{Ph8O3uu*-h0S%zai>0v+xlMJF8xi@kQT>#N;MZti5) zRlhX9yrS~RVGof?cWf#Cyq%4&_BX%GQE_!>Mx>59zDEzYoEgIggHo%ok3phUSa(q0 z@&k1Z?C^t!xo=y}e8m=Oc15aSZO(i2u^!ycJ>7C<2pg-}1y?B&>_t&5HdxaVu99!l z;oi6KKv|<JLa;~QG@R+f+G<`NesC%Gbn}`2ux6UV!w=4CbcL&Apojfc($K>nvn_2r z-BZi4mq2}U56<Mi@K;Ged-<y*p>O)DB%&|)t2{@){dnfO%?<ay62Ug?qCODMeef~c z!sfiYXbE=3Ewvc?-L3E2gLQSwFqLSum!HaWo1^Z1%m+UNbKn^=c16PyrV?Y*0q&c5 zu!4R-I@5w3(69_u3AcF;?wfirjUH|~(}b<junbWNu(<*5n|LsQe$bT7dGH@vx9LnB z_8$%5U=^s%2FRNJ;3K-e@eCgOSVK5S#l@x$WIcKxl=&HvZFh$jnQeQgBQo3Oj+=QZ zw&I~_>hk>unJ*C8BNcgPvAiplcjU}dS@&;d3L&zo6;ID%x2_c4DT~aeRQR90ymck- zPP18GWrgcm?B<oX88>6Hdn<06rhdPFAyX(OyQkv1X<tQ!@!2lgeR-uMfn?URuB6?$ zADMmoj+<HP-2GEZ3q$wCm9RtiPbm2e-9N5$dFcM>Od-~lmv{Ey*_{=;CaJUcMKgz& zuKaUn7M^V`aPDTC-H{WAJt{T|TbKB<B_c|G9?iIZ753<x!Y*HAA9x-IJI7S`$QM}# zp2xt>F%+KiMJ9pgQLu9}3dVeqKHzyc?A)}%?v6+c@H_-|Zc5?fj>vo9c>wI(q{7o3 zkpkek5A55v#3kgh9>(=LBkdK5<H%#}jOzrW4;+c_h+`zib-a-_N8$_O7=dveXY^rN zI5_U88}yT%R%w-t_K4U^ws0FysFwT;4f$lPRk|#ew=Yyqepanj$`*6o7kW#6R-skO z5|iB*dO?0xqE)&iHohkmL4KAE`9zWVdrNpA_NWf@Q@>2uu$cdzkTdz&Tgdf3nXpaa zG@g((`Pmz-bKk{wcZF^uj{XTe%g`#VkoiCp`;R3Y9D7s-c$TJBS}vna6Z^O;q)LAF z67uPmR%w~chas`MEMY~SkSOBlG2q!Nt#hR^r#6ItYzdtuKZ}Q4@09tlF1)fObejAu z7V^nltF%}~n<{3!D>N5#R2cf{s#a-{jP|<l@z|q>kWXZp*IeOg<k4-&r#6{WT;Vq4 z(N)N&7Ma&;!iC7A3y@DAWlpUL$0Co;Kt46eyj~S{M;;x8d}@$6wJL0eJW7Fls+U<8 zTnONqw^r%6nCy<w3*Z@4tCTJ_zAY31JOgW$j)~=M3wZ(0T(n9@#ay?4Hj)!+xXdcP z!^lA{`>>Pu(*yC#nR(5I3&rvswhwLMe*MjCAK_DZhiY-Z?lRkHd<Wj4SlqAc%yufD zx^>8j{bkI&X3b?T9#rrB^dTqYahVGTM(jgk>@QX3wR_w@1*^TEmWW@MnC<`Z|F?OF zjQw>ENXTSd>)}&r2Xxk<H}9tbIRVAE*3EaI9pthO!Lh&2Ft6R_GRyeXp#vAz;YHq0 z3B<4CK*DoI`xpNIh7MA8e;&sCl4M@H#x0pWaM}I29rNo1^O^;hS<H8!9^@_^D#ZR; zZ%cT=Wft+Ng9kFZKaY|V61Y(2K@b1uDv*HSLKz1s{GT&G!V@lZ=HL$hCk04|;6kSl ze&hcHfP_#kbn2jI=O-RW2;@R14^no176A#qT<F9>blk8180~d@hm}Jy<gZVRb^@Qu zIpiRIePp!b`3{`Je#Ea2jCLHKx_pR39D<<N#^|sSPBnYGpSSOcIMf8<afA{qoiNO) z-rvWP<NqZv*&M(9{Ty<9C4tG}{I$QIM2;^dlq_-Rd;8(!_-sf!h3>Gm-5-0X4Q=nI z!-hG2d;3o0__vU2eRSC7Hj1}@j~xGo@aK09e|P@|;_xgGpFt?8pc81E9@chH?4dLe zpGGJtr)$wTq}_cLa{Nn3`z=CA8J#f1xx?C4;O(D8911;u1w8h4p+pB~Z7Fen#P(db zWY*D!qX*7xE7{<A8E!2+h!(T%+A|>uM9&F1M31Bj*&CIo4|roh(E9hdTyf6j{z*jd z$F{TPWS6_Wy?kpo6Sl@hHDv$5&{sx%V-smWVod{u>7R}zWSd@Ns{MO~jnpu=izB>8 zGdSht{J!yV7SIF$kHaD&pJ+{ZFwRzfue{XXKR_Yp4*YK@<C{(LU(~aoKj#jtpQ4Gc zUbX=vd(QygY3W?qi+8wr7b~MzbOg;Fg|R(X)R;Q`{pMWR5{u*%L6>*=D=oLu8%dHX z%3i_2-%s4jda&fj@@EIU|NoIyLv_<8_V5T0|B}Egp>x-_x3>1>$ni-8XbC-YeS3au ze>LWi*A^cGY45Pc<;f~20G=5P?H;2Qnt}*h0vX$Rj``s~Bil_06ffD1?3oSAt2C<F zlpw}-Dg&OmFxf=~^xg|g1VI3}aeya)3xU7C4e4M62^cseLBPVT01#%-1kwOW@Z(CY z0#$%ZBfn(_GQkL%LPjA8Fs%uejn)3!9P;BTtqHbG^8Q;a`SE)#H!LY_O#_GQUt!d@ zlEk?h{m6bEgR_hT1VkV}x8*vGAZK_GH)aqA?7WS31AJUya${ihHaY<C0mJ0z;IVCV z4B!KW$&G`hchIi@A8)P`2arS%{$T_O$Uzox7D0H)z|A3(kc4oq(;6@cxU}#=e8?(; zGlT3x5@NVcTwo1wA@e8skV6KCi4=|{Jm-!MB7rT4FjK9VZ@Qbj5!0&<JjQ8FU~|Ps zY|!k>BfPgEh+bD{{TLs#4Z$-wqsT4<!HsJ`MUHMk_-!s0+?;h_Cx(#39TmWbF@zNE zwg6L%B|KvU%^@Xt5MdzxDdbuQojb_!TiO;A+&pM|JDtki<{%GuA?*O&fxF$0JY0vg zx6-L=+c@MQ3)0?9cUap-ArHSn+DUZk>UI$Fa2(R!NOxG>wm}{aLE4FQ>dLkn^01ch z=LE-SXa5xt?@9P`oWtMVj{)L62!GN!r0x9xAl{Ae=NKn?d*2O+cY;AVQ$71TA&3Kg zATFO_VGCnoNwDozB5%hDaqy5y{!04a_UhHR16w9}n3Ty|Rfs#d%_I+z{>NKAh&?c4 zk_SndTdNhkoe*+d0Svl0m9n?vL5|B}SoD(!o2yy8o%@0n3|dKY*jz=$9-L#6dr1Eq zp5n7s;jssbKwLV*!U_hRpCYkVy<-o~0CBGv<SvrK#_BEJjuPTP9EeL|Slom$OGyqx zQ!=boo7jURK-^0hvxLN5U)|c;kt4??!Js9i%=Ojzt)11F172HP41?T3`X6=buic%Q zn1hwJxaY7xzfJM^J9|J}9PCf#6p6pX2I691e=??``8yLpTomljnJFXwPA?D_4*PR@ ziodhd48(=N{+ya3?d-e*;sRiQPEJMd?BoM+J}~C?>P6&1H^ZWiq_who40%9iSP)1A z&gwkkpow9DCuwn3I}r!93=15Iu)Giyx918a+i8_l<!X)er?3}Vd7F50EE+<#)+$-< z&;389-ZUPn{(t;$(<ar`V#?BWT`gLqQDW54u1)HyE+$QLCJAXUl^D*MX-`FTrI-*E z(PB9v#CRV?xR~rQ7|vv!8H0maajBor_wm2+zxlj#Zk#*k@pzr*>-8KPd4+M`kYC7! zw6T%L7&nRhLM9}QjoiezFUT*XLec2RWsLh2!m9Ykls!W3s3j8<^4ax5uh9`_j2j8< zsN}OpdcssAhcNDA^6%Y3t!`vDJh}pKBgik3_-LK5T-L+ljv4~)L-LD6KDkbqryE(1 zaqmOeUh<1qe6&`0K-Ocd8kqx+P6ONr<lkTN7Yz4|D@RN*?lovf2_GHmQ7A`#!?;`s zvnRhu;FD{F6x~Qi@aPOCMkT+9=aYwergKMsLOY81DACh}jB21AfKMiRN|4b(XorB0 z4)!D=qrK3Md_H-w=Qc8mLp$>L=s=G<GTH>~$l;R*diElt)zFS?KHA?Sz_{nhl4jvr z&B$HAJxi8|gi`fL0N|b>OPYjl)FU2%dx|V+6nd#goB@(ftWXH@<n0ZrK@V7K2@pOG z8aXxf0-C)2Bu{%nV*i~(sM@V~+QSn2dJbFFzL=-oBeAdL5XyEXSG!$eU(I<`FUT7m z^u~}FVnwfjGdk!5Yd1<jCFfYZ;J_qd$Yb2Tf<qo@zs=RIkk}t2@FY&naJ#>1&>Ei1 zh#}zwp2&GL+<sCuxCYi5O6*HH3+e<MS-X{La4D>v4UpTo{cZw!$srH7Pgf28i6Pf< zP{OIH5qRkamtqJHw=d>AsuAQ#+Z&XFJ;B<U5_>x$;fH`DZO>K?>S4$Y0+$G++Ceoy zxCD+1-e?DV01`yt?E)|DU?V`T5_p?nt#+^!AeRVSERbpja{%H);H`o;n!#j%Tp;ik zftO}53LqlRqyBbdMEey7A2<v8+s9#TAr3M)j}+}<So;wNX`BU$b^)wS!@+wRs%~`W zscirp3xOl(iWC}ApPDz;=L)Mg0Qfx$^`+h$>x;+W6cm!D9vJHj$KXU1lBLcX>*HZ? z0t!h}%SZc;!)hC*eHD$YY%JlbH!;B>G@&PzHrjUxR<C1%3L3dSb?s=M0|x(t;$5k; z<c)}`ZyN?jKwv+*B8i3$H_}yon=v>P0{c+BGxd$G?@w4gAHX3H*n_TkMPt{du9Y?F ztNPYp@D0fRD~+g0<>>lGgVpnxpqPf%q#n@q4F{_=g)j^OI~4zsdQaN8n5!NvgaaY) znYLi4aU4<q2LX)sh-efeY83<uX$y!(0iy1QKmqO1U}GGj?uNi8+JeDG1W~s^AeZ)N zpplNKzeC_7ZNWez6;XeKKo*S{>w64fcQm0nm89vr31B9gAW9Xf`z`~RjwUpv^3{EA z0H&b{jj1$sZw?lm#>P`9#~R}J@~RS5F9TM(NbDThP%`D1y2_EK{7X{UK&eqxne&tf zC53gAW2!2Bo^qF@u!d5jtWt25wi3I;Y`iz_&1kO&7W|Bj_ry`;RoPtS28rDv_KHaw z?R9{at0jg1QWlI<AzbAjAovk(S5B#^ixbJJ+*Q5SSa29_S4KHj7sr=X(YeYc61#nD z{1v69HjXB%TBYhWfR!^q@J+n%D`i1#+&x|IM6hzc#BL`$p(Bo_>s1FUXG`pMu<-=S zv6?u(w91&P94ZXH#m3_)HPvw>UGG#Z_&Pg55+~C34ufEXoq)&jwY?o6n8QwJkE3aO z>p-wSJE1L(r0x9zg4yf@ahyogn+bwh?1a`hzNYsT2=-zpw8YUgy$p$67KPnkwHQ$r z<8~h?grZ6bD+_SD3<_IO)c`BAaJw`L(N~oXE8jzfO{7QaQn9Mj8y?;Qf}TNzj%2(q z%u8OHs_J})1-&D$=nLbFb;e*p$>bICFv?ix11#tjd4()YJK7nH1;vwBNW%`uOU2yb zt<1u2qy@@S0e9F81Vxjfp0Ks@(xgdZ79J!gbcc~fJ9lG2kH{-tlZd)7sjhPyJZuDl z9zum>Bz9d`xvq0PJiG`5g^?3F!|usSsjAN9@bFv^bO$QjO-^`8BG-oTb)8e;;f2h? zon*WtOe!t4;ttPc7VaRg=m;y9mWq{~^5Ef-!l2va6$zwcL!|;`XG`$#G^X8W5<!$I zk>N4O4kNLN(gtLB1hOk65rd`K$Z#KIS3qJ9mOep-J0ZJIBx0b{e^QHp>~cx$fzp%6 za6M%Akwo;DS|P)gP@y91fTlAX1f3&8&0(`Nojec(ks(o7xw_LA1f3>BO=0)coeU7< zLWUX<(HNeIapG{Q6TwK)&Tl|ClZSM8#74l0!l{kgO=_^0M;w(<8?+fJu!=|Qmr(1p zn^a&rkJurh)@U=7po>ea1DrS_z8B$-;%*p+Pqe?*R*!&GF0mSLo)Ycd2uTi}aEX-? z>c85-VQ^c8+rxy3gnEErBxxh+5RneIfQe-issq7D)cOsBlU!mU;M~KhdkMxXZA2|X zk%7f3Tn{D|NvOLB(@sPy1ynBaUm+)iV00iNDOklNbcGxQr`i*Y1g+l?C{f~bFb)T& zQVGTnWR?z}0Gw+CLxPlR@d3br2?mbb)8g%b6G$-Hkpo)13UICvj5cJJ76*XiM=-=l zxdu-MoQnjb6}hLu;{nH$V6-3{9`P?O$kZO~2l@!{85f}1Y6Vch#3x*ku05&%LYVk~ z3sSY!eIOMcIL)LsiToN^Z{#^bRjV63un7dFqVdV1jC$6avDRcP@GaUdXL*gaMqz=k z(RLYY?O5wwEbt}TE@eqaTLZAb=csA2$WNKmz#Z7aq<#|(j^xCtT3xZgC^Y`HC}NVh z11mvbB+BS!%^GdB#{&O>1P9RguC<Y?H?RERt*>sXu49R0Iqs@fYb-DfqLzt{*0K1q z96EPk36r`HZGR=Iu4U0=IjdBy2JpZP5O@<3d=<^FW!=-YP6Q9kXHs{f@f|Fhu2mg8 zFq=u;fwm`zj@GdF(i~&%z))e}EwnveR9(#?=~|~^f!EP^2}`7H9R`628jrL1+SU#b z$U)=VSu|~H9SHPC<J(vyZR-~h$VTJEERm))69lr*_*NEQ)A|YodZF<xESjd3!K7x1 z{Q7eiBLl?{^@C_ok)wnM3Lt8R$WM{e01sqA)HKmxUrshW@E$K{%8O8^i&ahDuwn}c zc!n1^vfKOUUh?!*Rnt2x;2qnvkIoruiopVs*`{(jWvuA|7VwH~Dx+&hn}V@`c($pO zen6fs<|?*I3clsdSEdWN3NsK8&1Uq_*UHnACP`9okR9JmCyh4k#sVI(O<(5?*3qT9 zrfsmo2n0OD3(E5R>geUVruDF55eNul$9K~2$<nE+rsc3=E(o}T7wl%ozs%cIOXusF zroxJal7gM=_71vKnr_8a%#{@EV4HT(%cbdJWs^KuF;W<Cn{AqqcXTLSploUhR!oy@ z|C~2Sq$?4{7``3L^CQw55XA_-y)bWZFg+Vl^x@kJ^85zVpCF1(eEX-o!GUytMA3?G z&&~52NI!`v>hbL#^9K9Vtq?^eUZ9{K&@_dEfOBj{Gkun(i3b88HbX=&S2y{BfYWS7 z6aAjLi2(v!*o;O;(O7*Zb~O&#?nGv!@aH!;a^%T$o_r&?8U<}{<Zn_Z@8!vlGPgJI zGgQf|c=G+s?RET3s^sZB`3~mx8h(Z{xr-}b2d>7E<9i+XqxEjsRX(}>HNSc!Ih8A4 z4X!>Vw|6^|<jGIC@|Dc(|MCZilW(i)?P0kIbNc}@BZ(hT=P1(ETfp*V%<T?jMk3#D zIQb-3z7Sl!2W{U=X1wA@)H+gR$%|F>da!&EbNeo`X{V!BnoQ-&|0}#2LS}S0iloV_ zxN=?LRRr2@Pi7?W{f3fDl=XA4s~l)MmCX3zI7?SQ0j^#nGbE1X+WG--6(%!q$9vlP zb}%_WV6;0P(AHOht5?X3Hpf}odH}Bakr`sga!q|YxO$PyXmz}&sgDO&J;{s~M-ET^ zFI14pKiZ$HkH|km1t`B-k*t8_pP+(t{!vA;5SD*{3R3yieaWe?^t5DK6UVQC`bHiv zR8_md(oMiWm3W@a$*8Bk8LLjl{NEC7a;n!@brk0RnrM?z*N#=+#r$6qZBnXqv^oIu ze@>VrbNrO?4P5CK$+mBt!IAhlRkbVTA4NQW&54*Ku5=~vk0j1?Q)i7<+hhL!;Q0rL z=Ur5(ES{yR-V95PB>4`6Nf-5vEZ$L7ZGriR5+;e9!CI=9EZ$sI{TnQu3H)#2`MZcS zozz*f_`O`|&qDuu#Pb)N>Y;dZu5_%>{|;gDg5y_1eItz*Dyt1J{~&zZ7tUZc)k_+$ z&y`M*<QH+OiFgGf)#3SobCie|BGMr|U%;szj88?RGCV(@b969143Yl8^Yb{>1Mw_G z+KlJtaE=bdJ0j9rJU^RL-5+m`NHYkN7Ai$k{Q&s85hl%4t-3lG_%jF-5j9U;?G5~0 z36mx&M_ug-{GHJ=3iI-@N?*8VE4UH^Z99UtCDZ)WQQ4|WXSnBYX8w2De03C+*K>rK zUr&orMH%yY_A&EoY4cT4<J=xQW_~p-LK&5$s`SOK#G+?<&F_s?GO#O8plw20#z@o? zRps$XLeIRW9UX}Z<M#Z)%rB?SuQ%7qqWrl%Cg4gqv~53nrpr7}7Uj<ESpu#+fbvUe zM~9>Kswz$4o_XNPU1-}LwCyGBXstO(7Ujt8nF6ldN84V|28W`Q%F5-~mAmMf7qp0> zs4ivYKyZ($@JcY0|AjWc#(b@=vOTz`zwpXU)Z~Y`R$Dm=uJBM3iFuy3QVy;Jqb9gH zM_btft^}Ya?dBA1Wd*o$88vA$*J>*B!4+TBL~Nd?seA{nc%vq*<{V9B47lQfwyC35 zAw6Z#woKZl{;26l4+d>RX&H*BF1RNb+Llh+q=+hkdorMHskDr~a2BuYl;o2W`*{jw zQ-kq6dALATmX2MH#y=fqKkqZ<kCnZ`F27+vmmAZ@${u5vli1H?#-y>bo7m+S?B`Nr z(P-Ia?DA9mQx)Z?GF-^*vXp!hQvB+Ty++HNvCEOTZ6(ETBs@%2b_l!tm~GN+tksq6 zhPzgP%MtA7NtBE_<8oOzi`!)gE<a>HPo!+BGtSeMt;a6k$3N|5KYvBZs5L$y3pZAk z&4Ih7fy)orCNC-Thr`E}Wv1BWYq)I*C1WUDp)C6iyUfKu*|VP~P&U;VQ*>n=!Cf;X zpQ!BT@sv$N;nTTYKXKb4N(K?$g>-3fTR_=FgqI*)gSf4Lk}(*bgmm@dw)vDzgW<Q4 zE*!Vbqht((yCYpqxNQz)(?IxMq^laY&8B4ZhYPUF=h<z|#%ncYcfsYe>^70HR9zMT zE}vnyH5tEAmwAB8r`T<c#$M_&XK*Q<Y@*Q5lizJn6??!Pmf#W}`qW6OuGgo@@1Ep! zoM761Ck?9ZTJbs#Gi~cheyY2Rc^!M0wzZ@|<y|GWV>{EfniNs5pEp|Uja`Z%oAl~) zMvI-`j*ZMum87Hf`UfTnyYv{ctsre0xqF-2v4UxPkldC;svf@UuPU~NJC=b<;pDbN zQpE7xld9r1aEBq&wv;r#PM;&YYo#h)3U|x~mu^G0yUAx>k~R(BovteW6T5UB`cy)y zuF?0>6)(ju@gUn`Qbdh@p7d^mvbZO>V<ywqj{N+GK1X^tTUo4!UAjSTljuve#cFVg zOK!vU-)M__z@;E^Tf4rOwzv^ox=L<q(_gDCE(Mn^k=w-jQcZCVxa31_Yt?_FDNY8L zE|A+=^u092QQ(q@6w!az80q*5efmI}-+y-;?kI#lWso8icg1kWN9a=;X};pF0PaYG zKE2nbt9kA`i49=K;(131;}q>c{dn#e<_b$T0QP%=-ZvgHhQ(v-6oM`v-#><hW9&qN zE*qaYhVd|V0zsFKmyKe_VTp}|Ql+&}@=CapO_IDrL|o6f%P4jTmaLQHRcI~h$IV7D z2aNp>A?_NVDd!<7Y#YXo!1MMK#!1@rVIEzDZN}K4c-}rj+&P}2!~TRN^8q^q&)Y*7 zztZ~Fj+@DN`YLP<#=e15zG??*#shWOXs~3SB(GSTUNgR5hYbfyG=*#!&$A=MKgL6( zyv1C}U?DpY&-<)37~+j1lK=2LOdC${#E3+N=M`!V2%Z3u^y7I2+VDYM93tt)^FC<} z26+e~X~Xk!wc!IiIwJXw=Y7-~4DhIk<Qtxsr5zZ<9s{;J5!XC!sKIUmHj{`GjW?;W z%YaQM;+n>z)tDP#(}=jnaTj$#4(6AJic>^R4T@-aK#8h=0k^v_DUK*TS>&V+aOAcB z#ms9ERjC5ZdF=<8d37QuRe(OPeHSyYMpUH?P;lFAnUuq*xL1)fTHt~CJwwGk3LAMq zHn)8PlX3_(o}|$N2e^GTGw)xK!AJnYZT|!KJ%T9ZqN+MYlPthpRbY+zg+Y`ukyD)_ zS{6X(wl85)_MzfeqN-Yji!5N3s=xqlp8@=CLU~_B2DOS1UBN_f`+O#4CmPqGaM2a0 zgWG2_DLYVcg2<^x5iJcc=C%(N`rSgs@uI3~g`uusD&}_`jgu&vv<1V!4?*K_MYOh{ z1Nd>!xORn$wxAC9`J-`d3PWwd7vRT6<HU+4O+hB`W1(@aifB#2E8ypa#<eJ1GzAPM zB}?SnAFvo{FNP=|L<5QdCEQ*BQ8Glnihu^VJqx0wi3a)tvf=jkcy3c(xY}2&%JqiD zTY&E~JlBye?h|{;eN$Dr?=atYY~wz0;8<=9HYp+*%f&WhxeqYkS8QXMSTmX%jQPg1 zjiuuKa$hl5yj7C>EzdydE8vRFfNwOL-Xk`X`zB42B=;aYu3Kz4n!6kGeZ)3?oi|V? z?$zaPgT+R`_aUBJmgie1F4N_%hsBG4Zx}nSQye1mrK)n5!{WKX_YR)Bn;rKu&!Scw zt;?MXix*0Ace2GD;$Eq*6<0i0lDmU#+#xQL`ihmg@?h~uq3><BaYCNckgq_Q+Y&6E zCb9XPH$eC*5%CyqgXQ@Wz72?Y1h*;78yNJ>M#O!%O+lXTpzjkz+=<(K${QH)^+&|5 zxJ_=J?||<~L|l*Ce9Rl@_q9UAm3Xc~ykC<W4t&qC>CNJqnp__6h1hhFxJ;ev3nq_y z(3`{|>Rbl!bz##Rg-v5wnV3%;WaC7pr|=CLgn@DoI<I9T@QH$K8u=D#kG;H>qfDCy ze!9wI6|ZGK)25DZq4Jo{YuUlHso|$9J-WCp>wr%jIj&b2J(}f)`S8i&*Zisxk5q2U zYT)yfEbbN>$~~TNTUIh{{^bu0d)!uK*~2X+Oq&B_dJ;dpPS~W&vVdEbF>M^k^hCbz zu*XSm%R=CD53<=yroZBc*9vW99*b33dT`4krp+$0ai>rt^`LTF{wwqeA=5jAO;V3l z+!kG-4+7cPlj#Y3-yx3@W!4<bhXdJA$@Cw>nYyeA;B$>kmk7(WSp&caCev|Yh&HPo z_ym&a?ZW-qtSaDhg-mY~&eUcB;NwT8i-l#HtaRXWkxXwDhG??lfsZGd-XaX-wfqa^ zX7Zi-J@k>5&rmMPuTpp@;FeENZaUvd;UR=uK0vvt{Hi{WR9JLcV%@~?Z4ji$8A4Tt z8!XxcSgAy8GAF%WkTRB$jIrJlt#X0qSVk1adQG&-1ZHCycQMvWqE#yB9nA>9SkDQg zWR9<r(ZCgLkywA@42&@1R2i-qD~gDH%?Y0*u4pA-MG~jG1v5u8>@n6qc+LSLwoA|} zW3W^in_-cWB*%d;>Jp^L7>=q83yc*?7$tHBY6YG$hPf)^H&`?iux{ZwyNJ`Bf|)YL zUash8A?qFy`+`$7#4zWI#tK<?2%{Gq-x@)Rlp$1R7+|a*-1-Y=pjzN5W$1H7QzSV> zoGOB$_}{c72XH0_HbO)+gy#r2RfCLFL?pv=@;Od}j4(v>1JB9hR1Gj#h^QIQ$>BH+ zFdPw4EuNFjsp@B#Bccq#s6}9-$#?)*ZiG>@K%>qG1}p|)BogGRGrR%Il`v`&1gbM! z0m~UZtw=2!d+!T3ZUq-(pvj_hYckDO?VPQ8?+iEo&CK~uGf+EId5uSyIrX$~m9sIg zaUV0MmS&)G9_KdNF>|VE;Y#Nu)q7v;Vk~;PH#KDRJp;S=1hN*=(np-1sNNr+B=q!a zn$w7L7`O2cW==WHpgvV2bN1&pnt+SpkoA7_bXRJw%-NmWxCC5$0Ogd@oQ9qEs@|Kz zjq||8yO8xBwDl#;sW#P6=IqFAoB}T1M_XUe28NuK%J<8$i+9n}FKFRI&Rxp)1Hp}| z!i&LB&KH_NO{$sheS2_Yf8oWOsL_v9jrRR0xX43|B$F3L-^;<pVAKdt4b;AG0T%;M zqxMuA?fVLF@iJ=Ema5Ub&j%NMQ6q6`uIBwaaM2qzYE2E)ypI7FJ<wLQ^D3mV46@Fo zS@b(kM;bB68l|NxoV(!0T*x||W}$E{fg3X*>r`5LpA(DMa7yygi5;6lv1o`3kvj=g zDe0JZH2(20JGL(_dMxD?=KY2pE01#-OL>fWC$VE?afV|lH!<%Q>{w}B(`d?N%=;<+ zv5MlPbP{qKEF~X>6yN$d&(RcT%sUd_T1oL8aSBtV9KyUGvyHmrG`f`CaKj4V9l?%G zqNLZwmC2k~+y+D7{g54-NU^Ak%hjc<$Gq?3ANR6jUs2L)<Mzv(j8!Rf;D%|y`vKeN zCB<OaX<V6Nig{ndx0X=Shny73l;1FKF8<M;9h*S0sEM=DrE~;0%#eJfvSZ^Z7DG<c zxeY(@twoe{!l?^s(BNAE#e#4uK^g|}tpZB=pi>gk(2H-)r&tU+-9{R4d}|&heZa{b zX=uW?=1?pKoc1CO)%ezIN_xMO0P{Z2Zf%Y;)1=%5-e=jZqPSjlN&xUa!)|SgOHrqI z0Pj=m*2Xwbb&4~%kWMyIgyqT|8dQlMaGfQ%z=u9IlB(*%T;vWXd37h4TfdVAR1Q|W zy2H$^^(0@F!(v|D9_H3s(ty%I$*tSY+*(ZvuMf)|P4vbt#E^}8!vaSWo#48S%#W2M zr~0t{lZ0J(3~j9-S&TT`=GLuXZaqkDO(IndJNT;-t>L<5;6gaLHIWoP>~K<*xCX8> zWNs}b8PtUZ${egziA&+S+2F!$XzOnB>6avnVTb9e#6PhM*P)Lkq^g=QPhH|t>;ezk zT1*PB3Coo_G$<2$g6n27x7v|oe}n}}9kP{)df0^<<W@;ouQpK)E^x`Mcvy-yu?JiT zBDc1Od1@0I!G){j*0wM+ZDJ|7aEaV14(rt<=70-6<krbOL``BcxNw2o+7jleNsIy) zM5OS32V<n}EA;UL$)Mk19Ih*bK4y@@6%Jy!?j!Uujbxy35Wsb5(8u@MG_}1uuf_&= z#p2mVh~+8T{(2;LEWs77*#NxW6Rv$o$XG%==9NOY%8~tJ3E`MmBH=1SW{xHBFs}r{ zRf?32CLD)rY$R4y+Ko#45^l{VN%kQkrU!8uO*jPCtdnF{XgAg)W}^uXnAbl<OBXUz zZjY!Ewqafoc=mo`d6G75*q*LR*o=9F;@SI%mQEx^m+&WCGaq<`;MseK<*&3pwTPL_ zUSE~42J^asTYc5`*C2tqgwf!dd6Mj6ZCVYoUzac(T%#%Ug7IuSqU8q?BDG)4tr;xz z3dFNNYv&Ewk0Ukz;n|q>Az?2@YE*c3p>`f&FF<Pg@$3Ta!$JEvq^29s{-m8ZXpbN@ zZFqLB_Thj%9jW<_XMfbr8?dJ$HQ(^;EN%Z-!eij&PQ)}LhMI(%z>7)5h>#|A!e!t^ zCt{kAXmx@c@S+hhjfjgnHV5-eLt9crM;chsa_bURECa4`VOlw&uF0Y!YHLSc)nCl) z2GKW_wK=cqATzs8bVOyX&#T(S%&rlAQ(7yyRklp4!)Qw{D`hm+1M_@_w)C)U<ks2T zstru5L+J8J8jW>;t5!3!{}s&}u|~L6e*n)%kX5<pTOF%OX6>$uwZ=TdAgeObkvdki z%$m-vTEev2hqk;DeXC`;$gEeXVh!M`8Nl-<l>JpSua*^}i=7Crn$NV_iN<uWTy(MO z;Hue7s~u=dg6K#MD_Ux8%&i(K^t^?(#EZUFvkY~yQ!&r$XpDr_q>UX0o(LL)v!b=J z9l(==#<a6sw6S%-(;tm#V;O2=zW`4*8Z&tSN)ww2JXvT=D=S(P`wDn^p)oBi7fmdK zX_Y1N>9<~tR24&3A4L5MYb9J&09j>-d=%CVa8(v$l_u)%v(ARA-s4$Kc@NbVVpX&^ zEZhP-p5a-J?3O;dr`#e{75xtLc*kDeM-Lo}j=?;V*~{g0o3ZEzn8z#jav5DS8Xb&z z#Iu)6>HFmtVy<wjB<ow=Jf($zD>MTh(QMZqx|!S}X_6#a2iY;*bi>i;-I&KC_VU+x z{dM$SUGz3sXaqbS;#p;RK6UgmUG#cbxCnTJv12;vAu<c9Dtb9AoC`ee;90xbF)#Br z*3zSO(NkgJLP^$6c1s7nS88F!70#7p?O-qOpqEK4#L8%SuyCZ%W3rQ;kauLrLZFOp z2^LP1Z26qmPgp1s;TXOJ%kv>D8W7<KzNIj)f6yWu5%%F*3i5mgEuJ94PJGL!y#4_T ze?-`dZ^_N`8L&8s2<!1JAM^VAEvyh>C7z|A@7F|!1CMiT*Jk=mO*9X9Kx|hLy-XeL z3p`G<U7P43>SzY=aACVPBECE{jR<H!8dZ=LpdBI9^$3H9M&qnx?fWt4q{O@rvFD*F zM2-qXVxl_jhB0Wb#9W3<aM1)JM+vTAw8KPz5?sVY-?X8llm3|E^<;SfGMA*j)*c>( z)=F5fwHrpERTA@VgvUky!4*l`J{@EVMEi-TI>eWYhT-O2h_eb>3`C{c_d4h|Algeb z)*==vXbuqVCY)>kX9O*ln7`D9>Y!hRq7rSN6c}NmVr}c>9dm3_CJOjJuSi)a`l1b$ zg1MN8`aeU-VA3Zd)C3p<B1~JUg|v7oj#MFN5TPCftw2<uJ*<VKcxoFGj-Zza^#CXU zqFimI1`^?^t%wtXULe%{AQp(Sv@j1nPXzRXP$2rCovVS0@Kg~p0HbG!00p=RL}}Vk zHH6}+jYv9NahkcMiSN_km?B>zR7JYM6`THNN5v%b)9M{l{?F}t`#;Ug^Z$&m*Z-5h z%*G<`V&`9yTcnP?qmcpF`RC;2$$TH>ng(vg7Uq_3{Qi+OajHmH?0ghC<~9G}BylTN zg7cAN*KWs|qmlO5`G26S1LT-4$6nbQmMU^HTw%n_av(47a!iq}aa2WGVCO^0%M<zi zwT_;$HRh_w-{6Xw;QTEpYZuwI({ZM3&0cQB&%*Qf$T2S_^}99Z+={Wn^LNP0U+{fu z98;uggvv+*?0gWk<qN;R+R;<GMxR?Tg_%{v|3<7)AQd_&3-FH+YlKL}5R@h0e;Ztr zid4v;tbG2F!8Kt>#SbVekN<684GXDghO%<_M<(??q@ote%I1ITUt^9`WRRD)INE3; zCnZHU^73X!jXE+IoM(`iiyU*+k>23ED|va7W1u?H6`Xe_PARBmV-db^`J|p0gKs`U zG$(U>)TY_02xqwbZ%O8N&OEg#l~;a5l3CArs4_L?mG6^e)^g^lOvkz9c9P6$&O@bX zk}AR%bB`rX^-@DdBN&+b6MVCflQ!uXsv?e05^?G^=g5d@7`OZnNoF}`UOiPKGxg_| zn*jH4eDi+dR2MZ@X6nu@pR^Po;F+bIBg3Y9RS~9e`8?o$7vH>xXnx5#QcE?InL2XI zrvUf+MDq(y|B$It8L=F5ze}8Y!Ff1j+NF#b2rgF@x(DN#UpVt>sAjr|_TcjVLid}* zvL959HewXG^ZxgTHdh-V2kybdGMpNyjc5Vx0mQO)s*N_H0=Qo$mbFnenuvVh?n^8a zQ*$*D?|{2Ev8<IEsELRH?jA(5+H@6CUWRYZ<ZSFWosN`a_-2%orZDY-%X9I~>70!U z(-OEm1K*s=N$Xq6;+39aW;&tIQfM0+%tPc$1**_=>|8XIc^G}xXC6Hk`U*Su27M+s zcNq(PjGaqDpUKP($3kyn=U$-Cq~=Ydp_j39Poc~z+7abaA-B|$nJJ|C)SG*bhB{;C zBB9NdG@p^BVXDwW*ty5(vTk#YE_63sx&oYwK%XVi((261WJ_7xQbTa=A^I$lwz1AU zR~Nb-J9i(-+>1VYMN6wS-!EHgtO}h2mreuc9-zx!(&h~>9an~$V&|?wn@ebELrWFP z(BH6gTqx5XeU?DmSYvLZ3+)Ilox#kcqR--K8;6!o=a&A2HW$&-h^1Xfsb<oyq-`XY zmLR2r&}IQGZE$H4QrZh`&Zli0TzVTR#i7l4w6uYx?nr49v^j^iabW3Qq_i5^oJ~vX zUn;=PokyFS&CN8Scfq-{XtT(?R~;Gv&YeM<o6J+xp&sDeDYUuK+*2Lu4BXP$%M`}B za)Sm{hzDF^3EcSjhepb`dSe&4!AV}p35n%*O25j$idS-2Vp&h|Q5h`emF$sN)>8VF z21;(pc8O&*<zc;X?r4ZN<`(lm8#Qn=#0f6hDEUxHIZ|)Df08h_$GBw$W#fp!ZEnd5 ziRD3da}wp-uz|lS#2PMH2He8g&54wU!v-f+A#30gLy2W6WnP_epv=HZ6|xjAnGM`- z<CeSGr(RMv4jW8Yh5U)RUB^F^P`=d|d+I`#Vs1R#vY7I)#yD4M(4Y+I2`-r_v9x19 z`(Yd?HON+m=wWU**v%5-UTugPxN+Id_~iUHqzAYKv76hCJ+&c?!0jr#xy{&28&V3~ zF0q@%#=V-59N^}|Zf-SB(S#%ew+rm%7GqCMNEC1rQ6Bai7$YTL@edy;^ZE_O;gUl9 zLk8uc!axj{e8fMbQRXQO1aL_j{-KHVP^~9c-SURNSc0>B$kLH~Dw%Xdt>?)5@)z?% z1L>Pe&z$$=AoD{V>4-{CpZ8@4)3Sz?rqt`=epv_3#*v@(>Q5TJZrE8qx%oBe+lXE& z_seQ<_9?l!Ti;Nw_k{apCDZa>Qva~tZPhJ%_=^eC@&Nf%66s-`ey&W<o%>}GI2%TO z)~O#N)1#_xEr-9%1!wO-A9lwbjQo24#@h8EhDU0{a>j1y!Cw|JEq9TZb;d<$Jv)Hw zb!g)uvSCkLfbQ04aCQd1F_n_qr)S0eGMD*b2YFeCewkFSL3yhu_{(HFrIs|WM&C?# zt3CKjf1&G5c9TTkP<Lx8Mw|S`mT3>y>1(v7GclJq$lM8aP9c3Ga*TNC`<SV<qPZUl zy)I<3+_N#2#v;HLE~+b}A&?~?ZCpTri`*}Rkfnf>HmH|`eCdTO^GO>A^=>0yaL6)` zls2H}j(ll?EOSU32lVzLU#cO?Y*Jdko&Y<0p4{B5Z>G6*7o0syZWihHs&55=vuDW7 zP5LS7TOQ!-DROh8zNh+@Ghn8phKlhV`9!_yng^Wyhh*cS|8;*-t#ub4fYa_Gt)f2c zu6*J&uV^D+M&aZ}t+{$)53lH`gxsJ_RZXnq744UxwOW1E#2B~8PJ&iz!;}+=s%yR& zGnSzBj^7=<#=w|QaI#RFIx-Qdx^{e$2-<6{<H$rPx9ATETCUZvA6LsJu5gP?05cpX z?<Z(o<2ka4bKIgOfcXGNOSO)}6MIzGOyQz=fO!`u?;%7lwT`vpQMzkW;i82SbSEL| z81IozY~dEom7qHaQpb3ybfQ&xO&(k{QpmhbkP@_xLlXtcYc0V=(<J22S_LsNf)tJ6 zWK7E<ChC!*5u9A8RSZsKAw_*Sxj@SroQOn<I-#_$<WE|~z{C}#s1+yYYFPslCy=6g zocvL%=%3hv6jkD=;{O*|A+?NKYpy*2OgDnmJg!z>3kFOEK@yGUsIPegrYk{e8V^uk zbN%0|Cn*#;a&^55_JDyUfcOyFC=%8yoaO2hJaB?Z{w@-#)Eju<AQP<<IjYoi|Gz}T z7<?0hUZ8xbqHz?yj6qK!v`XZtR9A9=B@-2jSoI2zQP>%Ce!_Nsop<=kWb9$G_>k7% z4URSjtOn3iRMf3lDpyBx!Ad6iUy)*1eM<$~!@z_|K7i7aL}7J`MjdPcgJn#z14>I& zoMFsTY<H!Ljti<=SsvSTr+b3aX9AZyQ0g8u>XoRkmY$<?S#yq?Y6zS;_=Yceb8G0< zI+vc{)T5FO-}5%))YJ7wT^yiU|7&9`mTkY4;m<KGRCF^A5R(y`$rww(|8-e{|CeYf zRi6$3F3{Nrkhuf8w2PG^%X!bu`~YqINHQNNJ<ZK*f;N_l^y?G>GW8Y}yc7nr0dyN8 z??!1aMdrimX)5?n47v`XB_d&s!b1ly#ULI;E*6E=C~~CgdL`Tw3}!OPb}0XcB0#Fn zQo?!|bORMh!aUUHoB?!=>>^Q=YT*F@!6*$^1Zd$F00p3=c7?STt^m+wl+>nBYv6nU z`JyDTB3T0`>)rQ$yF|Lo=~c;clYN$R-4_1%<MqNTbJxetoYJsx%9LfZ=gyc}Qr?yJ zVAhf;=GAto2UowcyZ>rQ$madOCEedWW!aP|D=nvNojy-I<;Uzzep3!E{>1GHo7#U^ zMlp^lx>rf=7`A>g{`)iD+d3HDX21Rp#`C$x{(Hyi&t1g&Yg@i}=>uuFm>=C-bBY(! zJy8{dWZcSqvdN=-6t;?lem7~qEo;~%3;OTd4Aqgf`v<3YAHP@bnmKHB<MQ?mz4yNT zGo^R?X~-|_%=F4%#od1`i`jqY$Vxju>UsQ&ur9GD$^XKw4$?foeLwzuR3!fqHv@?O z?6=1v5*1XR_&aGWT^Hv&^Uw8dn?AUu^;&uB=<e3nmd3MMs{YgzObL9HaqOJJ_J`;8 zwiE*v=jM0X;WFx$;jo6GtzAC~7hRg&!m&_8i-t3v3}4@{V$WCkKQZ$x^GbT`Pep9G zjnzq4k9<qm?*BD^wQs_c-bEhQ_C8Zyjk&Y&WWv;ibEdt#`723P^mJlD<gdBfqQ8C} z>^*kuKR28isX<R&4_8TkXbyQEt5oEtVYeG<Hji1p_;pUX?ftPA^6C4EZc7)`|M$E{ z^5nl=5j76Ob3!CeL*@1332n@i<EPFY+o$k8Q}n2hZ8Z@qyFT*Yso0u|k<F`%iif44 zGhQyAy>9#b8}r?66#q44>(r@pi>K7;O<T8Q+6}`gy9|EL>bSmsj5*`i(*9q2H>eh@ zQq1_<bkBFzR^GNCbj}*C>{>5<+M1nr9WGs4-(NnBGV|q~?>$yl_|LG?ir7EweB%w& zGUVIC8e)L@pYE9QZuWT@Dp>jCMpmhP>IG~%OW$YBmEvggOLdHs-{zlua5Upj2Zsl$ zc|o3uv4=R%bY>gx@->2P8`iDjzJQ+KkH_zcUVgX_DRcLqu|jRHkvcwGW!|rIXITa3 zCmtO*S6yUtEX{30YGc%AC!y@M@m&X_N>zMv(x&Q}dt&$P%1_&3CpsK>Izs)~+vbE3 z$olj5Or|VSuh-YVp)vMn=Rd!(9>xAX?Z}ytrl1b$&-LM7f7`KuS2gokRQ<HoM|vyW zpjP2}`hu7y+pi;Y^<Tkp&Oz0GtZ+>EoAti!Y%b$(yH8DvR>!TiZToyjr>CGt5nJba z{q{4iqWk%(?8RNn7IdX-dhRvY8_|@q^t_SwdBw7|-cJi}W}T|sjIN^(UgQ`a&`W!s z@J<<B?_%K#5zRv<-&NnGW!y;H0D>0nOIH<)H(uPl`O$ipO~$$>uQnVWPW<@EwslQK ztmCQ!bnJFGoLBCD)7IDFx0j1&8xn@Q5`Own-!JMC%RkK$G-Up}np1!spfYOKQ{zh= zdFc(gYYL|5J%eA~`hFth&AhNxwTlmxeOqADuPNC<|9u6lUpQA7FlFZOAN%az+Hwt+ z?y{oJTlO~Mf#$=|*-b1-^KFGL)#N^RFLdQofosd&ORfV`X9s)hHq&!vd(^Jj;$%4E z!CAlk`&)GQ?G-(rOS(FDHP3t*HpTMdi34SSzkc+09{<G?s_=MM_|VaaE7Mm8FaPo3 zX8otB+m`*BnO(mA(8)Jerd>(Di##ekpI!XA>+x93%{kqt<i-d2(+$LDtj)Yn)To|K zM0PAcdGzI1_cX-^-j~}3r+uDLr{z?f+1OD$)5v$Y)u3WGyz2_DJLh=5A*FN4a+k{T zmtRTJu;aP9(-$vp9>}LU1`b&0yH5E-`7NMbnKjiJ>7(Yq@04i@V_swgRNwk=u7tWU zn$=RnTz=xz`PRYTnl3pS%{FSzv|4w;P5UCp%3H9Une4RFa2zH^!YWRrdwyR0CULN{ z=J7i3xrSY5j(wzM>aH$`R~h=F5tW(C);!@+c0O~T$@xHM|F!-7o{urv@2tN~w$H+R zYCC(ED5FYGRedhRic^KlT*92(J42$vzdu+{PV%{K8bK?k@l;PibLT?C^S=Tb9UDsh z$fuFaXXf74cVqo~LjE;7;6?R_nQ=bJ!Z_k&98o#_<X(?OHoC`FTQ0lW8kPKYG2zaf zt?`s*#$&fJ{;Jom^~Gx`t4y#lu7AidJnN%v{`}Wrrhb+Sq`rprmg%!Bzhuu_Se=>v zV}_k)&Tq?7wDF0>Pm?dNt}b<RGKtx{s-GA8`y9;n_p@DBUTiE@+Xw#l$M%nUmP%nO zv;T(M-VeWlgtrzWGdAB2e7$}>b-iiv{S%>yt1rg(o2{(aT6TYp+3!zYRaBYP%Bxde zK2f~Bbu{r3w{l9d*I{zE(DH4!+_o?LE93t6&-n3wW_QYt&G>u4iT#44#p_=kNP07S z^9Hl^Vbdc850BmMPg^8dT>h&z+_~(Po9aXD=PiF_an3IvUv9oabf@8R7t^F`^X8w# zGjdmpQTc4Sbwa1^7SCtP(_pU~JCn)-x<XxSc7(-Gl`hXZ_~_mmS6V04R*y@GSSBqI zeuy0+rA=Yx{Vum{e>qFPyFPt}adctQ*!`}95C37f&np&ue#Lue^jFCAX_2$^&fc52 zWj*by-nv89t5p{&K0Vfs|255eRm2hBgUx?0wRmTFjBIVjZ=V0|&v%E#JDuHv4&5`5 zu7Oh>8DHghY|kXyO+C6`MRDu1`ZcxhuLe})9+meYPcyQxmC5CA|5ciXC;uI?x+du6 ziW$cB?Gs6HDQ(#AYufGUQ;vVp<U6HT`8eM4{i(@LpX(biR2_cI#;BHWeWKD%Y3AFt z`;TSULfS))cle(=eQJ&ywBXbI8<%b6Qvbel&rWJLoa#&1n=i;bm?0RPd8E3KcyRkO z!e1Cre&l6A-~O9j4AZ$QI~X8Y!FjTNc46ZjE3&c2O+LlD-)N%yPxhT#kpjP`Gx5zP zl}*iSAJ01eYir;iTb9&po8~z6?kjBT6G7GXRVmm%cOu@pyvBwR*Ss&8ygpe)?m_=4 zuGEj!Z#ypSJfLvX?J{mR`T1~@@2MR|CL5OPiT^tA-sAq(->pp!?{_Y>^P&IRc9#0& z$6&(RkAhWC+!z(UFE4Fr;U#<+=v=dFVo609e~zYc`UCO8%$#S&1XJ(0`RkDCCzIQ0 zi_lknhm7p`PmgmxoYdPH>GH#*J+ajw8b~hSZ3CP1jGp^=$M&3k%X;p0=YXJaQjghE z7U|{E_u$*35__zbT=4`L45!>V7O9?dV9|{oH)cPb`>X5xkW+cHD&)rbU!l-fUsXiO zj*y2*=@0LPL%6AGp7_!fYfC>pmf-R|2jaJ&>r;$wdL(}}K;pkny|gQ+WpQ9eU&zFs zwciB)F^hCAahzi@%!ki7C4A<LO~n3a=0bBKbbZmkDD6cdRhIOFghgEEkXMdOw+f%^ zl~*0y${3%~{s)IYvc28=d{BkE<D>D~ThdqKC(`r#dtGxkoz(bY`~O1@``hU?LlzH? z(~7*StjDKZw1q46y0Q-ToTi&)xvQR5?Y-2p*FMVfFLlD3eHpnAtHnRsBM)R>YWRa! z^6H#TtB1%t@cT+XN^?cLLC3Urlp}|;rDR7>laA_Zu2sEeH554K^)9oGp9g=>eVkf! z>dkq0)5oJ~dE(IEjzgBnLUGGh=C^B$6nFNM%)lA-zx%a8_!;+jw>Q(?JN_qN2H5QV z5m<lVdB#82;f_T7SHotTO+`mU3ng{QE4nvTBUahvhV^dw0p1_|(q#9fYaHAEMz&}| z1HPKQp&h)w=f#GG{B;55yY|^%|GYP<V4)hmb{k_kGMMNrPJP(RfX@#CM$fcQ+;}5{ zJ$Z`2Iyl0xMt3-V({U}cZ_!a+eJlUQtDiAWr6)M(kJI13yc}DivrbMRRlUwR)4E1l zJma|E=JPw|=hip-{Nfixy(Rk3pBo4`Jnv{Lnw9kr_522d^?ew>K$uPlj-jW%TQETH z8_J&Vbss}d9LxCqucDGTt}T0Y+ndG}Lb2~nY5w=%<hKoP!d_gRc(ry;aF*QuZOvld z_AftLw@Gfiv#D_YZ{3e|Q#Y-A%RL)n^UwV0(@R#}UVMW*205^Gn<=+OS4Yww>qjd5 zY}Y){TArDHTO=tw+nX3II#l{3J0IQ3Hy;s3ivIf~d?<|!vfiF}EOu-K+vZnlPiS|$ z9e(z?nXKn~`!>nF9rhdg<{q~{DYY&%eZh#5ICV_S8Tj+W9PwM>u;rzFMd)Yl+zK}v zzkh<lI!b>;T!NL~f__SEuj4)W!VNqw=9(b8#r^F6y<_5t!PAbPIc?mYWSfL{ov)ia z4J#rJAL7Y-FKn%PvMnO1?Zo5p_xzneV;v2DFWP>bZ<q)V8}54ZmUZShgL})xHRTt4 zG%X4~7Y1X;8HdaNPW>)ct)A@=`gVa<-uCAo)|*CGc#d70Sgo5LsYvo1D|<tFGt2eS z7JvJK42_&xg1@du>RHEUT`!1w8fwAod^0KkpFVob`q)`{!Or%rW4TAj$3<J@_BR$> zv}N2dl}tFNcwN4-q)z?sqRo?!>CraKO5Vq)-SJTR{+BQ}b$)nX@ASms(#A)(eqEb- zE35C-;i8C-JIqW?3;IV>&wu{xR_Lr<@ILO~O^6sC47j;G&_Ug)I(#tCF2OQ^X4?GU zs_ywQgXbm=eoxQ5c2H5;6d+aIsa-rRe#hX`f{(UDwbexN$M55v4U9`Mxc$;(Jak=$ z@ajO{{_i_K%-Zhou0io+>C%7=juT^Jb4?N_RO@;+hK(7$9qc<=_Imb9c=n=4wC`U` z|J(F&2dW8yNLF$WJG55zw&&c@!Bl*;G_%{!VpNse_owGkdf&`lP`2ssLe<s`zvars z3b+fNt=_K2qwxtlmlSGoeNr3zJ?O{K`|wS>qsFcQbAeONT9GWK>sy(}hJYMturkuD z*d0utw$i|I$=L_fzlMS}@AdCn3~_b`#5+!y{KaSsqZ|mh-M3x-XZyDIzZkRszV}yO zv0uC8L!=S0N1i_#?R~pOp!2cHn>sZh=;QOB3z}rQA$g!|E~9<n6oT?*?dqMT16EMq zRKcnCsS5<Zb&R>by4mR>`|CGTn)dMXZ@3_N^V{GVy=OK&3M=I3Mw8N6syU#&LsiKB z%6ogDI0%~UG<8Myk=;%Io_GDa|M}_ot?Z1ykehKAeoH@j+H&X9gZHO-P9CF-n^<yO z@+D<m_U`Gft7^*k40M=t&0-!6{{Gmw7XN+gnufk@7t*$*7(ny^lj?0f0b?7IzOF5d z>$8Xqc@XGzBwoD9Y5h~(zTL4GX?7M#kBz3SRj!OTDSpm>xA)?pY|iSQ=As|Lo41&7 zB)K!Y6>ZB1uIS?N<rm*7E-x6YeH*%O{fgh3?>9awdbTEcz9GwUhehP(_?1(~$>Xid zu6(z(b6~tZ*WFEfzU$n`^ZF2$cWuSGHw!tVA-Ccxc&8n9J+M!@v&5Sto3cy4c-miP zF20-7DwftKqjt$7abw7D)3m2o<Y?X>I`2E@#>v-<nykj}G#>N$7;RiC`*#t44f$$I z>=a7a!1Mlma^w+**Y^)Scl39gm~r6WMYWzgLaB+n?>R0@e)`9j$Ipr__ncU5e&p+q zf-Lfka}oIWpKY(BBJ1avbt$56-<+QQ;S~4Xlz8alZg}K{>*E7{di5cu>RjcWS^k@f zbc*ILS^MstYx(EN>S^4_RsF+LwwO#`PZzE$y->;vE8u4b>p#1~$Xw9vI+S>rVX|=O zdf0&}qB28!O4vV-kFEZD;hEB(4(Mv<zn?AL?l8VWA~8O@WGQE)$M8gVghlLsXRe=n z-c&q;5fx-x5nHy28{nIMV9EAV^8==K!+Y*DWg2`~^y=XF;?Zwj&u;K)En_pa-UkD| zFENw#_N}^iqxSVo!vdSltIy1KSvM`zfA`EOh8Z*cEKCYA@_ddn@1480dVROitCQ8f zy|dte6$h2)1t}I)y<amY*L=&`RFO#Mc*ug74v$+N2ZMjgT6AYfpIc7$nsq%k?tb+S zg_EUusWi?kml<N$&sSEisEc}PG3)qthv<grPoayklwF}Y0oD(zB)5QcYxSpk_Z#n2 zH*D)<_pYe7eZ7eM3*UQlrsO$yg-PrFKj_gBCJ;-{eDr>}`n+a+^M_^oppK6xf?dw1 z&i#7gywiuXsj+881;<fmFthK(DYPksMo!srMsa@NP!6ZREdAm-foGZY>#z4K`n~gG zPG{38pIAM9)bZoZPjYQiTNBJadwo9;H_IN+N8fA{y$EPxLf3a<Cvi{hX3<Gjw+k(c z-g2#Be|CV+8Hj!?`_s`>-5GhmH77=LL34moxAcl{+utS&jukh2UY|AI)#TTe6MSjD ztzTI{!v^-ovAGu4T@t*j>pfq<KRcAu&1CxHg&DavY3hNBT;JApDxKBH^M@;hq7cQC zoXTgtnyZ$+ibXk1^JDvzeNka!h8vegpYT^5%KdJcvbU(`bx}~vk4WJ~{RIQ-h~I{e z7b_o(A)c4Q3Nw~0sE#t9_3irQZ&4Y}MZa5IY4~Ju@6BhDCZc<OuCRYb|E;|X8mr_t zRdaYj*KBrvbx&R;d72-TU*2JO`B}HS*^=|Am7ZU+g3|s>F`qqPKVEr6xWdZ6-)G+H zC+0>IK3B|YYcws{E$8NB(w5Ch`I(q6a)?g#@9%$9v5i)GBB<y2wdivYnloeJW*g8M zvhd5i?inwc*?-&&a{RLU>}jJ_4<f$Ix||jDBlMSYefz9Lz1K@_=UtjP_dR&oujeX` z`DV7}Uenk5tBhaew%=+@e|IkmU`!dE%u5)#XpSA+#&a4dPEHEFD4D-{#*T6L_iI(u zJKtl>Y_O^C=I{M~B)wT!Q)k=$U9H+GA~Hn*K|w%<1R0}(pfW=M8J8KvO0pIq6V!ny zQmu+8^PC_cAVU}v>&mcDP@jqrAOVyqW+mcN2dqHS?<uY6tGxRBeUI<nxOVQX`#O$m z=REK0{4MdXbUn82KDugN-2I-7{wJK_GFtcNd*aK1Vs7>LY1Ov>ZauThoV0w+lbUem zipzl?BU8_HefulC|JSVxaUFa81iPUr3$mAH^U0XUX6ODp>a72fe4?sd>fqI4WJp<O z*>>@Lt8j-?M40oJD#xi)C8kO3EjtF`BNk)t|4r?@eZzm@hv>gEb#G@5nHQb?+id5A zUC2+{t}ZyuK=*Ck{vM&a)-dME=L!cdovOmb?rfjh_|+u37V;+W#lM$bXw0zen8Cxl zLO&9B{%QBp%lVDg6LKr_ju<C&ZvOn@tNQc$b^1!o$4`6imk_>vU|IPl=k;5U_why= zZM=PHTgHyvt}~l|({x=H9Z~<5{tk5UGWJ}V+w<%%*Vby2=!?EXc2)_BcIO-#(V;iY z@t6OYn~RNq^Y4M%F2wAL_%CziZR?hXvc55bWb8BCBHol;b}{VT|DJ5U`tsW0HQ%-x z@5)kb@y-r?eP=J|nrP>Yx(v?{27MiePppmkuDjA+v@QBUXYYBtUmBtt&Za(zdlUR- zGW|k8%Ul9IZo2o|8@Hv_C+}_uXJS5Hb=ow&?#)jAf$lW3VBT*{CH~Xr8|yyGhpu=X ze$YO#&gs_G-+T*x#!w$R+}}91rqy}pXaB+Wb@wB{=+I{32Ef%Ltjy){kBPsUf$1Um zrS)O{g56G*MSVGm=XVh5ER2JyQ~o#AbmtBw3u8+XI{YMnoNjKKvyfTs#<)JWb^7nn zyLKDt<!RHJyZ>L&*;7HMu>Y*fXuE#?%li8NimFTOoiG!pzTILHiMJ$p*1r{5{rCSr z&wnn6amiSFqhj+Q-cx(H+Bo6rx-aX#9J;cuUpqfPpPJ>e6+T*`zi0IROUj!6o_w%o z7qwZv=YRJ=KinAHhkey;;cMYzA+F`!7naBs>B1Pff-2lBSDX-z4=c#Rl3@iPj2TuW z3O5fc;)LTvifCcUkRn_dGo%O>ZXQwu3CEvo;*jid?ln;UUEwpHrC1!C0u(4V#ggn@ z-7TQ}+rnqnmZ!zRu|UDtCLGD$$-M^5uMj?~wDcAShXDnnn~X{J_U;y7{$=5_a?2Gs z_y_m5vfxzrAzARhEHz|%k>!dgUbQJr^lWa^2Vj($-vbo%1rJ!Zl3ThhtH>=~mRHFw zotD$0ikVFdKo=wbCXm6%uK~XA3+}UwCfm1L`jhS3EXAUDPJR(kkdc2KC_sWGmQ|vP zXPefG;@SBp-PcKj54pdU1P8hgNrD61XKDH8h0n??r%5fhEC)#~4VKez@B!fakxlbN zdmHy;T0R@-O3%*#GSc%wz$HEZB=8=}KMoYgH%$=jt=uhW`6q?XiY-sW!8o8`c$0`| zZ|Pn`&5sv8E41{6gPnkap-rVkdsBA{YW`v2vm2HxcaKo;k;)Aax(jP_7RnQ{<9Wud z;v-4;NX3RQbeD_G-(cP?c6_xlReXeij~v_Jgzj>*ISb~Mu;VL@9mGdM@R6e%)}y<2 z+5An*`-%PR`Gy=${PPW`IM1^35PT#n?<boY*%6}6S=o_?#$wIw9^==XXPJ3j_zY%V zJKlwvcN_nnk$2YSt@Ox!W2R<ThcQ{ROJF?6dB(}B!hg@mE5naw<gsm%+e~q#t%3xK zH6lo(rp5`4Hnj^XrM{VjZ~LUaH~HZ+)1zbD4IKJrOMQuE@~*wUq`mQ!*6Lnki`0kD z-7r(xm<G}<P^(ZOw$z=(zs?uHQ0l>J#BHX_-qh4cA)&C<*g<o<#kiI8jGYJCSV)h+ z##cF8pKbWeu6VlP1AFV!4SIHbx$$fGNB};Po_8AmJv}cIA33sNLA`5-%~@I=n;l<j zEJknFf2Bs=t~0JeZ{IY&ir%g@K8^0Ow(+Iqf$aDa<E!wIz4+1L4KnpEjE$6<m&}eY zG7g51?7@!?ZQ!YQnc4VK^FrD21;%UPBRlb<Pvi(YMkyDwH!J0L*y9R$54%Jm?_kF$ z<gM(@3V9QId`w=?E*X>a*)e1CD)#0vc^P|rR9?g`8I@mW$BfFavNw;)FR{l*<d5+0 zWbmERQyg6%EGlJi#`|DlX&Ohzq&+MpafD3TgHk;{Tn2ZSMscuATD(oJ=4K`>&PK@* zGH5Y2l&>0-{70Js4wgZSv<cAMoRYI`dO5-jTBwbUW;=%_DILQ*OJTMAq|LV)^;7vz zc$LJfsZ`Gvj>ylm*(36^c>Y&nX6ZaTW<-9Py?I2Q$sU)>ne6d&nx9P@svehvc#OpB zX6ZxrI7IWs<7qT+JcdT|#2ctI54?&>!{QMt%?;0|(p>OdD$N-WP-%{MJeB5v$53gz z@P;&+9R`8Y$QVA9M#ONTGynrYX~`Hol$MCWKxy$91DF<vQGsbO7z9j<#_++kNDLQD z3&#LpS||n&rUhd#VA^4fftD78F~~fB$<O{8AD{NGd=4&#mG&qf!fj@y9Wz?SjWg3y zjNahbeV#q}vA7s!T7pp?Sv@m&*60kGn3)!1L?Pdt9{ka00EcCyMH&T=Z%z%eje2py zjI>ZA8}fEenk0V=<1D><JAW9nfuepo_!CAY@odW1V}&Dw=dtXO!Lt~?#Pe4EJT_)z z@HBSw$Y3URTt3Lej;E*j8MUES$=q;WV;V$1J|^~&>Pm2gCr_sT=dSNzZ0S})$k6om z1S;d^<q2#|dYYF}9!aenJpMJOJk1B=OiS~^Y@ns>#k`}Y;V^P)nmeY0n&yhRPEFf` zNvEbcVZy0tyD`qxG<(bjYT8cByR<6^wt~N2AwEpRyjQFxk#4&gg+f<4uoX4yi^PZH zG4IFL9w6OzHW~u2@UazD>!ZboBQfts*IJQoI~aw6SFU3#D%NijA3lT`9a(!3SMhvp zHg4<lwH#c9YVAji3+u{V%zNgQ3r3*qaEj3o<@WsA1l+UPwNbeE*|kS-&t}#xVn!KP zP8-ci562iurH7-9u2F7l*E-?0POr7aRZOi#F<r>vFr!fE;Si%x%58M*7$!2~%2kXD za`^80wd7sR>z7Eon$~Mbx9yCsX{`i}B#l)^BSTYnE0f;D-N2-`a>JSQ4(|1$KA)5T z8kG@;H7*iF!M<+%^suVN1hEg&=%uM^Yj3=-AFp-^*bUJ;benmN-C`er`%W==5*7Q} z@!vg}zD%s&O4v<hgky~h1vz5xcrv!MSdatzdh(s+)1$<CD?-Q{h;fqdoX!CG>FJCN zen&ck%}*bj^hE2N1KzYNl#hmV#W7Xc31Sa|D!;HgJ4fshuX0lKN4AJPQdGl={^O)= zSTa{GpM0UV+7aMG{UK6ED7@&^Lj3{I85Lh-z<WIm3&W3oRo8A`b;y%Hy7$&F%=qE# z>WXx4{HmF3Z{ljAWG{X-k@f@oH+Aif)mGXMnTGWd^dGo7qw<TWc<)Zb`fCLjEA>kD z4;ic8$<n>Di?F_U>d_9p(exuny^{08kE^ZHy@b_4sW;hOBJ=(i?-jZ3&M&+_Vedo0 zhEc^jl9j!?Py481JE?BBdmac?2}`PYq-hYkC5)-zRf@fndW0oB-hHt*5eQc**r=6B zz+Y+f!@8J)MpM{lH~0F`WX=AsrU}=1c+x^2!=WeZ#)}%$U?1EG-R6?ULfFTfn=YSx zrncI4v#}7hs%xx6>*^cfDcEOUK%T_sKmhmp$mHMZy2i#=XkAm|pKCN$>d7Ila|Zny zHyrViv^3glIGcM)y*{k%+nec4_C&1-?4O7>c8HuWYJpfFYE4AsJW*PhTvKrB&Cp9v zcwe;6*vyZTm(ChjKNA^u$|@-~v8+4>2Rju{s&^yMAjTc6F<&qy_9gSvm8$dVdJ}sj zd`MNIwl<?AQCTtUi9*p4du=^Bxn1m&%$_I`rNMqUL_Vx~ptjylF{jB@&(zknq8!xv zrl=6Lt`pUDqt*?gZrCpgkuz9l_aPfbRBzSRwv;mxzXOyuiC+M6eMI%Qy1r5L3axKy z7Ol}(t5rkD*LSW%tqx-{M%FH3<0sd?+RQPYQdw|fIV?7XCiRP;<ek-8zfiewCZ<)M zoY)!F+cM<(l<MxhT5sI*&`TiQ_harGWM7qG!}QDj8k>W?`HQ&mxxtgT7}enaAeeW3 zp2v-5WS%FC{*rkHVcv}l{)%x%JdYUdmU?;%vPn#G?Th~XqT<ZdrdCxLGqppN&rIbm z4^p<z4;~D|mCO#t<Hj>-!R(TPQY+ZY5#KQ+zp1`yZj(o)B?{HsF*Y+)+Hv-Hap@I% z8Z8~Wxgvj~*fSWDK03G=w|Q#N0vE$cV@DX}Nj;;C&QP|$7<BQ%#mo%8#mE_HVr&UN z9~FDq;om)xUnXvA&i+W+c02ouh!BH#NU~c*%4hvsAL{<rDW5+2MsLLaff~GgHDsj! zh0tiS|E;iiOL_Jp>=Cd$_(taO!}4od>LsDkR{LddFttEPsLb}d3Z@pR1c(Qc?M1F_ zYtOzfGRjOnep2O>o(ig<^wbQtN+9o76Kidj6J;L2@-(HkH~aC5s94p{7Adn*?;Xdy zgVIjcs5WGBUR=h!dop;Lcyp`KZfaUMc5`9AE9~hUkBuqHPk=q$G1rF&rRQN!PmHsC zaFlq{iuGnl;|b%Vg~nzq+K^@|j1LwXZ`~ViSQve@3$1lrZM`=3$QRwVXLSYq;kJ%Y zbFosqH_4E%c$AE8b6-6T{UFvA^S_R{r=0;}rWIgID)SGBJtHyBV}mZFn@+J9`Pkq= z(smakb11Dno^;dAhz6zI!EWZ?%&#Qftjlj9-K@`tNjI&HXtcCU?B*@DMrS0R`;Dr< z(nE|SZ6kv^?B=I~e`8CYK8n{XGk-Y0nmDJe?Y;O|Q!BjqCuhw(wxVpk0zSMS^IpCd zCEm6+0wst2j6x-ceT*C>hrNu}Ne=HddMiHci^-6$9V6b}ZnTbe<pj2(cs&z7jKyRO zuk9w@wlKOzy%LA5C|vIbA9loK46Q9B-rj1oj(Q~sTXEwvDn3Lu>`{I>i8i~h(x9Jv zbSAvdb>c&bhCPZehtcLet8c)c>vbm8pGo3F(S|)^Uv{C*yH{!8&qX?u%FkBfL&1hU zqhG#3n|H3hq5b^GFv9w|-*AKV^QBdZ>=1eNjka0%`LU+C_w%B@eC|uK-X!a1?rMna zP}1sd*`b8hZ)Jy$uC{4+c77hyAD#K)sed~C#YJzD`E%xKwe(Qr>KW;w@Ku_&S@Xq0 zZ!+~|v)+XBbNcFT?ar3Z8#T@9FTWbT%lP?{p_Bgec>|sP^97wr`DYY9<iA=iIkbQE zjO38NVb92y-_*@Et2ElrOkMfJmsy?3#Fueh`S_P1oyqu@2fA|QmuKo`tJU4KpQ$>N zlFvEtArC|6@Rz&lX3Nz!>d%QflcLWD;6u)a&Y>?A>Soi`-PE6hbtVO$Ki)lLr=lxA zWssV&%X!enJHm3_hi-9DvWl+w6iI4!S^gHf*d#2k{=g6i#i;0GpIk`Ij>~!A#WG=e z<p)=BP^gMN`ssU8^RDG@!HbuK<>enfl6U^{VUfJ^&WDepr>ajGqVlYZV%2x7i+5C= zeL=u-k}T-K2UKJ-_bEnHKKm(DWHS5dpr}0a;w{ylzM$CUC(<Coa+@^h-iQ05qtl;u ziJne<+Ab>RTx2dMNrOU{eWXFsuSDE(_Qh+eJsB6N%imIV-v02Bw6pocD^hdghebH( zpo%{7>Ampi<fq?+PbWUTCpPa`&ZAw-6qc8M=!S#v%Sn<T-(??3koU5=B*=65FL98^ zatQ5Wy0E<DLm?dGsrr5R(>-D{X88&AA|Na;`Va*Nxu||0`gD`nY_=Riy%;VmFZi$# z4%(&q{mG~E>L#4F4aya>P5Aoz;y?iDR2bsXCRgolD7TeuQlrli2gV_tV+K#O$w}J= z=2o#ys`LlMf#FE!s9`JGWUt)~=3Zr+ROmm#fkDXctlS4kCoA_J@_Sz(McXC|e54=K z*a`LbHBB!KM9$GU!+#KZUtqG<M;4f<HJ1g(YyXl4_Ulh+nqC-uIVLj(ET??h@Eh_w z5*Ve;lLkg;Y0^MM-_1EXW!TDj`pobQp(BA}y{V?@w!V;K!p^;n{7%pP3F)Nga*@sv z!++FGw%RsH-~nx(BygX$jh1_wZBnMc4+r9rPPswNK00A|#(p|(7-b(FH~h+esx;hV zo0RJ7;6QI=kKFL9x@nvC4K<g{HYwJV;6OKI&#>XPy2)Hiqvl4lO$zl^aG(RSXUK3_ z-DIMDla_;E%lQjq;)6u~?}`;7smV<l0_AjI%WD=2#Rud0zmKi#Cp9@MpMW`hY<bl} zl=xsI|M$_A?W86LWeAva9a~<puu**QF#q?FmH#0&{j%^Ux#`ZrD{|AnSJ=36)yn_y z_poyA@_%RMTu{<v2UC<!C{6P#@wlh6E0MUPvnz*jPiI!%^G6str<F6(gE2~p^kB3y zkJ6-Fam1NSuk65;Ppzo=oyfr>`0!ErR`jNW4Q<_++!5XGWFrA-H`y`OrKi?Hv{rUW zO=+FjE5>F<>UF>LlxF+9{9qWTWL6%-**quLWw1+>@(1kACFAmO_T~w>njKSG3SXho zPP0qOO7ElFZ<UUru-8F+$B10Vj(ILW&l!I%{~z_uoi-sLy+~J5*?2(g6G=U9lQgHj z*<1Qpb5mIQC#OV}MY|AiM&?5dsFwLW5;cC*Z+<>`R$uab@+W<X$*ur%kbX@!UQs$F z_M!mqLJD}Mu=j4@`jDcAXl3qx#$i~|MXa-Qe?o=G!kB5r3t&T^H^Kc$4aKVSD_v!L zMsZjaGpo3F8TR%9!sUu_qLsD#8?pCZFZbONZy)y%iMO9S?Q6*tZZ6}E!QTGByL9MB zz?n|#V#n~@ZSo+RSh#sgVIhk7Ka2@&Na7WE$mUzA*WJ=WPRuj;_Y?T*h*yYBh}0|0 zCP}+pJ0;)6iJ6waz;EdDigw=}Ci5QPy%NRDDTtz&Ea;9HkoVD`^TL>N9!j!m;w^q8 zS>5Jc5yh~ft3Y@L1WI{U(=h&kYD0wa)XHX@2`49Axtn6wvapfdq+a=z|6NATC4Ttm z^g5FDF2Y|l#!uLZ+DyhRzH^^n3gwBmeqI&NnV3~Ya$;vyhtdDNn<mB+RN-S9N0N;r z>kP;#5yn=^?8W#HRrsi89m!@FYd6KFMYfULpw|4V+K|Dyq>|G)7gX2j9ImQkMDrhF zgDtB~f<M5@li>HU-q1LYRB{%lUv-_uxx|vl@MP8-N`p}LnB34STNIVfX_7_8SsX4a zM21gd?UvyaSV<74OE^&@s}$oCR2vkUgCv_htQj!pCw?bA=RBWI&$)m#DPKV0gZ|2D z$-(`~Gm?Y;{5>NpzY&{klr&ln6I(v9GK)2tSQ*EbkFN}2O~zLqV9S*&&xlP{%H6aa zD%PZAAqPI_!S5Vixl3%aRJKub60s&l3kTqX&iu}yl?q~$sd6_pCm3r|u<-HjK|3y8 z`9}uYh#km-&fU?K@#ee50m)pt;*UtQ(PiLU=v<Sota_dy4v68>$Nq3Z8yyGoz;k7~ zvdVc^aX=`SKKjS^XydMdZ^3hybY<o9A2qgLbMrK|cjiCpCsluB=*zOsiMijg&fVd5 z_5}a~NwR<k^QeBy+#fOeve`dE^;>5DIH)hnJa>z`r!OFO;E6PVFwiCqxHo@aA2<ES zF8$=xAKUe1oO8^9BxykCfR8jlI$x(RW1qXm-IH;Sx+Vt8XAFCH6FV%F*Qj-I*y=*a z4UTc-Glskii5**&>!@`>*y<ap8Zm*)bya$#p#t{-5UTCbnekHV#e_tztHR?5D%dmd z7Obt;nN_Ef#e`_C>zIc<D%d>$g0)3Dv&vL!F(H`iI_j|o73>^%ORIgv9b?t@a|>Cu zmj<LV0(s!ARv=6r)ChW0m-JP09w~aWtXl3ssEm*_;3y*`46Kt8jt;bIojOw$`otLz zFa7kihpXN!vo>>}MoNerI4dQD4}e;M#=}x?HsxWgH{;Z%4>)R_T2eP@1Zt1R+>IHv zm$+l}+6&x5dM%gRJ>v1FT3|cSE+HHkxF#X&8)&E1p4OR_rS`)FJhxl!f$9<`Jf?Kh z;~rzW#Bq<ux@o1yeVtipYCTNw=DNx~9;*f02HsL@$vU&*R5DC(<GK!ew5SE<10c0F zT4z?6Y7G+{xUNGUSJVQNfwyTqL|Da7QHZ07z@oy9L=w35hC;j!VO32^kvKXYSR8XZ zKoU6j4uL$ru&OF0S{xk-ERMQakpvFCp&;+Nu&N?ulQ{Y?usGuOktp~jWrZxblk%D@ z__rHJRHbtJ2)MF%cY#GF??Nvqi%#hsq6p^Q5=7IpZc(DdS+^si=^3|0V2r^#-8(Cd zj_H+3qoaGTQ3P5yCz08-o2{s7$_)j&k?64AP-%2XZzx58x{U!*8N922D-wM-Wi46I zoU%d^G^M;I2^v$D;OK(@W5jJym^kV7N;o~?wn!B0=)FecWeTfGQ+nWNd~dQO+PBwN z67Ah<A&K_v{aYOE(HlzRr3<S{Qi|YcPhfG_?LJX}=^di-0AW>8N;Dkp0xS->)e!|| zy`fZIxUi}qWfL5|3s`*OmP35OA7+q_^M}(&FL=Ww(sAB!0_jD~a1`ly&F~S@i|XM6 zq~q1YUZfXQ!>**`Rm1kA7nQ?Sq~n#trlc1Y!y8G*D~8vQUX%}iB))k5`T^$o|KSur zWBO$KMZ+I4wX*%f;Z)q6zWu$!DY&w}d-JcmF#BZtyNAPYTbQSg7SG@&8K>?K`{BwM zrwGMK<Q<u(`i7lwTNtMzihanpIHzR8mbkKvQz6A|xJ+a}`uZAXoAjRg^~vII$uFM1 z{t5G3vcG9qkG(VU`aCvs<n>uhtz`eL;dyM_$m`SCEhDcpv6J%GOzdR(DZk=2(u?ue zAZD9n|IOit*h%P=FJ>R@ls9G@?UX0xAL=O&%ya4~ET)fo$_-OXJ>`NqM?K|?IYvF@ zh}lOy<$&2nJ+%w-PueLv0|I4{4SXnzXy8IwfB}HAk_~t$E75>~vf>Q}Fe}cW0<&Ta z2$&UZ;DcF_1}>NtZUDfnPy-&!3N~QCtiuKaEi1@iknQ{BVYa@MmG!TO9DOV+>(N6< zZ_LU%mb<K<U}mM{zR`2~_VqlB)yFck5_0o2+L@PUbI)ifnOU*9G|krpni|7(t(KD& zl^debPQ5HKq-!ahtgzhO8tt=}8MzJmSY%(z|Is*+ed?E&4F<`+=7%42rSz<T++}#5 zU9J}1*Z6QjXH3u9mpcRZ?Z|xs_u1r1;6CeI2}+rGnPI?7_SHQc))}W~?ae)d+LS(= zP&br399G+uJbb8bD1InY+Y~<(sT+zO3e+}54;$4Dg%5dZo5F{s>V|@c`D&YjhZofi zH)1v7C^Ftv>70hPyElMPbr0K&7h5lmO2oS=oR6UGdm7$?)%9$%>R7TkDjM%P=4_9) z?`{CW>LRvTWvsP0Dj4rN>bwSR-`Vh%R{aP+#;Wee7qY4^HArPq<gZae?ZVhWO?z+b z5~pg;IfY}ERn2V(l|>~rILe|D8rI38jyAMw9Xn$coWvPtFV6I|vn$6evpTb(Mj92_ za8?=>-T-RbHO`hCvngj|jv1#qy}?oI*b=)*)2?=YjNh11eF;BCufBjUq*rtC-6PI_ zs@rWF+9gp38m>vA_BFKAs!y}c%3}NBC_KJf?u@b%C!D9))8o!#?8I^B$LwjP^L@5i zX>2_l<&AfhJ3s!quGR3CT1{q~6~~g{C^x+8uyc#L-Mj&$R!6hV3S+I|C<najkn<IF zyGg^_v`Pe9#Sc@6BZ-(rg#(Gy?p7QMRd!&jYQl=dk@1+tF^2=BcIV<Du#%6hstSu1 zM@C{6M;)w4?GDAEVC8jeRYlk)apYml;)ugXV*4*)E9CY&VXw*U|90Tus#FdiF|Mr2 zyO>30<%MEU7MW5!L}{OQNWe|cIz-_TXC02<re_=$F=LF%)5WvW$e3cOG%~vQ8l_$9 z;Dj@qcCf`&O*x>LZX`0SI8+)LQXEQYM;*p6Q5lt2F|J7D-LSRf_U5n^QhQU_Yf^h- z*b*Fh5W^U8Si~kyI=sS8PdF?R+jkUSqg7^Nt4hOq;7ELNvLw>C*jEziU2GwV^ep~c z9O+RUN~=uAR+WSm!I7Sr#bJm0#CA;a5VaD(RuzRs!;vnS#UY0}V!K&!D77*iTU8LY z369)_S$yJ<qi)0HwnOD&ohd)CUmO7#x)gQ^Xq#)UBUIk1Gpz}{AdZMLbdA}0p>0mN z?O=J8&a^7fUmOu`=o+;%MceFi9l`ReI@5~4PjEz#;XSMTfuW04e$Vi}FM^WWE{k{+ zsL<>d2KH;(UfPlL33GOT8Z!DKl5>4!5sA4LvWWQHzoilVfu}WXFYNsErZaXpedV;> zE5myvA}aTqG$JAwltv(dJ^F+xJ5&ACGrK861`;6-G}E-*4lL4}vdb?U-qXu}GIY_) z&l@u6<rj3O<$)SF!aui060tw`tR%wU;4)(OO5J9Y3)0G&y2=T=Ii2Z*-Gr`k+-_KB zI&Sw+SE;m{Qny*<I?~FiI@6NC3vh&op=;O<R<~K^wo}U!b*4pu{&0k|p=-#lQr%{n z>qspR)|nOre!3fBr^--TGe~V%)-|Z~j<AyF*CP&3R%IxxqeyKotaVUnld!Vdk0}n1 zQDux-yOP=*S=YeQGGS$<pPM*5RFyGmy^++mi?t3cy(FwG_xnWN{fplcdG{T^PogQ6 zHA7UHRVr3}&nms6>go#zSjn>R2Ywon>6~?}sB+diOk_H19Vn{IEWM?2=?jl#4N1cZ ztafSmJ->cY!nC!$XllyZN>s@yWwMf`;h`*FX}Hv{UR230y{2->D5bL2QFh<<`$XE^ z?6*YP-Q=eswb`+TXr)|X<)rn3&~(yzURYV?r+~xvtKQ44QDU1l3zUTWu|g%`J}gH` zxEE`kBz!OHtvK9Ql_9qtBerd4t)rEm5LOoZG2w8mDr4BXo7iT-x<)OH6IK@bxxwL% zs*EA)LSoxi);elwkg)QGj6-VR%OH{sUzSR0;K@=*HauA@si8&|M*8ZB29g@8Wqu@^ zY8j5yP$hFB*;L7FNez`UOOj2c%$U?rAzM$fsgQjpHk8XQiY8c`RMs1^Hj6`H&5#?i zI3(5+vUW~$fh8eR<}~M7T2U#Jlf<efQ)V@{RR$@3UUN&ur%+}zH&uufFOa=baVeB( zO@)dp#ka{&6+od(X$n+$DPE&VXH|=0GdM?B#Uf(_e_QrhI6>zGvfhxilbQ>{(h&_; z7)$3IU_BveCp2e;xDm~dLcLtW7K*+W6(GUa%O-@e=^QUs9!aaz99M<YI6f+88plhu zfyUXZdPn8pRB|fEUDZM5xT>yGIeS#;RF0D>oXXj)a;9?ZRU4?BovL?fEDF*Au}H{u zh;<A}hgc~{IK)aqoFP^MvH@ZpMc#p|SVRu82uKIWibAe~tOz6>WQ8H&AS(oM23bdt z4It|f@{Y#(f%2Dz{9JVpF=#a^l@xiWC970-5w1-3QYAzHncvH=EosweW>xJ7M&{Qg z3gpE0`E`mG5S7%gLxkhRGFbOS^9Wz+*DkW<Ok}VS(HsIu{aQuFoKoa#4?&8#hWt!L zrQ~spGgyZxf1%{3s`CgUk=aq+z%pCP3`(9<{fKZSezp`P?6-q50Q=ccdSSl?(Hy%p zo#jKRM#<x<lZZj=S1X!QYf408YI2F_v076sy00b|i(s{;NYt$+7l~TbnnF>Xnp`NV zRBH-Eg=%tv=!#l%LzJU##ZlU!Vlms4kLwqQ0Z5kulYq9mQXHY;R<>yk?t(Zh4(S@h zc%iLMly<PVifvl8^Y8(qYm%@CWONi`i8?q?e8J*t?1T#3T5;GRWOM{`kyH5`lg%-G zj`@sau!^O~XkQqKa!nR?j54cr=*9JD9A0AdNCC6B1Bql7w<6y&i<^+hzOXn-jkdJ| zN7b|naETg+7npg(g;89Ayw4~uK}L}<8O}}P(2BFxIA|~vNF)*#OtFxL!MLj&(`T5^ z?8>K@4{Xz?7(F|o9QPUy3qT_2#ix<)>BX5y<OpU#?XZJ#mR8JWCzRsEXlp&L6>Y7< zRiUjnaaYmSTHI;W!J6VrD+bvKCAh0_*j{9G7$Z|VU?@^*aWXrh2p0^8?LkI|Fg&$` z8O4`c9Li28z^#SDb|Rxs%s3<m9H9m(yo;UUIf=!gDf|M3SuDxHm0$rC-o{Q<JDnDX z#_|is%y1+JCqfNaSb?3Ybn+I5hVctV&5TJ7_5=&C@G^F)+-U_4{ekdS7Me;Jl7;@u zNkeuJIj!IlRAy<osX4O`{846M55J%<bik>V+}iC_MQ-hKx=L>CbehIh&X_ImyBLKx z`5BDD8vgfvp?yx#WQTSqf3icHlNgu4DJ<d_WE5WK7a*Y$rz%|KGqd%$1a{#`!a8Z_ zA;McpXdq!o5*k35r4^pXPL(-LlUi>%4U$?LoTlN>1N`qt%;t#>HiTqaA)DWoUYNnp zNG}BWF6o6Q`R}2^<NN}-*#yzSieN!2Jc*qub~+7*;`jx_W+I}4C835|7>}JQbn=En zo%jVqW~D?2Q-TGx@Gy4jhSSR35DGU^xdlS)u>ohH8$w+?&$d+@lEjTvYzaf{T>}0F zZ`{(wSKCs>Ap~yZ*cK<$-Z9`Tc%wuYUuo+g4hbROuDBZVUD;&6dMa<^yNqLVPgX>m zL_0T{FTWn@KMZ>$3XLrHQpbOcs#<GQwdO10eUl~j_^-FE($+d$8=WrNyb*2L6|fGx zaY<KEZu_bK)nnF;er^Hl#-#wMEQB2JR@)-99n`e++AirU=C-8hw`OJZg(L^~%0dzY zEMy_^0e?$F`fX2ZT3&4N({G*Gg40(_Z+XRikAy@8T$6@G1c1^I#I{EtKefeF|Lob8 zDQ*T5BDOWtwA{8W(r;zoxXgV|zwr~di+<xgH-moTf^KWMtp*P952%rZ><>6A3GwH; zjBI(OZm|gfX*Za<iis_Ax~&siCUh0!TZVO8$G1GxRVcSisavc99BDVGx~(O)7vK;N zZrAV@Slwb7&`!OPsM}g(>ko%GbGwGNRH|D{101P0f^}O9Y(L!%vEw5UgUshc3?iQk zF#tXQF_QUsh>^(0K#X|40c6DSRUjjVkARG5J|AR6^10VAK1oS$!pj6#wjLQAnvT2s z&BjQV!EZ8tEU;jN^8t_%%EyC@U_J(99OfHnj3B;2=KG5v8&}F={7b;W#j+TW1Q5=c z#W+S-#!WC8DTFsTPM>d&AQl(PWF!#s$eNkyvxGBbawa2|KqG6Wr)&7vDH;wViV#B9 zOih>Y(<x*QBaE<{ta&z_L1@6mBEBtx^*9{jtDe5hH%NS&1s|}bbVdMS8TPd!Xkp(* z!2;Hp&e%tofu%bLPhhDHK>|yy2@(={VmgD5m-yBRhOx%!jJ<?2B<oVa1hKwEFif;A z5j-T;7Yk%W>tcb3SYIR%5Uq;@jl}vw0gq^1C@3Y?7YOo+)&+u##QGbJ9JG$#2%%Q| z##FS9*O-D@@fu^%x|+r?)T*X25Us0j^h2$x8*yk|RihJXRn=&V)>SrIqE?lS#%Ntd z<9gJpqVco3uDtQ0ew;;54S1tLv*?t78BJXlofPmygU(G}2#{z<bCc%-wE7YzJt?4C zLz<nu%{54U<|l7)`C8J<<V`Lj^$|3_;&Qd5>B$N%SL)N&h;jifX=<{7i<kOnCes6| z^)VUrBLT(w&4|zK#?QKOIz2Gp4T?@qUeJ|{OmcNGbozmSCn!2Gc~*xVnfy_wlTWgB z!mou3NPOxWCv-9CbgzIs6je?h=Z4ehK3r!S-HW?{M&HYQN2TMqaw^@O+wqly%Dqmd z@8PCX=}z2mDt$NCnM$|kZlKb4a^Iyv6rclwNWgUnItHXePzn$ZK}mo!1SJ3)Am}LY z4uoO>IS3Je4iJh0u7gknkPbp&KsX460L~zE1lRyVhk$o9=m+<|$fW0rdw_w0suWV- z9fhP)+y%HY@k@me0A$`T6<qf=GCHeh2QV`4E?%H0rq8>Rw*aW5-W@!gD24&u<IMwn zsdqciRy3XgA-p*Nkb1ZBj724g7*&Ao=48?{1=T%Iv^fJh<o*|l^i**kKqO*2_cyTE z)_sOVnpFG<a3$Wh?n>Buhx-8RZR6exdpGdrgeB>ak9#$VG_E*_Kjxe3CpqSwYbiPA znfpO}%p*69_Di~sSMsn3KIUmy8a{Df-HXW`rv3uxctsDR;bSg_rJ)mb>Rz+lFzPSi zI$puUP4KZ@hNUMba?~&RdIoxeuTMi?^7JJ11W%uUzO2zlp(kqeN6?qm`UB{RYP}cw zvP$oYo~Y8>qc1D<R_KXJy(#*#Lcb9`QK4UhzAV>&RKI*~cz`^Y1>VtrM*3udBK=3C zRu(AKr*eMj3+&aWaLW50&KtUreX_uAeHh1tnR`?_!+FZcy|4G<lrwS(+9Zu#W^SL} ziDSaZjnMjNnmD;Ky(OnSBR53b#>qkgQNuN4oAjaDa8moN=H*kvPsnpgV3S_Y{$<2) zo}D#fIE&Ou0&nT(*+)kVUwxwyLniyF+`wc%P0#hywxKV_4Ir{j5_nVpko^?O^+op4 za=nplv|LZ*A8M`#@|>EBMf#|@Zb&UP*9AF8&2>hOQF9%UebihBWE(Yi7xGV9uAPdh zBr{08SXMqHx+CQAWIbXaS;bV4qe#6jto4wnNyw{~F~vZPiaADhCG|S8@<CCVkXI>l z69b_t<|uh1sdpD^Jt(>)<dw@lk==ihEs@>t$UceYRAh#Tmn9ObHnBu^R6TtFz)Fz; z4`dpV<s3Oy#G56Di7aQyfg)a}=$6W@4~S(AO928)AO-Hp`b8<zU%!~nO_8lcJdTLT zN|6GgEI%nAmDP)QY|%B9TZV|rT2FDmE&D`rZ<Z~Q+?!+?Qm-9rm?q*1d6VP?q2(lb zUdStxDPUl~YDrE;iM`e=NCNn=!X$tX%Si%wvDQm~y{rW>;HzTF$z#Oc?X2}Q(Fq~1 zSjL0_tcp2I?k4tHu=1&*uUl1xGB+4-R56Fhg~Z;ito2k;kdSvnq!Fi(5jQ1}hW5Bq zAgH&8ZOIeWi&GL2HwAD6?b$<F0DJ4%menG%I3*hSs)X93J-aCo*jvQ5tQ1*`Q-Tq< zQD6<)vy-ww>wSbMSiSv75v%tSMJ7ujf6a~V5sC&iJ-wnO&ew5F;aFz%aw%c5lq8Ci zEG2=mUY2t7|HRi$k%E&l19)-frU6%uWoB<Cg(ppkq-0A|!YPopM*~=LET;ftjwPoz zo#Lc*YY}bI^r(Ty$fk_mONfHrdjTn;_i~Y*5#UdCk1a(YNjX5tm!#~Y2xz^h*_LIZ zemDh>^vD5}oiYJTvFFBtF?Py0@R&WP1n#pfOGWi?iZ|jW2Og_?wow+Sy=1m!v4{+( zxFK%CK#RJ^oB~mMquG{)B5OFs0dX4wuBdxVC<|#h6n-a^L*n0na*pxoP)-Uz0?J9^ z?}2g>_}@V}NBO^lIkEf^Fo(eJ1aqSJH^7_-J{`;n<41rwA^bgH&Jq51V9p`_@3fpB zlz)*=JYTuT|A+EYwIb#JPC21kxywH%d+>5a$Ui1K_;Q7-Y$Ly%U1{fUlO60@2*e%j zJJ`9fz<(}1*s*}a9cAR)TbSq9N)NU#*y5gM<RA-k{A1FCtqaDua^wNJ0xHePC!VcP zm3cUmjGRNtzep#ZuAJxhNgmiK-@p%Sl{2IhlPf>+&q)s2DwXiT9m)atppCK@KG?7@ zhb>Rf@ljTjPK>Xd<o_c+Si3Mqd|9$EMm$ln@R<0rc;P<rMDYSld|9;6O*~Pw&_aA! zxKKwtQMgb^d|9wiNIX%naE18t#zKyIo<Gk(Q~C30=sa(pgr@T56VUmZ`6x8CX8s5| zUp;>SO|72yLg%aIUD4F4d3$uea^4C}t(-SS=PTwnqNx@0YtZ?xmXUh?`5zCs&t(C3 z=09`$WC5c2kK9^WfN(xlf2S{?cRod5);BQ!M;CXWETDTnOuvPB?&!dbev)zS{=A>Q zjB$=IkfgEAJl8kxq~F3g7ct<YY2=)f&0FfrGR}nzwCOXE0Q8S*+-=eU^&ck(zSYb> z{o^O@b4ftcyk2)_<d5^Z%#lCNa%&|4x8~<{aU*}6)@>R2BU3ji|AVQUOh4y0(1y;B z{{eEhNdj)pKh#Y^=X|;QXy?4S+i2%Jx&Khld2pXo&tbWJ)N^j!TIx9$?m6l?XYMiT zIY;h3>NyAQHtM-u+<(%}+3}gmL<Xq`OUQ@1?_ha6L60~&na@-tMv;142<xHlCM>U7 zz!WFP@R?(YuB0AELO$4ChUHZX+{DSDeCBB4MpDl%!g{d#5|&pk_(XR5MX*G6yCe97 zn^Pq+aJ;N;F@F=Q`wqXSFBu@D$dVrjG&sw-#8@0}HZcrmIhz=W<7IZ=;=A=F#}bC6 z$pnHxntV^tk4u?Ow8za&C0gNloNgu|MVcH+@RKG>1@$-{yZaj7Eu))CSWj`gE%-!o zYZfe#+?oU$QjZ;BnAXk3@+K1(u$GgF^H^S)KmjN3=P$_<QDToZ0g@#95yB+NJ_IL8 zvKL{!BzZ4kL7eQ%XUY@Dh&|g0>uKF5u)Jac6HdnRnZt?Q#2yPmKD9dz%PSPP!O4z% z=1^iGv1cn`J+(Us%e&F25hszkZpyecwA(!Zf;xM27QDuKaZ)1JO%Znl?cNix0Cv{v zEUFvH;-qM<+gO}E+Pymf0y~Rz7L|?G;-p}%+i2Vxw0md30<H5ASHbG+=N7R#F9pbC zN#uY9ZMU#-P}AMpxTLR{i%Zd4WOZ@_!emKF0Zy`{gn;$3q@w`>t!rnaLZ3Vn=cS*W zj&s#pWOil-@T5tR0ol@|@Bm2Lt%<YLTTI0n>n%8)=>bk!*Otajnr?O6WA3Jm&P!Yc zz4HRMh~CNN_Kd{+sqVH75J-{^1msJS_5}!Nou_pcWsUuC5}w;5k3)6I6LC|z+3~nB zUGjL`W8JJW?!L~Vw6Pve^5(k9;~uNKw*@RvJIOkW;zlx@<i>Ryj%!hOn+HJD&S;%Q zVWTyi<iK?sio2rjHVIfr6ClDGK2IS|Bmzr{XcDR0%{>efbO>u|ctzsGcwlKP`T(ih z*?kxk@P##1yl8P^B(O9ZZAI#Ka1R3o*M&6|yiMZ7!@$x=^haX%FT53U_Z{AAa`(TZ zIiea>^hdyrCAbSLF$EXgAz5OI`!J<@J~}}(I~yG(N}i2ABAT6vUIY{j!D;t7X=04K zOqv+&o=@r4MmvctrlV~|HB-?j(1Rp~xra#;L)^nC-Dva}5S<~o3b-MOcX?~c-Oaoe zQg;*YHL1Iiw*)611ehbyi^Al|=vTtoiReXQ_YU`bnjlkHQ_Aas6Y=gTl0;v3KS`pu zyQL)2)BS@u(ZfBACP)|7l<<n+L{DI8IQl-Z8{<Aq6#&ATB3?9{=mIPaMb{C#&D_JN zf^cC?0dEtWxC>Z%5}l*&!r2I*HZj|RU)nED0PsDE@C3BW)y4^GYh_#1lwJ@g#Nm6! z!oAQgCmR9SR>iicD)kp9gyVZg!%fjHdmAUP?JC=%qVyA-5QJZ1wLQT1u-fk7m--SY zHUe3~qf&*&MOfOe>3SJX;v~<7|A}YzB_!MU$r2K6EM*DtHXo!3{iUZhT`$7@I2JSE zI8M!U_$&Mpk`QH+FHMNBfuspYX%8oPD%_Ma`z(A4&qNZ$rDmG0+oeSu3wGOO{1UzG zCwvdR?L3}IZ@a*@C@<B(3H~-bNy2`cY)OJY-fblOmAcEu2BNhw*)<d4b8L%=@CkO! zc=#~eVm$mS_COgvrS7t_aiX<R*%l?G7vKaBe9v$=tnRY35m4I_*%n2m{&0dbzGo=B zQr%^0<3w!>W?K}Le!81rhhZv%8Kf?(Q9jgi2V29-?-9o*W0;EIC{mY;(R!$*30qU0 z&lJbUV3=dUuB0wUqkOQX3|mu~?<S59#V|*MH<G$`8LbCfE@5lR^FNVYe#u`VyWGkD zgqu|bGjKIoEn>_jR?8hsPhUJ>lp>3Nkgvg6%mv5dYG#AOa2B({fw-E?mRlINzW7+9 zVQD<UNFa^Bm*0;|o({Ih%}xbd;c7T7OrsQOe5jG1G+vrtkE>y~T*J6!v`~%KQ(SK6 ze<Haw=P!|5n({TIE<2-PS_>CjGa0;qwU`W^$JUhPE8zJ3m?e2IO6;;Wf+X>NMq!e8 zA0sD8yqD2>N&H@;1#!GDhA9soBX(^!T2E^^fvqXdXTtGV40AZRo7iPxluvDm!`2k$ zyTS2}80JuLA+c+#(Ryl25Vq#VMUD6<+2E=SN<%x{S3#(`M`zBvST8=BXmC{o9YH(y ztiA=C>viVU7s=wI(FWJCAbYfP_bLcB7wOC^FItO_1{+*QgVvy(J6GS*njaa)Sk3*0 zLRRyoRjKSKdG)QfQ+RPu)7g7*Nnbq|l%hA!YUZwn%8n+jI?9eFtge$CJ-XVi-P3td zp--9#^3u;t2f6CaGn+G4YotdbSI<h1hOdI!PEC-d-h3*^SZ~g0PG5D@?rFKWNz<tg zdTiL3(R|4;MsL1gD5N)Y4c#L_f2uoeSKB2=53F939No9tPHR4`GcUW?4<E%Fy5&Kr zE@>iYN;fkeG^R@$4|=SdQ3l=DnU`LyhmU$2T;)NJ)t%c`-%^{&I`iU-Wca9?!F4#O zMcrw>3R0V+b>@W^t>L2%2G^mWE9y>@)wgNc6rdBzCIL5~>|+2O%1!|ypzI`I50sq% zd<SJ81%3y!V}TJcn*elz*-^j^FgpUEgV|v~1ehHH>;bcn0N;Vxhk)N{*+2CDMNWOb zbPxE4GOt>a0>4vIRZDk)bF%)IOG4n7%>U&Qx3`TvKfBZpY?JwS%>|0$`uscR7J%nc z|BgAFD2|bRZ*Cr_mHM~O*@`AJvXQwt;F#3Eb<S8+hV-LLV6Qnj_1O}&H&3)BBl}SA zU!>HhOXq<;Nxxn18@S)LcZQTYx%4A&PU3IdtAzb`^bWxOHod*Df5Y6Iuq-{>r?;Av zI=*xg_($wtJ2yp~FPR%7rk2b-Ce9bn-6y6N&%wm`qPcEjYSCN^alUY_j+k0FS4o^N zm@6cv7R+5C&fl2JA$H=H+o49W(43#$FOCCL-3tE%Qm5;(Bh=U`G_T3NAdZVub&vUb zkvg50+rh>vp?Oudzc?;j)jjHOO6s&<b_5%*3e79BKf!T9szp}g164Px@t$h2FOIU@ zE{l7Vtsw6aX7`gjU;2|oNpt>xsu+E7$;-a7xWr`(SzP?`-_p4L?9=4V7yf=C^BI4f zsCwG}m1+@*i(0-Wjf+?YrEy4hk0@!%-&8d7%zsM7K;p#NX5`M>*+n9AcH?E$BE9h^ zRX4ryyoy0@ydX3$&(^?k{>wFzxc$p#C2{^L*Af3$#7>)Kkk-f)R!{iP3C$<`Cxq4G z{=-7^asP+HYNh`avD0eVk=95RnwMl>fa5$=-NXJcvD0$7o!XcvG%w2bhvS@8-9!GB z#7@&?M`~lR(7Yh~)7>~bd>?d%jIV{x5b@`rGXVY=bS4?U4?2^G-v*tD$NvMKiNik! z&&1&Sz%$YKTJTIH{v3EF9DfWv6N=vlo(aZp1J4}B|3f<yg#Sl&;FpPPP8sXWza}`G zIM$g*6A)($>&&r+WzHn?OiIHWPG;YMo{3ma9P>;<L!Rcv%)7G<XEet%&%`#+G%u## z)!=VvUvSPuHH2thOuZ|?)3wJrXTlnGYhFBim(kF`i9-&wOswa4AP3a%F5~}^9B7{S zz%HYo320b`57;$m;RB5m3+yfQGy585;QKoop1}8Q8YJ+2>jnvWeBxaOexKw(-NZ0^ zOZu6;4QJ3Dr4tkCTO||2>K!E$57oDdCuHg!#S<d+t)dBmdPmVjqxx3i1W&!AaH3Rw zt6(Buy`x~_qWabijz%0yMqHKnG_=EA3qlP&Y;zu`UL2c<xGL~R(2hOYw_rm(+q{}X z7RN>-u48z6v}3mx1RILj=9L_4acnT+I*MO|cI?!?r8PW4##jygNFl4?l2$5<C2QYm zJA|A;O-C<hiBmm?PvMwnHE^||ve+c8qbxQ-yG|B+RNJm~?&K&qNi%pa&dfC4m1CaS zkg2Va#ztz-N@K&dpteJUx8#^l;f*=woQ8C*qt>~Fvq{sT#y>_jW;9$v#^?<fkV1L` z7wI0s|Ecb<)wWAw4`{DRV)tp=X$_~@=4G6II2Mm|%kd~XX#zjRo*BoFv6IH}kJ&Ry z{C&20DW@Ke^+sIf_{ZvwZQ8fg1~S{cm_vqR-4NGde2conTnkbgqS@w!9BVk%0dXC| zUr~3MXy2yQA=qj@q!7mt`HKo~5~;&Y84A^PV5@7OB5_PSe{szF0I9=SIRw`6vDH;j zv^XY`zc}h`Me1-+hJtn1vDFpOCUMMR{^E%DM`Fh>&<eTZ4)mJb@o#Squ3F{&k?+c? zyUSl>)?HA7vX~U*5T#?@I{`N{>m7wln)N<{o0;)m<c~4xPAg}nF)>Q1G$vYkjnbj@ zcEXuYd)wlwr@T>qHxd)343)-&C_^b7sP`B@Dx>Zy-xZ0u3#}!0G(#(-jwa|esiP5E zf@2Qy86)0{*rZACSJ;^e??qzA4&^mkT_(1=6zYLv@XBOKjIYvH663A3ki>W@{}#u1 zC_`y=>DcNLs0fbn<S!0;-zRopY&I1*XvO&<Yrgr_{+n-Hg4dQEHaULx${J8WTyJvu z$~XJheUolEv}^5-&6gcE`0RhS^;>t_afid-?BD#G+->`j*p2K5m*1_~`|F<@PQ-t+ zWy7fbS~I^$&q4W`-Q)+qtq1%gzX@NP?y@U=jnC%uudZz0d3(*;x~*St?0?((4W{>` zr2}#5n{8W0Ti&b<vi>#a@x(W$H^pE5*4UHyc+DEmf?t(xU5{(F;Qt?6Um4X#7quJQ z9Rj7eYmh?F;%>zW!QEYoyL+(~w^AfQ5(pG1T7s4qcPLU?w1pNZMKABY>#p_v_~yr6 zvu2&y=bSw|XIADs&$EdE1Y7T83rOvs#3%!>A4iIXKk$Me8B+m+kkvPil5SuSpc*ra zfv2>Ekxwdl1z;dP#UvF5XyLt#0FW|}`__IWBaZ=Kvj8xl(kTE83u$Tq28fBSl^uXd z9#dJXj33il8;?Qki^&ehEXVZ(iwywqZDX<fgk#)dF!4wkynSOx^n5F;aET<h@L&TI zSo|^Z7~V0nRm2kio!A2KNR8jm4iXyE#ZY=H*~VZi3?yQd0|3B5B1-DY0b=d9z8^`% z=7llFgyZRfzKQt8wiqM|u}=zR6(1ven!r!U@)2N*iRGM9kD-Of{V4`S4M@TOnqL91 zT4S)jlLL4jXQ~eY;9<@C)?xvH1LQisc=U6&DdY^~EcO__TefjTq!2shd{RbS0HL%m zehhIi-*QmQ0HY+GExwVoB%vf7K#k;GpfCX@zAYvxMynzYcv)YWgemtF*H>X%6VGD} zfT0{R8w<eN!WAqljTOnT^YO)$#2!fEVa#tOr1!;&sf?qw1`H69ip{q^2GwyyA)a}_ zJ^{En;4cg?#H$1(@-VXfXZW@NIKKD_kG<i?WS9si@G>%;0)mATtnfmWmxJ(Q(!>X1 zu}Fg%>B-})l5rUxvnFS-S(#LeJn0?zylri~h|m_%+~X59d5kF0)5rKW#?*cUC;)H; z89x}{2r{bZCkiqWo&u=f*o~7sYyswV03yS-0OQCQOg0Swj-Ea)qd1E#G0_%4J12%x z?bH^F2U8)A@Nr1g)#QmhvX34;A6)}=-vCrJ1_@#?Nx;jt@g#(A0Lg&m%G!7W&*|AJ zBCzWL3(-^T$8mrm2Q2AWk7J&~!yh8>jG0wrep(sW3LqpETPClK2Y9B;D&i2dKHfb~ zUW-L$I*7~l_@It)6>z?{CSq!^;4lCmGuCK&mK6tPO9+)NwR<#@&j6CXZ-xp;@k1Un zKsb?~k--mB(l_Vv$^`r|yw*&QIR#cZn8tbR%XN@+%Ql9-GLRgNM~Yw1s)YyofI-G2 z`(H-#FcP<t$c6|H#wO8M2c`@F{%ctsSU;eOk8mQwqyMjOe|!Me;}02=0kblON3@zb z8Iw8&msHa>j?{fi0gD8yvI?O2-w9FWHoMsPZCYIFQ+owrc8QWaPOXsrl%Y%}keKZU zTfdLV<lyBF+b+cf5>aLF>Dy&tbdmr_$TP+uK92|FKR-=1L=l*ifc@tE;166rZtwR8 zyGdLx;2h>Vle6N3g`N_!VIlsKQ*Z^*HmsTvp|X7HCo0OQw+-}_^IIxvBriP4S)XBR zlk`6grdCkKG+ZaY!L6*K{|pTJXhiL)G8kX5l20uZ=r845%|ew}#9Y9(W;>ir0s>Fh zo9Ct)*5J0#C*At)9}l=!QaA(v=m`PUFR@bSD?iMW@8J_yZUf|5!la)1x6xNhcG>;F zf1E8q#5|M4z+asBtZENmY+5)5#*-gnAD<@&foIbkDWk775OVtPY$|2&aXkilJcm`C z1wewAlZKfN<XTiuy->7|%z!YnEvlznVAw}SK$w{>J+w2xH%a_APEP%e$4mLVQJO0) zJo<fAjekkZzQ(AYJ8I_fnRgu1=G{S&Hd>ebn$$VRORsnm=&IsHf0L;8RgDU<-H2n4 zN~|9*H&hkbVSQ0AeDYMXgLPC_kDTS^B3?a>#7ZKk6{E4SGf{;g&&$<cIgJWTe0Jo~ zA9YiUvgx~<SQUcbb+5K;Mwy?OEkvDNdUV<t--x4Z3~y*qHu^Ug?Ka(a6DMYKQ6eWx zvr)V!wBG&RHwEoB8aF}hHflFcYb~o$G$*t(Q8Skw9X7~2%GH+2yR;Kp&;FRpBbWY& zOPEW4NQa$7P(1$A4%Z^X-JPW@o9CD5$u=_0+x&z%EZ~G?+%gYWe-fSBi4~zzulFlL zj7tEge*fd8%)3T}4fw{S%|<M0>iG31amSUGHy+bS8{wM^qzy==xoYf{hr$kR=3Ozu zMzq;(EDF7hOLucIBt(0&VBZfpUP^1aGep?%HgEr+O}mpu*l=CK5^OjwJrZo#F8LE| zST2t~MukFJ1dnQ+dXaG2!i#RCwdo>kB23p@i1&!bskaioly~8ewAOtCU!vsHTqGI~ zW8_6zt1Nn?WYF6(*a5^iSSE1U6hd4TiAMC5@u@)M3Li<_6{?iR!f+b=Rf(v%4d3XS zw=hrI;z+W@k!9%v=qI)?p?Y|zb{<~2)-rTLFjox)`oF!*XEHS_h-XYPGP`HLw=eeg zP|u>^W=o$>5!RMQ&I7@?({N`OFgQ3EYa}m^!}MMEBJR@aRa0gYs%q~dU^^58DWrI* zI@U+*hq+6svg=o?PHafs(sVHk75W<H)I_@&W~DejPPt0qpfQU6uBej5CSB<5`$pfd zh9Ik+LDq5~-#<RNrVdApHPThMf&XhZi*m4t^ZS9ZX}axT=i{;E)HBM*&3ATZtYlJp zW~B@sJIq8vAjo#C($3-W5(6WX%rj)jKv_stTq2RplHQkmJ~L#*f7|rer5cE8G?5^P zORsYN{VR6W&sj{e`fs<2-@=jB_8sa;&f)GKBCuKQIW+UQ4MN-{!0AQ~ex3rKGtq+x zNhzTE=+3$T>F1qq0$HDTjs-}+?3^Ey`1mwlj=1}Tg$JM{AmMo^iN4;M)sm*(e<vhM zn}M$&rIhIo_I`Q-q-kgagd{&O8AmT2?H9Y-CMlne)h^kJP8HOf-oY2l^7L~~S7wKn zR-+pPKPRI@R~X(*rLL5;^qwIk<S%i@HnCTpvW7pB#5d_yO4!5W9er|-GjmI61i_DC z{IJYGS3>0w;OO}|W2y=v1q#n=m+0&rJjtBh6gVN7+Y~#|_4X;fRO^&@VGz!ak}wKa zMoIManj<B2F5iuBO0JY}hhwQuZ0f8$<qJ1*@_`>u74!}wCCo3?CO16^kkUfn<eVuH z#{hD3MoAdEOVB<%ixCz-dbs~;m(L^M3Y@(7eR-9ke`*jV)eTNw`hK;_AO{}JE)^(= zcM53s%qo~_KuQ^#a=QlE!>}wED;NHmGgd4dtT0OTIE?<>Ldb-{_0kp;5z^eIqr->G z=8Uqi8uiIT%O=vrLr1r!&x?n*rpX>b-KIZ0f+FCbvlpJ&I|gOJKU0>{reity*$ZT4 zpo<WaBI)dHlF!nW+a$$d4k+o~DGZcUH8^?x`x_{O7EnERp$Z}W%v62ka2Uc!R}$|Y za16%t2{85|g-y*^lySj!9fQi@q+UTOrgg4C?y&fFX-!yw1>^c5Pt)hwLt!t{x~Zx) z25n&S_>P!419$o#N59G~mBCj?Nh9C-Ee3N2j`SMrx``c#c`1Log9SqkS_;bW7Oe>_ zUEA66&G#+z?e>k5v{d!Y*a{K+ytH!#DP>j!s*mjun=`PLV0}bLNrBZzzps1h>1B~- zPaPnnWJ*4^Nj)#wZIhBO!D^Rc2PY4I&tGPsDhaR;(D&3!n=+tSE3KTOSY=rG{t2Ai zAqfF;Pwu#ymkO5bvi|t^J!ZL-yo4R|hnrs|klWqwEzrf?uO8^qDft220A=8Rx4t8} zz3=Z19(4|I_sq(i>WU3Wj8Du%N%o;<pruVyuU1Nnrm9vBnqDu2nKaS*^adZPqO6UM zI8oLHM>r_!7e}=gLUk7tO=fdpB27!PVZ2SW-o4&O1?|=vM?vk@YDZ2MEvsQPO|&y% zBu%tlz0OCR7D81QgwU4du)#$l*WRebNtfQR#dMe6;6>PI*cqgS@u;@VTIwjV&06B9 z)}hxQPMdYnjj#qU!bZYY;AT^grSz6Qg^k0_K7|d!mnOry;Ix?+#RzNBMgEbnLC9mV zggyISD4aI^0*bKaTjWQFRY6*)j-2d!wcxa=7xV~gwnhHouvkb7+0mX|PlxC0!jlQ4 z6{O>6BG`PTnQu+ispp;N>->{6q?LZh(Ri@b3W#eB<=B(&X_kAUgtSucm>dhHSpl)F zi97Zrc$(#$U?Q#LJ0?eiBfZR)g8jUfe0n-Mv^^e+{uZFDAZtM=tKJjjYIEbsj@OcR zPko1nd(Ybre)pcrjw838z_q=0tF9CGRnWT==qjl8WXNmDt0%WRr{l=AC!=Gs!wPl6 zw+gC0p<e~922XWpcUUQ{(ah7VftG_Yyq3NM|MN8a5`5;l^f~xq8N{@Pa_9-{;CJcq z?KpDo3G_6}IvGM(Npwt(1TQRuN=_PAK*cA;E1;s2v=z{sldu)g>yv7P)w2%mkzn*P zh;Hq|zQ@wjEd9hDVa4B}jShaKJW;O&+4typnx&qwA*|Rtw1<NemqFxf7j`|e0!xK^ zvq($xLZV53D{~P4q={2ky}(lbUM|woppa<7|G7Dcdvd|C>y5xt?w%piQnQd~+@H}L z#6D@_*p(u%l(R>Pv{Wo48uPz{fS4yQP?pw{3n)v=$qS3-roCAx=&QezAnl^Rm7tkV z7rYSW-W4*5vH&&i6+=M{dudQm{azRpwBau$Xy)BjT$t|GRamI)*5x}HWYPR~PYK#w zvnL7#t@$q$!d$yj3O!uATqngXKr8+Pf@ahH=t80nONGgcHcPq5g*HpsNmQHV^TPB| z{}TwPY;VFGRJzw~4q}`vaOm<CSjyZ(A}qxVC!M?83)7vuoC~#`yX*^zoV%<GC!M-n z1(q`QauJpyg+wF%y$}%X<br({L|`dx&k$kBTS$cVFN1)nCQa<SGz6AX_9zjStc66w z{*e$6+07bKUhrlTDKBu-gOumLfg$DjZc32yyf^7cd7hhaq&)YH2U4Ev#sVqNd83V# z=eUtU%Cq0_Bjwp{o+9O0Z-|icEH^g@dFGp&)rzJ2?#oeB|JVDD%Q}?&*L&n;GO8bT zcX8>0>TkR|yX;(JUbugE$&Ko-zf(N<<rdw2Cv_s!+5hfN<iy7<TI=TH$*+$7w|A^3 zzg(l$ZrV;-I{K^cC{BD_qucMMF1gn#rtjk}Y1f!NqZMv$Rw};Shh2`g^_SgUEHh`{ zoh(;;zW2XOZtE|(J6N{Ky4zm<nt8XfZ1ZT2Tb!fCZc0`vKHb}2;<fd^zUw;99=Ufu zelc?YNV^}ow?6)YzK0%Xqwh_RU!d<FS@-CBz2h&#_gcr<!}n^(FNW_QN%zC|a>rjj zdV8%13icE@b+#Ta7HlAq7AC>z6W+Qjg1kL6PMwv<i+LOFNDG}{k8y9_l_rj!M90po z<HA=Pd`JtGV2?3xf|Vwg9vsKcsN=%yjq6pxmW{(z!RC$Ylf^}Ex06DjPGm5VN2kVz zPoMTZFM=oCI~#-3J1u%1^{ty4HgZ>+>Nnz6o9Z@ZPYUO}_kv+=oo`&lLn1mxzAOS( z>06s9*60;2lwCc>+^1c|+#e-Hs+$bj#ogtKnrb%;R|VI-H-h=yMu4;4j3<RN-Ydbd z4hz*D)piTz9@Vv`74Pw2ZP(7YVEzt^)(y<nrizW<D^2AaJ1b3P8;1xB*<g=R?}KC0 z&)(a|i&NeQ%S{YDi4L9K$Ay_2jR*^Hk4c+_SdVy{g=h~=n}u-C1=0f4qw3J<d|a5Z zk%q7k4n9JAcP%#od(ifsrpJY88(Ihpp5UWl@1o@<${tnwPPOC0lnp|J1xxVJNAFNb zlOU|t35A3M3m3YPP*YRbgu8)x6EBR@2~`aT<}ZXHp?apUad#2(CQewbBPtgT%w3R1 zLe)%RW9}5@O{_3ZM^qdfn6q$=fIcc1c%VL*!aPv#O^-UE7O+|rv~OVoDhOZbhBj@w zn>U#*xSyGNbV7|`;wY#gj0OeOhh4NoyBGYSP3!LBO~5&K!KT7l_ifXo4yYz9u^p-o zb83fnEHpNm&bU)FEl#`7n0j<Tkqea2rpkr1CZH!O#`MSq6=4c<L4}xlxS+z|!0d%p z1XLPU&<2%+1+_t?P5DRNw;@eWVNMPxcX;8H`vM#|<vs;3{N#>?13$U<!V4$eXCO^a zV4MypdpIy-Aq)WpnZnTS2uKqRtkxc72nVJuNF$(Jrm$i6SCA$O7^gi-2@XtIxNe0q zEp|FZLKj~<MM4%|Iz^f;nmI)pFG@H?8ZOd0Md~m9b&S+oTyl)mS?qL-)LMM)7^$)N z(lJtP(abSYWl_R0QfZOaF;ZdiuS2BV;$M_p%SBLAwnt?Dg;&!HkI23YrzSFwNVB7( zrZ4W1CP%wXKAm!n7rIR^+#?N+;-O#Xt^<z(pf=u-x<?Msud~<ri^&#Wy&^S_l%Zc| zt}_;0ENr|YRgc)AU#G8Kk4l<ebjVd*;4}$!$Sq&TEdFhitGKv;XS+no933I#n2y#E za%C5La59%jiK970AH&f{MBme+Hbfu&QJcBV)V1rPM4MdE1sYD~94T}ZV9uO*F$Jl} zxIjagGcI}|73mi!2y^;H6Qm;Tq87rOc2NeYNWCb4FsEK*LMl=&5+Tef7m<*P<f&Dp zITXx4>1?+G=Pz+`YHRca7EBc*%^!D>C!7^m;M^s9j%~%Bz`Q9a(p(G7Kkm%B0%tFA za%@ZU1iqT0N17{v`Ny0wR^ZGfdk$@V;7O0RZg9FsTT}@OWezRbTZ6-=hF0OQsY9>A z1!og4pif(Hi7Lw6sDu+`Zcu`QGJjE0yCzUKHQ{AC=Pcs2IP1*o1@vz7E-7d?*C+{U zH&-ihT7$1T(|7@AoJqWZUTw}LoNEGAQ-rJVW#>UKk!xENc+#aU44m%L77T`sI-f1W z8B1#0%%w^a+sq|OY8~4AJ%L$M-3W6q7&hX(;%PeNJmb0e$$8w<^po?T=i=jA#}k-2 zRg5qf1@n(M4=%%LOZM#Bpq{|=DJa6656q8tu3Cmul{neAX?X%ur|1#pY+(Lj=h$U9 zS;?LqqC=pt5Iuo}K!8UR_U7hrzI0V5#5;k)d~_NTq7OV8x0f=9bETsl5rqPUxo9mU zL<4v<X8+_-5HnrX5s@TNn1d!nLKJ{UqxSzG@D}uMD7+cH1BHKmB(4-L+W!OcdmvhY zNA8HQbSD(VBpq!5->^3jT%5Pp6f~W;R}@^Fvp)b%x*`127uq2@>8N&yR(hfZe9fLy z5IAekC|EdSzXF7HKvdIJ+ab#7suu7S`*EPQD<Tfa-vMbwV?yB-=-=k>a`cWlybOJa zfXD(pM(qy-Oh4Oi3oK6AA3)#?>4^>qZ-K&0bRz-+PB&?Th^33SK}6GO+91N|7f1*w zUDW~MEKrz%PD4P1fk)`amoyxhj<$cq`W2?3wGa><;L)&s5d=<|u4?~CWO{`26Cxli z|LK^7F2e-FYn@t<$25h#-AFUj%f<<7gB2KWIHyxf^)XF;Zy3@{@3L{+T4V*r8D8ty zl6y>(+bfMUQ@d;&v!+;qv4(Rxw!|IN<n&%6%;YW)Jz73oHhQ$YzdY<Tvk0$6nf3Kf ztn$NqyH{bG*5)TB3)W|s?ww}F;o>MW!*CjunSS_1yIFUy|0-<VTKt4&&RX!KVAgv3 z^0329Gd!`~Og-GG-K?Xx@x)}tn&M<(+Ir^Fy~7OIOSuZG>`gnN@ob5?JalP^xNLN3 z3AuE4X$d=~$?jc6m`R5hw3$hU2ep|=U-FGwZ!g21hC4a5xE~ixSuc2|hShVNQPl7d zD<)vrQe%+v*p4OPVqh3i0$5mx@sr|}=Eel%`sJtrrb0kX`b73BI&#v~D)KB0@>~E0 z4<>dcAV!HNrbalY&J7!<3SvGT?id#uQ*>JpOH1~2;0<%^tF$Y3JZRjCt(iQnF^_fJ zJ=s`|D3QW*VUakhxY2BlF2LJDS*%@_jd&(ucKBSjDU=ZgQ1Te>edU!VXE5R!6QXxP z0-7~u`}`~l5A;xOK-RM>Yj4sz&Tq_xEg$(Fq`UyqTqFI?;1gGH8{&V4AuScnBN!bk zk^OQ2X9<tbS97ICRMKTKC&_<?)h}HzVItCFO2c;z1;hR!8Rj!2Q>4bp6_9E9JebW( zN{}Go){BsDFYz`d$n*P<$UpbgVmr0X@xC3qb(yI3lSBT^0|896u_EP&cgi_GKbILm z43x`lhx9j%-X0D9{k^{QDe%t#^s5Pd$@ktM_Gj<G8C6y{u|jm6PWqoid!{?Ui+}&b zbh8X`B)MaVk#;i$ZJ+MTURA_Tew`v9C7zYC3?}4s(=b;UqzYJ{N++AD!HRZ^FWA=9 zG9^kK@A$L8snl!LDprD-#~kEMq5NRl_#HHw<FS|R`*Kq%TjSU8OQChz_|BW1Dnllo zD;v23hpVjJr~Rbh+QqIFOU2Y0Wvy58?RzPII>{#33FN-;i0YBnYMX8i*NIPJcU*A+ zmaCK`uQ0P~Ch`YP^c?5DPj2n0tXWoXp70Q<s|?5f@QE5`64H9%xcjE`dU91#>u2Wp z;%lM+S*qOtgT4eTezMr-c~26mIQy3+U*!m|Mxz*4Bny*>`7*;2D9b;l`sh5TBfC3> z6Kz^it7Sb`_kSrjgGJqh#-trMR4er~B$M$QP=1t3`sQA?<f@1fOZGz~P5d_OVE#!_ z$wWTCW|I=0qf?hr@mULXE4zwJ9}Z5bXpX@STuHzrlDk}30^=tgwu{DE*K5j>H5moY zkGOapA9=ngLA?Vq$e4>Gsq;DwoLJwij%O(0*Nk4L*^JaKzm1UNDvXS8Zd>O1Ln2-L zT2QLO>Jf(*7O!VhNl%d2-=G^^Y9Qb8y|Zs#I>gGs*}ooeBDSd>>4J#PmFtXuS$+Ct z`Vd@52BUhDOQzc5yAI{O;x5Ml7xdq@_cK}Mkz>#UdX~$!#G;Ay`uX>x@f3=!%N|^4 zZvPgnxjI?gFUi}-#7Ukn#$sc08LoDwd?v|X+segYx~!%FbgO$S)Hwt6Vcidipo;9j zcOR~s@&8EhckCMhTzOp&LaKKD+}(~*49*Lb<K_4jJ-ND!e>tJsy(G{2sB@khK~fNw z=Y&xiN;?!vWSA%RBSQb4b{E?}ZwMP3q}K{-S&<gDc`a39ad+~yx4oYa@Imi^Zrq-V zmf-XB<yd)?+zBK9dNfkn_Wn5H#C0xTPcT!0Pi*9B$6P8iugli1M`;_x$c|wYim5m! zh3?n>R2sV>|6V=cOm0(&tg);4)jKEgie<v0__#&l+g}COe;j@?`##MtWou6m07T$z z`yJ=CRC{*1`WkCgX-}sqjC<6Tl-Lx8x^v}BV7?QPbL#&Px}g@b?qHqt?8Q0L`*FwT zpr0|(QO}TLy0fwxM|djJ)e4@5$#G2tv*A&Lkm9-~d36_+^6`KB{f+%(a~tYXV@@B; zFF)1zgnlBbTxGaRxT#d0V?bC(3Deen`Soey_MMLRk67T{>lms7#b|83u_v~3$`4eZ z2tv*KsjCRTai?{1iUz2`>QSPCdSV(oWO$YB=zF7^6%Q^a%x<DTnMt<z)m^E@K^;H- z_#L#-kr|Pu-0G*xC;n`Yp3`UZILLJlNcd{xU#>_D4;7%e+zh<@{C7Ari)pbUMkS|8 z;a~j&f3{gy)b#;Ti;A<*u7>GCE7$K*&bHK>?3U#9W~u|Gl;|$$sC$Q~>=T(J1=`)Q z?Qdn3nDp=@E`TC#2NnZ<^(+;c{%~wvBrc{Owl9VXl9A>YUQ8gA?A(>B_Zfv-2l_8w zOoVp!Z<=~#<CF;CXJ)FL=<}c0)8-xYRzj(Yvgqr;pNQ>LR?5av0TRHge%D78r}KoX zTI!gWxCJgGq7Q%Yev4PkUS1g;;k}%oI19<4QZEj5=B56v^L$z6_YIx7t6Egz(C8n| zOV-~#0~+5Mbv+WS=Zc%gU)J^T^a(QLNzRWDyfXSO|2&@iC5y0Q{qPU!U8Ufw#Vp6& z^k_wi-sr-w=wIIp&K&-v`8<IU*PUfMW&Yw|myNfoy4C-k->h*<(TzU6Y)Sb%kLoqT z?AfWazacbaXzZ)t4^_DpeA2w4{l%Atpr%jRkUI<d;#Vz<Exf^nD9Q}#-<J}NI~}y5 zNp7LJpzad01w=i=egPRvUU-g93xU5w6rp{S$N2?l*drqRYU3f1IN|17o8znz=F5<u zi0<Iio4V63{WZCSuNx(0D_&RF(M)Sds5VvB1kLUBvS@&Kd;ezI&48ur>t?3-8T6Mn z$s&lywDqcJ9?>eS%F(sS)h%|ssC~<!D||ci-%8?|x%K<hfCYXL+i%6^0cxirv}Y{V z6Do0t9&NjDsT+!jY5&i;)Zeeo#@=wUpHBVcuqjU9&(-m@1F~NLlWd;F7Fqq#93jV) zZ>tmSDgHezc510Ta)#h_KI%DP5A2$)dOF6aX&;O?^K1IXvY9K7=?7~;B)Ioj%g&k3 zdZiC#`1XR?u#?eWV~lxCM(uTnUR~J>TDHbczk9N%f1Cnuk8|h7D?TdvT<Wc(6fK6h zxy48=mvOrcA-Xm#L#rLdlZ~$vQ?TM?#nar68$MI`Yujm-FlL&}+xL#Y9RrcMovx-H zv(4`!JZwR3skhlX_R-2<-@{neBkeG&yvrZz-465b8Xb(5e>l`pdHTi3zT^m?&^lS> z_K)G);vVSx@%WjcLC>6mQN;41ljhg>0%Xa*#?WDjJ$}teZkl8Xw)Z7P(52e>n_M-* zW*cUcb1vi1ua;48X9F?O#3_8}NOT5qeBQ~d2G)I2-%K$QjGpsk@;r{Pe}FfauKB#+ zzEnO}?EKbBN_wq0A<FU6YW5oi=PO72sxPcYbWYQ@V+wRT_y{9~&yw$i%NzZ+tG|CD z-YtjFP0I4+#91di$UZ5BC;HCDrafqcphLQvEhw5UIoN+&f(UPXeyyu~9(q#nnK6R@ z1805oHE|g(m+XE{LWyCoY(5=bD!%#CTCZ&-Ex~mXr=fASr!Gw)%|En%CNh>PFron7 zIG0{ji>(7>OJ)1YzM`dVq&Rgg%HI&?Ynep>&rUiW=YQdcdeZv%1a!EuXPYC|3abRl zJG@S@TE_0c>edg8*-L9vMFT6V4$D)WG_#!_fA?7p;g{yHo?ej*g`W?a52!JzsJ>L3 zsm$B^p7o*M3Kpif+nR&x^V#ZP(okmmh4%A04>G;3h|@6$_|*DWr)KCtjqE09bK{*v z&6=Iv(_cmR&WeyfW52VPmR6htR<d;MdLT+gR5bM2Vf?X&*F3xgDgb_ZrMqZ{-}cg{ z2FE)OShOy+K$mm@COXp(@tYEM6{{FReQTDO9)FG}UdH6Dd!q&QumzbuFMine2uOn^ zmlb(+rf;o9(qV=y(m(Mef}E{_3Pid0cK()qr17U(?re>=TT`VGaVhow%4>1>%V*?v zYAfHhTwQ_<`1!Y9jt!~%V0`}Bo@QK~&dFX>*1zw+lkoIj`8*`_h9csj&eC4=`Kw{5 zgPT3(oRgvOvtq)Yb>6AqPy^QQ6HeulG)tFq_#=Cqt&^b_KW<iUCld^<#hYYHQ~kd% z>{;F!lpn=#LwJ8Fa!=4)8(7QC@H(q^JqJk<puYJ*CM=F~pN-`v+Zk@!;_r!73J21y z@7jJ0gyDK}uyS-)i9<`;ZLzIhUCt|gO|ceeHs&$JWxtYDWudB)(OVQK$x5MH*1^_D zW;P)xAUv;<)v^=%15`{2D4RBtY|luVy*`nAM5KyVC8^X`167*T6_lN2s>D3w?OCvp zTui2w9{w6s94MW7%46pDd{uM?Pu({0%Sv|WUXP`OvgH#u%VJjro>(^IgI^@v+wrfC zXa*_@CYV|~mr5u(m5S;*@t6N$U`_D_Dv_Np6R&Fgd0QnJ=j$=;kkX{=C=kMa9s+sG znxqtzui7`54P~R404$tsDb*u|-K7v}y5Vh9*WNNrpbT)Q{(k<di~3>0?^pw|)WW)j zeELWO)-a0KI`v_H@e{LHKmE)+OqfkiA6j`6_D)I0(qjI-CQ)RVF2v7(@s3;R>=W_q z%KU0|UwiU2HxE_SPO2M6Tv*Z*FV}>|Z>mz&loJs+j*w@cC_7Sg9^pztVoFsK!kS+0 zJR*3C+6Q^9R8`V^69|td=LP_3*H&9&W8pl(*Gz?tTJ`UE2~A#2(^^~X7b_@gra3?T zdh8<3RwbnW(y(AU=^cNA&c3EmV6;k41$PZ)TK1lFl|Id0860xRb#29TKU_-iyn(~g z7fD55QZ<d@g9<_K#j2>fV?$bVJko}aUiHrAP`MZyyN|fYljNxy&8t(n4R>p{Xc~C7 z*<ZH#a-92fP}R$PD+2LKyIX%M2sUG<err+nXX*a<;06A|i)z=z&r9-|5~?PQ1Sc0r zT)&+nUUP!P*VK1bY>x7o{FD<AnmguqX|;SZ`o_l1|B~hR{uNC#I@cJMO>4iNh6Llh zR^t(?;nVW75Qu&(>&Vy7H&JN?)b?|psdp{DrM&knDVk0<H*k2VwD<Q}db&Kd7Rahl zUg{`;p3Q^us4~Eymqr?%8^a&lSfkidK(j=sA*3x}EWP_I?^o^eW(uLLL#GExYotB@ z{EPi=$HNAFN%B9&Pk0K`u51*K=5)M<dTS@_6tX0ZXvS_9t#0`;jf%9oPfKiSp7FgK z`Cg0j$%b(z##1nHL^qso-fC-uFe719Q2*t5OmN}$My0rtm0gwh5SHt&m?ggENUbA; zDNmbr)l<%jlb0jFCAp=y<%B`j^OsDjY94-hFIra>IbN2fcGU;<+x4xpFW@M}ql|V< zm(MKs3FD6r++AHmWovg5wB$BTD8Og%0JiZ)I^6PM$e#3GZg;rc<6h7(m7!70l?AH` zRchH$Z)Hi^B+h-tk{D>+CS8<z;^d#ppl$bUt-7#Onhlbglj2G{)lA8kQ-L1?;)dqK zF-}c4W|ORCe)mm5i?U{(oHIpVDTWfwO(NTnpvUHrhd=iABc~K3SCLbkC)F-D=9`M7 zUdfK}6FnVY`>44Zi6=dpIVIBTT()45H`*GTvuqx`<K~Z21CYMiZEk|+nyq<+QUpJ^ zD4r2e<bj16rgLKohBY-OHFNVhls&Xesq%{7%&G9`&SkvYdd4bEg(!-`ZU0nU$=Orh zHn0DQ(rkh<1o&j$DXU3WKzneka(6gJM61Rjm4KR2W8}`!Kwjk&8O7Ph;hPM%{TJ+9 zeyzdnuheM`b>(+nA&=Pr+4%Y-_KdCr<rt6`^z-p4P3*%;(AXgF+h0tS<@0fQ##NeS zEYEquTugI6YsNjxy^P=xe>(n2wei_2@}J7na>SP_2sx6ASPf=ESFsUh^J#U=%lbIk zovkeLdUo9JuB=(~TPde%<lg7gYPeuSNKF#GA`@j5t4P!k7{4Kgg#w$x<sU<CwqFDT znww58Ve8{9lh&`5<8rRQ!ro*C;g4l*DZ=xY@@g)G8<PFP@M~S3JTIG$=QwT+ER%tb zW-m~%1YwRU+sQJZKeCjQe4Ha^O4-^5lw<U>?=#~3;y5P7@5UNnOj#?klSy5Ttz;ZN zwj9f%kO8*N+5Q>mk{$b|s8UXnT{oSwMaL?pw4XtSoKeL5+0go2Kr|(_2%89sPd%)L zEpn=WM?V&iBU+h3Ka!G6w@sh`fPBHNuTywnVZ=+ud|oAQx|JPsmtW7w@FRKX3gb%d zk7q^B5mvpD4#%pkQWRazO!{NIw}2F=lu-d_sH!eMCY+6p#!By1iXlfr^=EE2X$o5^ zig6WfPAwfa(pM=2)M1!9V~_HxL@azClj%ZIMoI0yO>_Cy<2+TGCMSCqNUn@aN4b@l z=E}-pnv$ag;1Tmvs^X*&A*2vZk&7KmkpK1}<l94NC&{zRXQ6{Oz8=x*VZ8@8johwp zmtbW0xZasq4kDyqQ}#o7H--LEeS7V~t@Atz3k{RNxQaEgi>MUSP)ZPNUzek#vrD2O z8^j@VXSked6|3r2B-jKBCV8G^A*|}nhGr}O;omj%4qb7YD%wmiT~cDmQ8y?|%>H~% z_~rC%ONNfUu>I^(T!8@ncz|%FC@}_3qU0d&i?`UtPn=};WKy`361bP!N<dM%%o}fc zyHahP$#tLd;DWGz=S_coHzR0LR{2)iU1g0;U)`J7<y5QQ;3)1BkNdJ$`|kT#B1JJC z%vXPP-aRRj4Cc{2B=2PpOaZjB{<hC&Kf%d5@pjjGVJI>9<1Wcssq&VDxs3IUk|<y* z<*2N4bGZ{clKYzsUZ2tW(}B(Bhf{zspRsU-4-#LSrZ-|w^u~U^IZA5yITRR>=6_Fc zjG>=>)gD*J9w_a%C}l^o2jjPzS~=7;6|%f|+i3DmnyZmYkMUSoJR#6bL1M7W<xRN$ zmha_odys|<>ncWN*~fJSo|mb9Mk(P+@6}_%&%DYu^u7g;dHt9N4|tjlgLhHt&~W4` z*42{l1M$sheKh7B2C2dzKokc+8wVJ8*`qcip&JpcAESmTtfTN9t92icafU~EFLHE! z$MLXp6%CSmB21|>H=OYStNhtX%+_fvb(F)YUCK`DH(Zj=L04>q0G*#O9RrJ)<P_^8 z!Vs{|Iv~5)GjP%Tr<ZCQ#`vv-j(w1~vU1KC{$yy_#|FzZ+Vz<o$1W#v{vQ>&b$4H& z0TtPZfLGpQ(M0<}Ru^2wscx4k9_~Ic?H_Tzbg;{`qbQMCp%0`zr)C_plo-pn3ptdl zR<k``Xz<EVf0&NSPfLTNn0)&W>v<r){8Q0OdrF<cpi{?P^_r94Lg@2!k(*hqzSiRK zDkY(L8Zi7?VJ@YI(EoGHG9`=sy>iJtj}(krv*9g{PE}(PZrq|uRaQ;1j0Fy0MG|9r z1S8upt42M=PM8#r{E65C>2|ap!+RB5X_@#6v#U1Cb}T~M8<wz<`9rQN4V<UX-d~lc z3X~`Ko(~}}{AhZy#rrwRAe`jbk=L(fMQX(WV0O=fB<xKd$BL290sd!-lNJ*n$UnY) z>nF;)*-Y(+g}Xrlyp8?ck5)^2`b-vhj?d<p$BLz~NC5Q42*zbz7Tf@obxFQA{+<^3 zZ*=z$IxL;?P-j9e6DJq6Ln6p~=%2R~kY!aIf-!2(bzmeJ>=;p{K;Ua=*GD8m_~ESb zkt?#~s3-Lc*WqM;h}H*>Y?1Z0{mL<wY9tBO`SF$X6I@-LHl>d^BtDuL%0C;_?SVxf zZFb>5Slkc`Q~oV!@vtv4ycNj71%-GRX63Rwt8BTvdwFnEZ4(nj;IL}2H7$I=4hxqL zT*|3MNMKM!0?hx!zxkumG($1Lnc9~$Y3I-a*HLex^=v$Kfede=r7+7(XY=_%>K~Tz z96T`|$#%>v+B_cc)Yjz)&y_K5>k@e>4z6%q>uoC=ZtLsf+mh*CkIh(OJ2w60xGg0l z(>C6d=5qD@6a-NCJPFG6(@_{SyRVfY;D7siN5r-u<&xZin5qk1U-=57IPxs<{$D?V zf!uqXcifXYwtePmJ~&_yq24+sUKar}qd3*`(-0XU?Vl93ujtCBtkVetmd~zK)moQ+ z_PUOCufDFF*Rc_}TAY$$DO6T(hnNa@ynN05H$}(MG5r-mB}|dIw@s`%XoS6tU0qi1 z{-<pA`YHGutyNorYq68Si&paIyKXy9-kDc)FGJ|8-9Ef3@hr&;*?3bq$HB4oyV!(? z?}IrwL=r#V4F<-LV8T81bs(wjNK05N<od_Kj@L5DN-re+%Ig&})Hmv1w_4s=b<x05 z%H+o;(K#@wyY?`pcah_Dm;>7-4J$SoZu~i4LB81xGEl#OfzixAB;X>7-0jquZWvCQ zd&X7@x~Xt_|935%OcB_H0Pws1mai#B(0gRqJX1W^K8IJoo|ZN0<@IDMjZz>R{|m*q z3M@g9@@abZv&=MDfB~TsZyO@N0y>KANBD6?;9-@tV5WGnTWpgnY(~6N?EO;Jk=HoK zCZa<dWJDsSMQNcG)M#>ciqm^h*IiC-4R7-JaZV?31_ysfrx~_*3iH{qWRCPu$9Jyk z-)rOzUz5j9DkX|JRmN-2k!u$YOM9qJ<MLBQ*!p1wLDV#yIbZ8y{$hLknopjE#0Ug? zv8B3WkA(-~QD6an7ZPf9&c&4hdBzUxQCF`dd^%{WqsX-e(D6vh$6ylVRxCfTZXR)` zF$+CZBYcKu&ANo%?2R~H7Eo?!$;@G}wKi?1xMFm96yOT&{%s&X?xm^K3J;lBzJNb| zrr*Wj)X`>19@}?u!D@eY#~hqW=cL8{R!UmwP45KDqzZ4j6b?&|1XU<ilHB2GT_DT) zIExw$GpTC67DpC5GULqeO?4nCXczosSviclOf_j9&pN0bpV(Fo5__RE01FG0#LrO- zG;SMR-}>_I`W8c98w;Bn@ZVAC>21ClkhuDYeg2Qg0006A0FF)pZZ6(7A~rAm?R<Tm z{6XH{p56f_#y9}1lb_-)JSd?%TOzz5R=`N;NA=T2J?U=)&rWk?#q+!ckg1+*9(Y{9 zl^#d(x7&Y<Gn9gNOl7D#s1ZSrB|j!LJ=dBaaG#qJhTXlq>gd!_4Nog=m+zeJr0W87 z0_p+O%-%maPuUFWAO9a;b3I=N1vGQ-N!cNsK7e=ky@KYG{Mt>WwHz4BycnKasOypo zGk(u9y7*K5VM@&NT?3c$jC+WhOxX`7^Ecx+`Tx3#7CeP`MTM<p<P<eAtO(+)LzO8c zSV5B~dZr;o>Twp^Wg(!koqEq$?+>PbJ~5hDb2NI0&}gme;d)44YO@m?*@pqB$hLww z2SqA;lezI1OiW^?jDjDtqEh-T;7{%mevo8DYwKVV_Q&5z*eX|uZ_ljE-uzDTlptGE zCrJQ`Qc0<Q0zA$`>%R7=Wk2{FEbSi7*yP7hi_ZFNtNvoiAn#mzX{xv6Y;}67v{{&J zmVoz1*zrQR_C`FcB|x4NuY0(?esDp3Gmn^O<U{*=Y_p1kM!lbubGcU1KP+D~2kQQH z7Wt00rhNHq(jUh}3EW0rPdR4;PIM=2mdi-jWwMN<FvC>Hz7_r(@?7u5Uhff?+$U*j zt3>aYWk3EFVj#*N8H!8Fa6v?!?&}=Xzq~9t8{}bT_<Q<b3J>`=HEy)RGaL_)@Y{n` ziFZu@(yCRns%GBTbt_x*-2}=UErdG5viyS&vDG4F_7@nK?ShV&-@i1AmEJ2i{1Mgd zx`9A(Sk7RS+X<#V>;6`s8O*4%0AGVoZRxm_BNl_E5=ozkL7tw?WRWMg)_kW3{$%Me ziufh-RMvOEwq@8!3I_=n=sSNM>&5}Ph@YIu`j?<4bEPSZuIF7JnxoVs^y!Ph{X>NR zOY-8nxFNm{Me0!Y@#UMN<|R$W%x=v()z2#-#Cz|JVRF#B#KU<X?DC%duMUDQBZ~)B z>tHF;-)Mkk<+~)eV|zmSZKYx}EIzl?<|TdMK@)fb#V;w5FZ%^Ez+K}|GOqBw665d> ziHF%fDaC*L&t&RwrPAkdm=4<~FcRqZYE>&Nu@(_{_%VI;u_~BFT$Cx;uQ)WTrZHG* z8g!W`VroO!+?;-F$)u}>de;q?j#sXshZtY^3=t4+q-!p&HmiH<>Vzr=f``dkB;(}L zPRa(7-kO_+9I}7;)Gqhd&-67Obvg&h(vgbWOA|WnI~`NRp6ZXL5g4V~!OKwXLv8Nd z``Kcf?GSC(GWPnsJyNK2TmG7GU_q+#Y|j(Aj=WgAVB}y9LE<^=_sgsS91J4z<FDS| zdgfIBZmkLB7q@|}X$U68B8KraDq>zH+5qz!u=l@HGvmZe?TQhl{*(l=DUI9lzw3`s z>d3H9Ic34dlqR3Xz!aM%Ipr7e+F7X5?B)|o?IRSNF{#oFHW5p0CiDSvoPNYq+cL+M z?^L$1%Cxv3`~Qm${{LNc(zcvV95^rm9Bf4Y`=aCM6#PFHos2S^Hw@~<@0OSx#!oe! zml?kZ1mHNDE(n4(=Z*@Y?kLZH!NE7ch@JomA_<w!&Tt;*|95nZ<)UrJb3Mm_mMTCe z#|Mg)FAgx#zQ2=W@@&u89>#ut=8Nv~+g+(EdAoN1txo>mX!K3x?OgQT_}7SQ#TA>o zsjt!3GR*mRbMg;2U!s1$jDGk-7Lq$orWJKPM;5o!A933D;$d&D;_j#}`X;>p>Rjk{ zNJvae*5+*P#l!Yo^sSV;wT7(Gj<{DEZGOE(ai?4Q*D#SY&s4L8cfe_jzRfU`r;~D; zO70G!oO2`de^P%H)R%qL<0$7J|9QhrZ`Rqcuoeyf>pj<9&CuU`30g=FwYKZ6I`iST zq-Xd}(@Vqf-N|b{nPT;gOhyZ-QDbnFHsd?7o?pyvr81wG4<lE_yprgxbbUYA7#SAH zZ3ax#NwoiZNdqFv`kT8+n6;!~Vs2_^^e0ti*NiF?SrWv#dY@k9zjjFf!ARh>`@MD* z^7}8~q*HOUyz$~~uYH&0A2KDUsjo{SNACg{1DX#uCsS!B`2tG<4<v|cvnM7_pDzeH zHD>x8f*nh)@VY-YlJQ%#H`h0Mo>4&E-cB;d9zX-0Uou;3eW>h=@6$T`jNcJuV)mfv zls>WQEI4r%SoN0dG9#_o^6!3IBU5kH(LY9%N9c47K`+hue)?*C$=MCx2Y=MZr+i7j z;qvjr+It1MC8moe9r#&S?2mZR`u1iv{b<#b88MpDgr)eY%6=Q84{lH&@le4t_gd-Q zy@DofEg0WnU^@+kZV*7ucna=WHQb#Byqc4s%;dM2H=P$F`?c6!-uM!3nA}LL<o2$k zF}_LO=vBRji5HK7poh6(7SfE8;G*c)*!RYdP*W3=)9&2g+0#m|-T9EuQ*2CoXOX%l zG!v*>Kiz+$g6EoU4SY>*Rxk+|jjL4+|E%A06P^Ry2esYdN$HX9!)!ixcfLt}{l=XM zCX`{&_9$nA?M?Dvu=MhMtbc@M)!+MGGpf|}5TAc}(ZdaO<+`#3!~BOfNh4o4%RzP_ zdeOcgD&?`Q=b0%9?zXF5F@3Y<zwHBEhiFC{?<8L<mk~Y}qq((uj=Lb9Zetqe#wj<g zj0i2Vy`m9pN8eoAn~Z#2d~JQ%_+rOvA+{@y^n58z^*KwF)rq-jY~8s!XOw}N{tD(a zn(V9{WEz?B^4!Vl!fwtm@m^!8sdM4U;KPK?HF0$5*Kb#Oa85dwE29OGe@a%oS#pnY zJ}Dc10C_&Utr!B2J_vde-S)r!y+(Ob&POfV9<7z#&`4%d`|Gf&l0B*t%P0Ns`6&05 z$->><AUVja_xIDVjQsr9OB@EdUmba-eEjqGBycAFlu*+A(<RgWQzBQ4!>)fZl^u9; zINM^JEYLD6bYSm984ZjO<v_LMa>kd$tlGMkZEV#~dE>W_duv4GZ=9a`wMyU-$>GQm z%W*cwy&#*4f59vm_l3GK{)-qCx8g~AnADk46zKrLhQXfV--rhsG%Rob)VOtU+5q7) zuSVJHZ$aD}V8IaSZ-E&ZU_p83Z^3l!!oR(rzd^oVx{<S=yKy=#-m_g}5zL<Wb0t$O z%uzyg|H(YW=$-&qws!a7J*HQXO9$HGu*QwBzeaxc>N(Cu>m62*DgRmW7w&S?yNF|6 z4*JCJ91-t?oE8q3iaD&oT#Tj0coFZAs#XNnU%c~oGSyOlG&WAk87qfYzEkAB`yP;5 z*XU=tR0BOl-k+X*kbd8{vmhw_rcm#BZFAx=L;MS49~TLe0`>DTpG21e8(rzE?9}15 zL!;P_-9wAwA!=6ZD4H-it(`AKYsB)VHC&x#!*yuPkI^8Y<b5-Q{OZPDafcfnm;7KT zaCOy!Rw9&t1W#Qh+q1?;3#fcNqs1A>yQ;H~-L6Q-$$Bsqz`un<a;{$64}ScTj>G)W z3(?rNNlz5JS4ZRw_R~~TTuow<Yu<(<22SOPK&jnB9mx;I%a><ni6_+e-K}LKU#UBu zU9G)tE5Q9TTUnRJnKbyKH#bDYM$2C3{g^P=@*5p3h%keUmXj+Xorx<cU5+a;omYpH zcJ2)&$XQsNnte2f+?d-F`q=on<+nE!Ai__?w4Ba~=}gYa>2lu_(s@6TJT|6%Y%EV* zGcZkVT<53weymm4@*9L2L?}Q?%USs7x$x0*;iG2(GTJ!^1<1Kol)7fPl{{Y)PDuO2 zG&!BYV@Nd?wOu{j?K|`F+IMC&$yX#XbK9Q2WC%?RPPRW6mc{Pn=txK>w)m);<+WWs zaOrDcul|1D&gK2SgW3BxLqB~*GM{hT<Ay7XT+>m+MN!@isV3cRvyI038f@9tzoS-5 z(sIinED)t@`h!un)JREsP@?Xo%XuGveGA#MkiGgwK3Es?n93iv=7>yXnPHCFLaoOB z$$_UuYHCw+hfL@1_zsB=I_CrDuDRtO+AqI8{F`G@CM#6@vVV-~Hnu93P84!}`_-s3 zanYo}iDs(mm(D*%i-_xhjA-b_6Rp$HFE}e(ZP)QGc}lTAE|NcePhzq*=FeDvR56gy zb!qLkT;h8}@K_(HtXo3U>+dUlUaQs^IMGj={uV(+gN@GF?n+Za#pmMPo5$7MJe`X& zK(aqB-Q8MZhF-*rIMLOUP5O26t2>-Dk-YcDG+O4qW&(R5s?qo#8s!~x#0$d)>4iFP ziT{Jw9wF22<r`e%7V5J$=YpAajmZbQ#h%{%a@Cd#>rI;FU#KjHJ{GsWrtEb%6K)C& zDhSc7U}cPK0Cf)89FU<#3}#+e>CjBjZB8>Y_#Bc^I6iTr^f@9E>cJ#Y{c8CGwX**J zRi%P^1)cMH{XJ{et4p7GXzut{^MHl2BoqveKfJeY5>+DF>~_C>yFcrP*Zg1@c;M9H zf45YvEfj&Z^dh|>qDbqoj~OHyK@Rf2WwE5bvOB{M+lFVIlA+o6FZ6vAq6NCof17vR zYYIWy(xe?ljqPo#DDlV&uoHg1xh=ZY`0KZ?(=iii9{cxv=J(MlAphviZ;!KX=7RbB zXAv(X-iAaqp7#~3)Mjhl#L;AEO-A$f7~&TSl%2mdfV2gg^5@)E*J|69z>LL@CO{V5 z&o8>A1N{n^89erku9pW5<$27g3)wXbmVkshdmV|GX8*jZSd5Dqp<m8PTU@U9vBg5_ zXN-OJuS*U(P(xq$vpcSwHlF<B##40RI15zd51xKD*!V*kWfU6h1o?ahyH?&>I!`7B zM(#01DVHU!8zogr37-Gv3U7F5lC~OD#*1vYS^c>yVX_-PO17eX_qUxhy1|8>X3;b< zsNy@&?2d5FQjqrdniA*rKd%PLU$-4~lr6U%Ewi9rf$1OqV@B8d|I&Xr^m^(Ofy(qa zkY8jmpLYUo1T!CtTtZqu=<~O3D?Se+0JC+X=XE)I&<iA-J)HAX96g*SNv}748~f85 zSI>3ZDsu-8Ch6_Pk(3SNJe7_l0tfB@J@@kkm#4>zy%nPrFWZ)=@a3xrh8`-U5TFp; zDpSyP=tTcMPE{YrDy|1-+4AFUwUa{Y&eTBfXGBDu=81`G$3sJ2dUo4j2@3+V186I& zaW&9WqaYvWX}Q*D9ElC5{h*pwt?i&1l+$KVO|90dd(vWi?)KWtTw%U9AXH&>r*q*^ zmB*2A^-5h4Av}3kRm>WftgCpCXKVhqA3525_M+b%bQE>3x05-xTfa_z<>SL?oi3YC zczE+w<3oP5Fb)30qGUJQ?XO>)n~i_p^O>E<A7QC6Lvb!{ZA`u-)6fX=yZ^2`ut}dB zqY3+GmibUs43wQEyu6Zc+*lZNXsW#W6?Q-;J3I7XRP<u<cf|9P3PTgxau=LUW;f-M z4^{C@%v~lwitFYHJ5TfZg<EFtTFlBkD#FBHjmiBX95`HG{UZ-Ms6M^rU(%2KG-T8| zaL2rW`5wp^|E*EUEZ2D4vuePYuS9z&uJ$lVKea)n1G2-HEm)``l1WksvryuEQQL&h zZ9y*D^Va4*nkN58Q)9TOWNXO@$9W4>>`~@?H@je;O53(zyWK5hoXaO8SSVXi3e=7g zkstxKBrDanL$_$PpD^&^a{0EWBt$tI2>c(SzB(+b@A>~NNC>;Mu)xyYjY}!r-6`F< zv<NEV(kvm}-5?z+AdMj12uLZdf`o{o-^1tmJ<sotyRc{H%$+l5=EThF+$#hZpkdRd zAf$iDLm8UOOR0}A;w?pDOy6&6_Iz^-Gmxa^77C>wYhH+vqT(0MC8m<-!KcFEe-%eY zHK0VC(XYgiF)&0?|0>Sa5=te~K^dF86M|pu7tCVm&_T`b8%oVjutq?|<`or3-$OtZ zwsw!opo5mb94TNqzImh@kG1itP%`i5fKntL7{lAafFA^AhXF7<lz`b`2h0u}V0QSL zPjp|z<)6QhatskuC1n#Gpx54FR@w8>E7a)VoDs7;pXReXpOLZDEK=#nRG#t?ig;WE zlQ}1nYfbt}&M$lprINTt#bMnHD8<~_Dvk=@Do&K!vLlEX7!r+q6-Nz$4(;2U;#Z@N zPkfSX6+7Mw30qRY?pJf6W{UJ_?B&ZK!w>7Ce9n&L11)tmwsvQ<C%z>3J_^Nu^@w<^ zkC^f0kHiAP#hT|8UJ`v%%vh%asa1y@&#f*Gbe_}jT3Wvsuq-g4)%xJheUkbNzxw%X zv0hp}L;j>!v`v~tnZ-YuR=qlzDVn&oy;RHJuM3{VTC1|=|GMIM^qZo4O6J12Sj|;S z?MyPSyNWjMZ>4%Qllp;X!a_Uwn}wy<ZdXY!s=yYyK9b_zD;i7IB)3dGIi#4qE=Jb? zuS`QMN*7bXPOkoQp;rEYORQTr#9T`>HD6DJsHk17`i|0pCRD1J<)fesA7FD3ao2J} zn3cp$oH^XEneu+BIc0Jmfmnnt#*iFZcJwQHHcZS@FGPL9D>dKvHDj6cye0Lb#-sWf zhQqOE9xXg?j-o8K(46~f0p1*U41PW#^9h*Z2-p4joJ=*1P*?6i#Ur)8pj8iJm<26+ z$~{u#Z|x|Rny(*2TvlO3pm;!?1|h{@nx>K@4ek-mkY11V$YYl`5tI3(MJ35rroOtX z&&FY>Yx%`2mEUGE`H5Bac-*W^+Dkpm8($%HovPA!@UknZlJj`=xg-~g{j!1<iU7Bj zD}B|IG{N6x46L7sUp1vHI=YD&S<%kx<@)XpB4E2c=L3TR7`WPTY_s5Te6!DSbThtx zh{Q1S%&B8g#QZ^mYg6wEENU<d78NxoM8xph4$gI)fGlm~7*6i<iYq~gOPPk$BGgyG z>>>L2U0ZI~^!siq=dBJ}XVMOG=j1Ey@=>^s9ULps9?pF)1{oX-+c`P4if2K2+rwR@ zqmd3LT7!BP>$4rIDIIh>y1yQmt{zFGbWk|kW>PzEWs*9RX3{$+XHw2FwqC{~@z>&! zm9(ny0|OVZs6=-mG)4U|Vzz^9N4K2-2t%lufE3hh^ndC?3A^uX3!`_|`_O=h>)l7h z4PIvAA~j=?_?q!ZRn2H*FpOd6M2LSlxqlfJWu7>U5Qd%3{v`iS33p3ywPaTF51SmK z#>o7X+m?~iDSo%wE7<F~3ELOPhxpR6Vi2@_GwY)Z+e4#lA(EX@kF~#*w7;&H9vPk6 zKl)64&hiAA8WiXosqKIlrF<1MB?*OWbU@)h*Kxz~ydnnMr!X{qGczly;Y8Z}GoMCf zTKs$&baGG2PL@aKf<J$zmJSs!*v&8s%dFJ^FVy%>d^b|8oU!2}1*<U%r~J^=l>ow{ zDQDBkrONxX3b|cYt6O6{U!77$in3KxBsGQWu~JgU5;@r_+M1H+-Q888h<SqgFDJdl z#_iYK&o4=vT()N#?=pj>cnrnsoDNR*)wXvD1IJFj5WY(d9#MYX@x32HY?--ZK}C5r zNlHD!hj+i@YazEupe}I`e160VPirebqWrWqqB=h982xc9mcdB;w;ma>Rpu5cN`vs} zqd?n84nv2|z6`~;R9_AGQXiLAPtLGjCaFx?Mt1X$H%_5&E~U12_cx<03QHo?{e5+b z^XTSPsPMFkY9h*KCgc|SFHt|qy{TKOp9CCzjNRpPf7F-1x1rHx#vs1%+^XT{uOM>o zS6SJU^Jx{&4YsBUFOnq-m7}cRKYBG<^ka<d<|%=SYTnj9;jaqq$oxSw8o^L)Y_y*! z2|@=uM!;or3yYd>L`a_sp?yfkp}IccT>5Q?wW`7=c76__bx56C^(N!6*(Z6Pnt$Ot zejP_+A?ZDs^0aK7x(lOVEG}7z>!z(H3%5D?g9Fdq`XtPtk^awy=-Q1Dd3_z*hgB6q z8S^5UOZ$0)N9CXN^YYWx;#)}~k$1VEm(<=&5b{I1cNoQgloLIBTzR(t&Sr>+&sm+O zU)Zuk5l%C*HsVm$-Ra%|OJQ>~b}7G4(mWe`GA3%Y^qW0FRfS;M{9LB;A+_e4TM-2l z=c#v>{wLx~TnLYAuh6js89t;Ud=Q@|81@T(pKk=K={^>rniX43={H-Us^6yahg`gD z7ozFOA-Eq3645DcH&Uu@f8s;X?2wXs`ZUKP!}74<hd-T)k(mzOl{>{Z<}>o^B0T0c z8pZUwL7F(?9G_GfAun^jU+sr4B(!6+;y$vno8k`)?lA{_kyhI_K7X9A!fl-xXi9|C zY=W!VG@(>A8%c-__y$Lu)A8p$r{rg^#^i-NZbCl~R(^X^)i<%n;;O5q)Z7%CvT3au zCgdxG<I7d9bY@tGXQrEUr1@OPSG&1`Lgeu462=%jR+J&r%=?|Jz!0z7yI7~bXZI%r zb3VQ)Hsjjuf^|kdtmjm-<1Z2(XE6k^U+xCRj{*!Psn0Bn7V}Cc8=~hWC|_fG$@DW! z)eq^@lQD^3O7r_;saQ;6#`Ky?_La}CM@b`(W{Ofc)N<RIBK^{@5%p%#y+(w|9d=Cg z{1(xP8k8yhN`z`VFHsIBO8yj8o$3k2Qw7A<h5f|oG@V~ujL3c`96fkRP1K$F+(u!G zRa-)0z?EYUvGW<rInQ$2kK<=UpQ)KLV#L<u2nXHKFsMg$=`)TBg9mL<Xm|L~6m<IF za?o{Xk<rqv&qmtL5neuCNK_w@lo)_<?7_Z%c3a>2OvYlh<>wJ-8_vhi+qi&|@$j={ zShYf+==gJowW%g;s8D{1(0Nn(t$xTr)nh)JR&%6ps}s@}9iz=JI6BJ4F4Y;uRli#m z{}F`~iD?)lp}G`YMukCqw<vHiJ~Y^thzKN6?engKe#jiWyL#jYl*1XZ`5BIvXPI}Q z%wInl!lH5opR=kX&)n)K6R}zz;HA10T16RT?)1A_`fWk#X^DS{63Kj)a+m(h(`%E5 zWFI?E)K?$l;kHP%31Oi%p!O<xf5)#Dqvd%|Tc!CGNv-|<O?<$Hes0Tmi|Wg-7n-8w ze-uW!HEXE6^IQ8AU#8*e@YXa)+uD0JjGMM`89z2Rs}U(8A2zqdzWJ;*k)v_=mGr;J zp%|Ygqq}P3O(c<7l>I^j@^{NEq6b9@_2NV%UC>IRx<}7wRCx=-qkf5=2qofjjhD&M z__-wYd5~2;@uY&n0#m|@_nHDI`x_SJ5sRkLy>5ibrjAVX#<OyM$A#g&fi$WfCE-zZ zq9=_pxLh8kax}D{_Vsn4D2#{4rB|@wS;1Y#7<$Tn!S}%r%~|2te2O+NP^#zcB*ZuI zBMUhFLNB}$WS`Tho&zpDq9-#^xLoJuax~p8Npd=5m5sj9xDb=~JxlgqYEgo`tt99y z2jZhf;SchxyQa}&d+(czhsPW-Vpth2jL2kMdj9F&5h_vDEota1LL~z7Q0G;F&|Su> z(HAs)8P+X~kr$Mule?0%`e(3k_2v<Cdj&`hpDLYu8dRuOG~dd#v>>~Nh3-ud3-rGM zp`9&7Qr4O8IN5?gTC`O9$GhLmqX%UOPfx_?L`U>!Di<C>S&8O)2bgHC_8tE;)c-dR zmyHm;o@R{bVbyj1LHlF#Q2G<cZ^fxQUq^e0|BemIBP7kEWjP3w_dS^CG1GNh!Lsej zn-(;xp(@zK#IBn=q)`1}%?Qzaz#{NsRgWe<tzOh--}s#lR?;B-PUF*L!Q(a(v=t?D z!<;;8plNi}*WpA(f>$L{>ono?qDlK|*u;3?NDx9cg5q+HF(FL!y^X-VdD9hT?8rKY zg8jy(Vfp!EHzs%uucA#EO0~9ug!scjp+wuXtc?hiReYv*K$+%h8Pr|`wF5xyx>JQW z|J{AGU{K<i1+A(bd^x{jX{X?Zqf$6~-1u#oWWa>76dkY{3G<SnGD|g1O>5<?s;a$V zjS;Ae-zrZ-t~CgU9~rYFC&r{LTZI`+YI#u~hNpDI2=k3BV;?tk78Dq7#hy0jGP_2| zT&Pw3opHN=X|76pEb{DAnd*t?=WV~_DB?7}zqQ4+;_r3~Xu>e5Lv?<^fua(8f5tE6 zTZ~WzE6+%~v0@)J-;77Xw3kUnkROT=lNQmv5`@WDYx2^$tt1T2ysW4>%9LR)!qH8K zbIH-ah@Z=TTN_X2d{0L@jXJU$=EOTFC%T&-eXZ*cplRt#Q2g9ja_YCqz2^Tz{7M*u zKXy~tLD0@y3iaan@f?%Pu~ooia<EhoYV^IqR)hAq-sH*U-nh}SJ(FicMs@QE%Rcw9 z-w*L+odwGoO}euesFuDymv?(A^E3N*^;a>*Q~^80Dna_Sn#64^qBaN`C?j6VJ{eu7 zME~@HJOZOcm21|IjDOhEi=<J_1-?1uvbn<w)ki2rh-%L<Mu^PdRA6b<sAn6s$p-EG z1aDP*$5w$?C34OhfPP%cKb<qrc1;ozFju~>;s2!d*}o6TE`donkSNz)WHc_8f-9rY z=g#*A?l|+j3(V0Tg2=4-RFigXyJ(ak#MAKI4tB~Q_IB>w=q(G{?JIOgkJvWM>7Kkz z2TFCVaejBJ9(C2>%)}My$>bVp&qTeZGynZG(cM?(Z_@JD9h&u>MBZ0hLNh^k)a)9d zLXbu#bWyqjQo4~OJWw&woq6VyytID<2?HG;D@vO(McJ8f)JB)txA~@{Sdp!LfHTV$ z!R8lV=n$U#s#bqfFLhinJ4Iqxx2bn||1;&EzlpJ-a42ke?u9)T^e+3XtQ{FtTC+Sn zkxNvyNamiQKtSOg1r-_}|Kf02XBt)iqVTACFs3Bna``7dzCmrgrGaam6TAy*<;<R= zeE!|794a*4z=S>k67sf?gyT>$3vI|tk2jMr_yPGOfXR3O`HV%$C;zH1w(R|QzG1Y| zrDbum<j6tr{4~u7*4E|04Yy#4?QM%@ZoK*O#}iT0QUxAiDZj9BMy>9=FLwxESF<Xl zt<vxhD_7h8@^aGuHM*N38Aj9vPe$;I#j0YRmGH+15SS@ykG0pFiLl!2D`KN@L`gC= zuwx{gR?itu=|op4|7aCaxBjK=76B#Jmv!+NR_z>5dsN$mIQAHJWR~b=rW|(ofoM{u zTU$cS0KMSq@WP#?EIq$~%2qu!8!f{Wglk&@)kpCm2q!U&9jK2CI?oCge)pVKUr8Pt zH+#y{`D9VIK3f_$I_tU@ki@2!F-X?zSv=i#>LmN5R}hU0w|8X}j06k4iM9g)gB6E1 zt!nIV4@%Mxb#uns)B=*iKn==f&!*`%z1tc-U!St-=BZ(ae-KUTIG16e*P#o{TM^fx z^N9itAz%LLv??8tw63RShguPTGu;;Vze?e_aO-K=O=&3WWR~aIf~`(A_`H*mt#`CU zSBF-2)6J3DtT|lD^gJW<l(NT7#e-D1^deJmu?l8nw>wtaQYDY2MFvwPH`gi$XNHc$ zQzhTGRBEi-Jr+jiaJPKTq}HVugqPhJA*I2Gmxm8BHG8@SET1{ey9V@rxc#gw(#z;w zuhg*1Txs(!@}!$MO~-=(tn5raE|$)C+n4Y``({t-faMaWdFrsDOg-oMBKoW)2W9Ik zy^QKlUF;&LHW}gD9*Gjwt#PEmUjxg|2OEUWs@IEQ8}D1r^L{^LG1!7poZ?$12D56z zvW!pbZ!Ugv=55he`K_@9giDq3pQa((Iwo7hX`JJZG>_0odho?dkuBU^Ppvo)$@asp zJYaQy8_T9c2^*awO41>R9diV%;^3oAn^wUa3#a+(S7G*+F_s05tDsAkhST21?Hy}~ z6mF|MmBmJTyE0Bs!k*z2)>AcmO3r<>YtwQzkD90qC>IkvBty^ysI7^u8jrVV=Oj~# z)HRE4UghFqnYb_tO}tkIg0Sc40ipav-11y6;xKo;vMThQ%{Q_=d7R9f$0zxn%+FkU zg$i)72wfQ)-+SatwtUQj&SV)sZ)TF5b7M%m%dZ%ey6lZ^w<}*5f>dU@UKHI?v8KTX zlfUBgTX{lU0^d!xOo9&AJd&Gd^N~DEL3p&)Wx7HIvALbhv0V$D9BA+%pzla-$uZ8# zbs}~4PV?Yj@_>8rc#L6_{r||tZpjCLFhG13ApY#FFp-%i;Il`xWHD=T6WGN{F@IX= z|Ag-zzgGyPDZit_0Hi4kSA67`Kc8hRaNE!@$J?7c)l=I%0!OJ)D{Vmdja%WNh2hzm zz$>D0$s&MPXx22Zi08RZhy(V<6_pw`+VgD484nAgIT|}$!||5L*%$UO=-tRUS-V%s zt_Q2DI4p6qLG4z`lx5ytQeX^0M>7k3c;6Bm)RwFqY1q}6z#M>{DXphmDdiVhL|Di> zo7cp5%I#~q$0F%pO}3<~SYhpe!NXv9g=|REJ$GgZr&ll^7fud#WXZT>I{D(;Gg*sv zw@vUAylt}ca2lt7c`Mgp%JQEk<eFXiMaK!Z7q*~u@tqL`8ho$_N#oD-oXglI6>$sW z%YTqlBQWd-hL5Th@h}N`;VFyLkWd?3!!E|}bFyW1qPpZ%P}Xl*o^ycnZ4Z2Ozts~t z@StzNgK}>56tHxwr&f_S4M1nWhv-}wXJ+8ufY$AnR_1@zC<E>t$6{C)b8f9DZ~R4U zma#J!!i&OXO~4Q?vRW=u$ML@&{$CyRZd#2<T@Em9m|xd@k-BeAvO}6Rcu+*htgOv_ zD64VKtw8_Xwwg}(w$;g%tUl7*YW_mEX1$ns2h?d5-85Cf4!;mhS_V$zY;K*s2R`@4 zffrmD&9j{-&9lvn+;-eYm)njLpLt;~0=)}XNp>~2J$xZL1<Z&)3m9pQ0x(jc!xWg! zt<`yo+*;kLYj0x&E|wH<fe*J<*CR3&4Qx6Skh|L$k|C(mSRabq3KN)fD~ycj=CTHM zc$lcJhBC|CZ2JrP;i)?pbenC-N(Z#P6Vc2*EXAs!_73JlEB{_3Gu`L-7g0JX6f=** z{u(n#vE!kZ=V~P+X?3Vs^9H#BzFfqpV|ACL407&xjM|lpE!>V3nabGc12Ct6u_DW9 z)xTV9?N+dmTajv>8j|f(rm-sjPyNle>R<Gr@fj})@3o{+9si&DCvWSteu4!9XQwSr z=^d_QomsfmPqz21ekiA3*fT-zQqIWQHLi%ujE4Z5*zstlZl!lQ0@NP?^{R&jvX)N> zo13Vu3@Be2JN%1ilBw;j@^5Zk$GwcY6^t&*R#+d3`CG^THv}1H->OGqD&>VeKlJV{ z;QqH+Sz2<A#^Kg>-2Z11WMD{9VT;LkS_NWbi4@wEw<h7j<6IaUGI7Z?#&0=i-ga4- z*KM6<JvA%%3>};D|9oC!;<l~AG?i?E(7VQ<bIWci69g6JvlcrlODW&!sc8*^Yv6y( zx&OyJ8yD{GQWz-#WnGk^?U_5=TAb*xKbi?#>!3aA3*;@vhbM57ttUtYB1`L@r_V0L z=Fdxrr(n!iUt`QSY58U&Y71tVWrm4pW)7JG8H@3c6FJEg;wkTsERnFJY@g7mAHoMz zt!xlg8u5bWrBRE@B}yR<Uqy-+u-&~CNtem>SOaPJxY5<g%B(|jVzKiQ%3$vf)6amp zx2O_V;wZ<R2TM9A)<n(qN2{zev&3Q^+>)0e0eO*V{`|r;m}6qVa5CzW{I~%kW;b_~ zHUKL5eo<A_`_TRVF<Aw{yPkZbAAH#!iu#t-noI%cs#1Qzgn71dApM8bMrDtXv?j@7 zA4?$K5@!uFeN3EkTr5v2HMj%Ln5O<-rqUr+vzpcRdGoK0|CT~dCUe$)*Hot<?4uyg z1rz^pbi*ns?-GsqEXYaJOH}p-;H7nJ71Dcsq#Zo%q$9{}R){$Z+}|RUI!E>q8FaWr zxmq?2K=>_eb69&?6e2Aa|IR%(d^;gW-6jQIFo}16`Jj;O?J<+zTAM=nn`7N=G+juC zd(^{kE4BpItF|;2$AS@c7q;J^hHj$Y+7u#XE`GoH?=|AE5HbQ!-RX!R$o0{UnS##` zs6CCCulq=ZbGcG9_)O~Dr<W)U-ZDTzv|>vW^;Ix}#kf*=hfB&~`6j;Z-?@wQb|FNC z&d{-jh}&mP4kSxH@f#=l9ycn4cL#DdP7%o*w<|E<9Sfo&0yBr1q{cT-M1DOQgxF}k zID1`-c}CSOfAYuGB=nT$*CWx&YA<86@^@OD0ajJdEZfdpvjvYENYG!wCT~KXwe4Zv zztsf6d(SqW$3cZW+L+K&`Hdj%+whgGF<JR~>!TV*{wC>bDirC_V8rS3u`Oeen&x27 z=IDSRVxzpB3Ee8r>a!Tg8zXl9_&RyPjn>Z8QGpv-lvu{#Sl0rWyi^Y9e7hD2OJ5-w z(WfQ5T8m_zoK=0JHw89#uC&_-M+GbnPu2A*mr|;94v*-q4k$9WL?`yKAAR#zHe{-D zh*<Do<UI3OB!XxqsaK9R1tD9q`LpsM@St^#N_?<!!?>u|ZuRjYTK0R{lGRgB)iQZd z`s!gic#mJ(*Rm!Z-)9TWOTmP;FlQ#XJ%|p(qg3PBBM6`61gAoU;#-)+j7QP<&prgd z@)U^K5}lP10{gtkk0dG#v}C5rDwR*|B@)i1KV{VGPVL-yM3=mS-h(tS#e|E_o?S80 z+QB>&;0hk9HZjnuCx569t7q~WGrRKrt$CYB&?undUJyult@v|5n$R}WoeAy-HpXoz z)p6#Sp0tS;l1OS0Gg${Q6SL?+!<7BE%M^gjg9-i`kQIX<9%D>T_<AEr<QrZ^zG^<j z&2*G%s2W+=cZ@3&<N@!i9SjQJvY8~NhIOXBj)$_}XGI>t4`OFZAa*887B+I-FAtlv zz#mcf^*CBxkofSB>_NfU>-3371P^OI@I0C=d8WT5;ghMV`Ap(pdHKtFw9Lg97nwSq zYVI*NBX0BPF;;jD&{zW4$@A5UE&RLgVK8@OYkYe$@$`agpuT^JokTQ-gMa*F86`pA z+0d(?;sBSTGqj0{Q1=MZC0+%QYhyeu+qj7G#uYgcmyAM8$%$3^Msl~&75@isF3Ugc z<9PhzJ_WJ7?X%k3w0MqJ*|m}?uRA~xZOJNgC!3JaGC*+{IVFelO|o*34)5Y5E#ex) z#ktmer$u}Gry*WFna^`mDvB~bKjQCl8+*~`zD0lkv2e0Iit59T{#HV}k|9l(6g?H8 zwR);T-%-Qs4%tJuaQ$F~`XUaYbX<r%SioiDVwHdeTna9|a?*@%E=NE(S807YQvau5 z%zT(8>jedSQV7kCnoI=p;zB=+GV-<LK*Kd%Q9xSP+>0V&ur8RBEd&ddkl9`ZVh}$r z0>jy>c{beFm?$|AKV}5+;}`RD`#Oiz=R94}jfHzX_nP0L1U;BlT^pv932m2kzh7Oa zVbr8~Lu+hJov-Ro+5{AI?BuuByKrq_I@oBWC<zSg)r3S(1TiP;k+!|3d@BUuc%}Tv zf_a%xg~NwE>=zW@l0#^MfQ&I0I|2*NwiHz&@wFSI<~nx&L1EW(FG?7ocdut<i;1Dq z=j<h4U~-3818P=wu&Spp^}~meNg)IgYBC9OrTKlm{W%!IkZ(DHPtEWLUiYs?#g>YK z_q#MEvO~TSTHnuLS)p}{a(TD=?%tWKf69mF<hy<SQf7XP9lrwO={ru07qlt+r#nxS z!bnpFX~1CMUX@r9hr;)7C5iE(+%9^Hy4ts8;yQ0h5;Znc#jPpfVE=tMAAs`iAOBF~ z-7ig*C)@l+c4)2tCo$@L`+@BwPgmw@Z&{|b_q)X{?|w_&|AnFD-QI!U_=_*+HePjJ zq27y8gp%))$vc{=SHeHw8`(VB;wdF`tNP16ejh}jQ|2X%lQF$+AOfv$NWYkZNeloH z=<9yM?X!@-2_8o!OFCN#9<;>@Wmn2=dE%wU-5>-lZXVssPMEw50%>ig(dLfkssyXN ztO;K!Q~J>RoMSzKo<UnrtKDKB<xTLZ)EJtd#bS$`bN=y3?^lEP`$o!XPYNxM8a><p zOr`(=$LIdGX&aaZMQ^Ih=@C@edB7IMb!UURt`#EU4$&HWj4l7T;Mz>hwF&bsLSn#! zV=wc|XDpFCOS?#((6r@_hF(=F<DXJgmkraXFkYW6iru$9G-n`W+(|JhL#b;YfFTso zED33SvG8MUbb%XW$?U+Dy*|o@!SwHC9`?I+f9rQ!0oMh%NWb^H@qtSXT#ny+-D(4o zwrR|gAx4P{Q37u}%q1i{&1)n&&6~mXw)+^rpyYK;02ZB<X>kPMQ<v}k4?lML5a?JY zSDY@RM1s$K|B^nw%y`k7TTt-Y)Fc>gT_9ZhoZVVX?)5V8V=1dl`wT)tX<yrz5&q+? zm=Y^EE!@xsq@X-N3Mz+geuNT?5FiEBI3Xvp>{a2m-^TOD&MB;VF=xvBtv>M@J^%Rh z97-ajvq9FIDt&(kKer?zqMGTbkSq^Aui%V#F*77*uzy(S>hCuV!H|nSlH-_JlB2#R zwK6MIvt-BBydz?Xv_;qO^ZHDn*y`UlM9~fo<&3~@=;|n8n}uZ9bn^p@co#y;at0ln z(Gm%9MA?08QbrLM<q^W6uHV&VOuxSs9KZ(pH@(fxq1fmpvGzK?6k@g08E*67UHFX1 zg{=ilQ+$(fp|O^7!QGHPliTi|%_e?sxK!KbX%%;v{gGk8P?WFcTF}hI=mx0-UF@<G zYjIuCr%qpn@R|&mzh$x*m^FD>(DVheyJeEZ`*uV9<riX1ti#HUG3}k!dM|R%GvQkz z$sDHVS6>1Z+9EIB+$By;EoxE%H{dolpW%%ud2?4sSF^RgwVM2G3>^#{NNqSKl8T{2 zpvk39j)_($=-ir^@`QulSFg~ClSv8YvIf3KwPY=a?}*q%S*lt@)7{l3k%|VC@pR@U zjj@zp)Q-gh-&d{Bp-H3!j~N5sw{8hGMyL-*)A@QXs~j^nL@hHdMc(WZiLE3BW=T0L z924P1(;?c(q&~|_s)FBRmYJw#i%cGCN*i3OePB)h>lhektK=1DAK;~7W96mcnAypf zrnTD^IzHxECiq*xTDz;T?NGhi?X~Io-VoWqwr-5QS|w|Ps9}mJCHE6kdhTDQq}*i< zKc<phN;S$BJk3*$SQ~$gMD{j}Q5cqh>}&#;YONR!@sVcVNQi-|?LeZnPM0~wq51v> zz4R}t3&rrA3nhlPhjCmUf8qc-v!ybnX5!JLw}=OqM~!<?17Aa317Blz!&h4s+trcD z{y>7a&;CfoSPQs+tgWvtR`OIzkPP(9<2N>5N=r>MYVorAsn_h}xG-T+twv<s^P?t) zn0Z&c&i%*akCcyO0TKT&claZ{X*RbzHvY>nH+|4srr%}qjO{e6J#NRTOKnrR3*_#) zMhCtIzfOF!t;Mw#^Pmj*;8SX!O!p#jj(J8}i%ozd8=q06UU<FMe0Tdp{x@8|N!GKw z3W94M)>OGz>BW81dLQEcu~PrY{M@COz4E#vK<R$S>_XdLJ;yoo^WvX1MqB4?g+J8K z8;jg>TMKvVkQI$%ZHFb|d`447n@IcO@UCg34h=coNHg6M+Kp){z*41pC%9+_qkH<J za7S`(!AYu~yu09A2N7kdWPLZ($bQUSRG;o=^dTbudq<SvNR0eQm`XtI`@psKgc!<L z%MqEvqluukn_<N+=KT)ueYa-1pJKNRo&pBn>H!1c1tj=|R4W=y{tHd3ZAINQjU1>f z;%=sUkcts?sNad4zSx!W5)6QXv*)-oKmsquTLyP-C3puIkS!pE_dA{$j*NzmTqK-p z){mTX^fWhe2Xu=4vfZW{sCB&pD_%Z}oImE<c!3&oP9f=9b{YyEker-9A=^=nZLHd& z??-&P<Q}5!p+D}yJ(F~{RU<;_i5|S)+tG~(<$`-82_#@ce>gV0W2#87H&CeFQ5*M2 zO0-pu2!+qlekRXcWGon*$NeR(>6$b(@)`G+e&#%*H4QoK=MOgW3fein!;yv@DX<Mj zhJmI?xUrprf(QNLgTY;UD+Ld(TF+>{1Tko%V*@58%PhNXj54kD_KoS@)x+~kmgT!_ zb?z7TRqXF_uh`yJVJz#gs769yltUp1N=X^M)zpkMyYM*!gTF{EuH6T}<hH|VR5m8d zyDw>DtlK2z2^*hiebN*qqP-}oU={Dteoy~VuPKq^4XH0dBBw;cNNTR!@1=JI!4Ft7 zGK_G!6s?Q`R-*2I=^zwg;Pkl(o6NJ0>!oB1;Hz<Wi?I0mafz>?s|jbZ+w0SMoNmw* z7HwS>C)ddJ(!}R-*=Dt|$fXGbvzZpHqgb0X3XiG;R`#I~7<-S`<B?Y?6aP-xBh60P z(JQCy;S#6pF`cLE#An-D4|hj$^fTe!>h|hgToF}`&M13%52eHUF7{7@4U(S*8@WHR zHVA)WZDjuR+4f1-=txtr0fEMjmMd?}1IGr$Wi5VuVx8)`Kh;nUjB=P>%g(ZPWMJ7e zF;S>7pQ3yyWHyujXw+u-Xt%%Q7Qz&ZiEV1aG-Wr%W@jJLoV$B8SN>~G|C=yDs~M(o zG)6g5%`M2-<jYT!lV17DyO2->;^y&*LNj*|#^DS*`V8O6wY1XK<`?Q4mC^L~Wq6%y zspYC&?<B%uLY`IgR08*Hpvl~lD2H?Ax6g2qTuYns*Bax#zQiR~6XdY%elYtl4+w%x z?HbAew$<+%@4p36784igV)nXGi<=$$$a+1DfQb(w5XK{jyT(HZR%3DT3iyEDV}o_e zfB8>qqimnp`)RXFtDVO`5IT>3fb>s<#@L(Hs@S;Js@SQ4rqc~R30*^9lumP8n!28& zFC3<88aw&xzW=H$t!{!_)wL6R`Q3pjmyY2_QbtZ9Dbd<U%J4uWWz0x)V{kBq^XW|8 zsZ`98Li$)!O8Tfx)eP4Un2?IONqX^)yEn(<nkeqq`?A=Pmv8i<W}a(b2asnAt~HaO z-%>IQ&ile+m?*bxUw~DeH(1p*Cy8F0tKx?3Pj0!Wd@uGg@8)||l!5X&Zy*WxqGX;~ z0J)h)5{7k<`^X2m4_T1=AX%5d(%JW`)8FXxcjVrn(=jO@)+8sZOqR!HAa+ga$EGPo z7T{8cD!e>ol@w>cqk!k@bNC2<UFkCRZ``y<dKh97tkm`>nURwq7uQb0Km~Gfaez4+ z$i)e-OTfP#Sj|^<+A%>)_+IT~gZ)xFNenIJ_EtISYT1>E>$oXN^wLxn_vyi;UJ34J zez*DK5*~vRr$<&<>Q)DYO9LJ>SD6YC6uYyG33MP~>HHZ_$1Eu<7?GEG$JFO6TW+n( z^m141btXKs=gCCzodJ&@g4esPgYvL+^Jw)Uu#f9RsY04bhz9@|1D{aMwiHz=1h5z| ztstTicnFmkWCScP$IPS62SGdT5+-N5FwxhOHkYzLtT$C9$lzm50Ili6+1_@%-WAIr z(t-{M?2pY0O6!=g3nf0pq2HbB9l@e01&?BK<t>)OjZ-W1dR!4>7IBcX#AR1J!x*Cg z_Jz~(2qKVM_W~))F?s2=HWG$*pe6ypT!5D~BJ6Xg;e$7?&u<&gCIAUL`)JPue+xE# zZ&9iqK=cB$=)~6mgTVzb7%eCVLG(9x_|!homlLm57LAzw{urzF^ioCCj_3(89+wLa zQv50)Nx$`8;J`udayNjT26-la?LH_2k0TO;O5>pQdrda+ar6n`=*dSkrAOz%O(fwr zhK|=|Poe-&h|$PInZYp;RjH?8RBj#Lo`?&c01<E?K)AbX%XIjIgPX92BbW**eO!8I zcg<<RtGG#Hl=TefP@E=sS$$#XLJwd@dfBRlZ|tUOL{EHB)f)RHz%s)9z#ZEC1ZHKo zv7pkSa&(G^0|jj);Rc3|eQ&E=8IkF@^pm=Hr{`NHU<>V5-LyG02dclsb%j?DZ66JI zg9IKFu*l0h0$3yw=<Kp09cvl!yMFn*#`<XoRDtyXVbCh7Y7Y${5$hI&gyylsBY+Z< zK>r8l;mhh6hq-JPF+gYcHRxDN6#k~WX$roR0iN-jlKB+6;2g4hq@z^s+!J+9?;5HC zSA1T>F+fMS1v>1m7kSjZp2IVDn&1wV2+?o)c(#%aw3Piw5IG1Try~#5+2cFB3VC*u zg6q0gO4J`<vmP_|=AOy}LehP8obrm#k3~<WU*o!-7ZNf1+vM@SDk%XwvO=N~jw622 zlZF^vSN~@>5ra>eNS-Bop4`9hw<#((j`|gmQ7LDq7S9sFbDj9%m<avk8|U5Kw@@;| zHC$rCbzFwHwMYuew~*_gw1<AQFzt>IZkP>+J)Eq<4o+?9f6?}g<quO(E>_e!ZVZc8 zgnHmQZd&<UC>K14`aEYz=PeZCY$<bpoF>B_4$rWIb2rB#D=Bz}i+t-~D!3U|dxq}} z{?@*IU`Vhlu4;*-m9`9^4Yl;6)$jNwydSh85VGKEV|rCxQt|R$P)K})y?MNXja$5e zojL$2eg+)&EcXom<*j1{c~_dvcqV1;i3evMiC<;zh?fekI!<p8H4J##EPp%Xa#Xdm zijT1M1U$6j75EtJ;AAy+aC&0^PrT|6SiE?^;^Fr*qu01y&Mt1XaFNa{B2mjrfq>Qu z_a*_Nv5p)0sXqV5x!HG$9h-gF&gMSJ4v7oHPLd1N&dJH=`|T_d8uiWf?-GhL-oCpV zf`O9B0QIc{^{oT-VK9(u3&EZMF@xvS!*@-rudi!~6On?DIHV6G0f`TZL8?N~05?go z^M14TtrTMTt(2in?kc0uqUVX=u;+=;M^9DT)YYV6k|pMWHy>;&KNP-uTl(gR<eEqG zy5m~1>`l&PJ)9f-2^1nia|o%B2E%uff+>J#f&ffvv=mVCuD$FnPx7qw#_WV=(*B`% z@cy>=)&8+~>GY_lYDvjzQlIKA6>^vM_D}GxyNPwhMS#eBfYIHluE;?I0vAkNxM1SK z9Y#n)0JzlWW5D{p_iE?fH-T`WdV}JE@q|EH>3Cn-(0G4Zeb|<GsnD9|dz)8Bn3)Z7 z|4$3V*GDfWJL_}ed%WraJQjdU5%oieyY(XoR<A*XG(ev^_zVM<-&L>I)~&IefjJ2P z6;iF?GEl8YQt)>|T`dD?g<-oLUM~f({><troCLgRhlkZc)sEwkg76rm4;<(c9*0zg zCnAFpq(GN1cQE&Quuj`Rm-GN^>9-Cj{WlEsVPGee>o|~hc6mqKp<&4pKOcgDLO86# zCe8!qmV|!0<0-s@;i>$CMfElSeC|!As?05#)@K4p$B|mGcEjfL(K89L19Z665#w|7 zs;Oc%NnW6xDdCfs0&bWk?oIreg$d8O$mDm+u!)O+vpjpxYB~rpaDYVM00L;Fsy{l$ z&@opC4VipfPkTer67g06=ekqM5TIc<ZCj4$iwa&6`79;%%L1mPUJ+w)zzX33S*yJb zYYR*A)(=GN;gDuKI6TfCj->%CdCyWr|D^OH6nOEJ)l$N<Sxi6B;Staw)GH!ttNuv& zk?Z<FJrgR%OM&L~S|r4&%kA%di{-)$gQ(oxofoeOkAr+;?)e}Ye&<P}!iehUuG6$9 z8wW;lh$K4)O*wx0OwT;a>!%O=L6N@IPX3N^dxGb~k$>Z-4_x#679VW{Mvifx;y8&d z^9VKfJth{RIld6;?)yYB{9rj}xC8eocoCznfR3F-L^s5p=J<>0y3L#3AGlB1j767^ zY>gtiA1;b7=k#N6pU#<X*m&d8(j4Ab^YCcMuq7XFS6}=Y`PivRA|=YD`IJ40Ptba= z2)be|uyFp_efI-{*urzE0x4Y|6uZ#9Q~t<Ns1H)p^@WCw8@46coXy`Ao~`X=8gH^? zdhe8FYVRKnJfA+>2X%3wWKPRPFNbr4Ghx4Y3P7y1x|Y9=M{WD;y@2}Oy#cmt!<OEK z_X4bYs$%IXkF7KCt_f=z`-#|$l^<s<VcJT|+q5*oR*q`?{mIX~DElYG81DaCEx<B4 z&P5p+whyZkx-VjImpp6R#Jm(AE2la$7>DW`8|mpA#J*v!n~*Y3PYsKf5;rxNA*jjD z#FivpP+RbRUo-6aq0bNZ{wKbt_rEOgaaW&~brX2%8m)QQO`5bW$ayanyqWLV_!FYg z4Y!~<zQ6ntoXl^-eJbPO-LN!*#(iqAykg@`^PBeA=$D*AH}@mNvW>t%5$;n7q6f=7 zKBaw+V@jZxA&5nrH;FsY%W|LMzE7f;V#_&wpCUtyo;6hTJ&s&YzR0_zY5!xD{2<s> z;WYixVSwLB)Hh1Um4iSP`?LR&f2)zLg5l6JImks3s#Y6=6y!xCeRu)>n-}1}c>(@A z^A`Ut0Px>yFclg<FingH24U3w*NiGxwv0djQkw5OF^Z4ac^t2>X&bMwtqg|p34l2l zu!nPnQthbjtb%c!nE4=Jkf~v49XzLPBZxr}y6?S)m%M!Z@Pb|(xUhH!l&dy~cGh$c zxUl#SqU>m<-``T-oVqdV85@}!8JSeS6Dc~%*%DTuDjA<y;Ux4I9wVbmXo@0IUQu~k zk}KbCXEx!l=Ko*}BJ)YHSg+o)=H2V63NAqi@3)zjQdHt&WeL=$A-ePL!yGds6tL@_ z6~w8&s_OPP+VXxMfnuLfI=#C+F`Q&SSz@E`NQXw+NtF^_N2d%AM5pwXJcw8JITxWV zV$T@a)piqpLZ*lKsB}pNqtas?R-qs!XO2ybA!S3Uk+LO>lCni`?Pihedz;VO<k9HC z%9SY42c$|i%8JRE)Oyn6v78Twl~XB(6;nl(RZ=0!SqVun8*>LT78Zhm=;(eS>0*3H z9LW9wIhcSPo6#x#j3Tnc*4azwM2qsIeoq6{9Ug=Co0GICz4E_2eVN5_8Pt?nSR?WV zB&Ie5WN})317rp#jpqsMF&S|$WAE;0>F{WZqS{QIUYW<bMi!;oc5+pgHFDwCisHl= zm2cX%a$T9)zcQ~@$ny#@w=L&t88T;?7akXaI6{sz!|l7d@I3@^ViEx5Yp$zh4}$y4 z`nen};MlT-meQBf)%eS_gG=ZGwi{88ecCr1kHtzcl>2t{Z|;|8%Ug_#T^GSipb8QL z(gvHE-UL`2T5{W|T)_xWf`^l^(~Kkb1TmR9!AXjb+qFzB^?iHZ`zD0WsD6dNtda)8 z3Kb7Nsf$)|aLl0RsWB;%Di8FjV2X!sDa--H>uT#N#QHi#990!Faq}YkS^?N9Dr5EE zY{OKo)|=F<)^WgPS~)=AU+55XnR>jXcd~mBeY_*3uD!*?R&kn{A`<-LnkR<JuPIv_ zFADcXzSb1ABl=9)Y?H8r7um=8w8JGe%#t8s?^s&0->0RYq-2dUV*?+@G}hL(c6XXb zIcuj{kFvulm93uEk@!J;Q)156e-SHwZCfrVGv!XcwkT}gfp|e<kv*c4@y0n$!ed~v zZ-L3mD6fn>F0l*`D|*71Dg2Z6WwsFUs~if=Oaq9L6@KQQVfPr5{@84OJQ@`@lp5ef z6iNFSeb2VGd`tn{v_X#&VVBB=rg0=50XN;*DvVI`O$9ei2Bg}rKDb5x;kEY4xALof z#$)MZ>X<5FOyd}SU)jvAQ}422nDe)(bE?6zJ};g-x=uOEcFLktWJ$VxciEEw@;3Hq zrI<3AdqNY2hZek>U3}~?-y?N_Z-ui)s!31V)<WpNyk~5U>lE`}Zj8UxetyO3q(TuX zL5i~XQY6g;-T)3z5EBA#Kml)10^TqLyn)S$Wj<4s&+V@@@P>5Y4dkRso6XV8Na5mO z#C^TcTr?+FZVabuZUQHDsF%3BO{}Y)d!`>LYAaN6>x#J;-!ht$j0(7e25^Tjz#UG3 zTQ&l>)N3XsGti1NAArYjLZVm*Mv4F^La<mB9?eOAsY1c<n^~RU>BN6Bnj$z1b6QL& z>hh#vO@sF~ix!<0$g}A>M2wg>nef2J2Yyv3CXU9~d1~V%$q`?DDpTb{G7U8=KD6rk zh@HL(OUnG5sp=s$Zy_e!p3c#PCE#IIjb`5b!o0#EmLIUN+6splXzH;o3)}By`B5&9 z^3S5;dcL?d8{_L<X|=+wbH7Hk<dV10db?L+oP=xQV`S~|P3F0@j%oN`md@nNtlF1t z5$3iPdR}p~TmNY^^28gJ2d;DaS&EEdnItp~-Y+@cYSJ^VazOMl8b~=H%(n^wY24O- z9~uMz>1C(hZih{(QN4RA+axf>Jzy1|hH_k!sxG)Du~#(f-Y`{a)>$L}jyk$I4<tZ@ zTfchm3b%F@3%9NeCtKLI17?4{Q8bUy-L^p5J6p0w<>J$vHLbaN=`fE}8Hmu>!^p@S zQU^D@c$T*QXTT2z`o$_WJ%fcdcMr`s3|by6EnP<!cX#$IssK~d1E$uZcPR)=4d$N8 zBUHcqVt2*;c@C)@kB8Wpr_e*V57*?YM$9G0E8@cXn;2y?n-snDOmL?)vBNXu6sO7d z(YE8Pv=pT_HQE^An!383vu&z7%BKCQk%sVRg764-3GYdrUi#G}=hI-|q|(A;_k%rB zc}`jX)e2g8g$E8bk~)mIFg)bwTSm}$`3s7pDMply_3Z7-kfp{5kJQy-g{$$j9aC6M z<BU)!`+3cBLX)g>3Gd7h=hJ<+)G&XMv9x`9FJnPtG%aaMzcX;GkXP=y_GQi;e~>sK z-4}M-SvFxpX3sPH8=5kIWL)F*88IxTwYh%ez3TaxjcFo2n&09cdyTlN&l83RoBUHp zqpI(R6N-T22k1I}-i9F*oA}!X^YxB~#037_eq1VT`))$#hRK-<_-{I?np~$kLXldK z{#XFCV<<LbOheQW|1rp{R`@KS<~p|fsVxJ~t9l=fOu7mAkD{pgB@fe=j|%B85tWJS zMA`lPcv|)q5l#&|RyUJ}SVsTuCPL3^su;RF<sch9b<YdlJggp$Z@=)yDBUCE{xdlS zqff!J$Bdbsduf{ddJn$N83`MGfrmV(uL-qtgna$PRP(ud?8&*8yvfR6I$HaESrab9 zw6g>5vic?#tFn2cz~Y+J>ai^UwEX%e5v#I_nqzsBgDUQdx%FE2WA*Q4Jja(r0XPSK zB004J2(k6i>z5*P5w$u6nVk+{eNPV57O8U6A3KCy*YYwR5o)V@H}!1ho--ZIRX7Nl zS^Fqor(EE0lroqVJY>)qy$-dqe%|!Zdg;N7+9{I@K9(bmgKONBi!%SPi1*j})jezu zVXjsiHcdS(_1^eq*5(WC(X|34N3`G#OfwfymZJ}q*OWbUym@|#6RFor%kv(YVVibi zZtS5v!4xFP3s<2zvkS`rG(8DG)2MkiObRB-tF+#C_$QYPs=hQ|nM!}E@a(tZ1D{Zp zfQT`9Nxg;2^AeUSKYzx6@XJzuFj>h+KS`VolLi@HdXV8I1{vP(pI9(*n@kH(Zsb*Z zwX!3GxYCW4UT-NoY9#e|=N?<dG#Z1RbI}>4_7DD@aF?Lz*>I&b+-Gb3ntOoi)fh5g z7iY4Y_F=AZ#Lu8-+M^I>uB;sh8)0s}z|lMNM@pj*4p1PXPKnU7UVOe1nwvt6))OAl z0|ok^;3gz9g_;Ny1glfROsp5Zn`t#hA@-bE_M&Wr@znxHLFEah4w0m(<3hP9IFSn( z7df4g`b`*GUEvWMP{j~b@upE7J=9hmh2L<Q6<UXx{Zn(ZRyQ{LkInDA+#&q&h2za$ zu?&})qipK<OkN6(@jPu^$UZNJVWi`$vBp$gob-7q_|i_85Ss{Zu>%^F%G*%bQyY=5 zGYUBaa`3Yej#mjBoj%M-p>_g-YN}Iaf~M?*NwR?)sROzyl~=H=r*`D`N)Ue57KF_& z7u?j~!cpdvj=u1KbLu$qWeN^@DdQsek@6@+1t<fbjSvpBbNVbAG+keK<c>P!vh^)T z1~!r7G6%E_kl^htM}BpuWwlYb6sMWZZ>gD?YJu;g7sCxb7!FeI5k>j?nY!Pv$wRs} z>ZwqFy&$1VqwpY3vrVrsv&5fIQ^!xl*!)5jMzMY#T}08R{5)#aOMLH*pSI&Jf4{>- zXJOzJw@7%6)etRk&uG(F#)x=m^;LC9maY*8dG+B=NHu*V@!<uU9<@PMt$uUQ$g%&z zQ06WJDffWA{Fh+)O02JkLp4#>Pvsr=Wh>!y_lyb+0FUm;S7XyCT?q_Xwd{kP1B+AV z#(=Vr%=6Gy?FG7ZxrKyvIh=CyDth@jc&cq|gs*0xZn&Cp^<GX4(U@SLoKgQ#A@}!I zeVO_Z$;z>pbcSpLMAg1_JPSL2$1DQ>KLm?s;jABuXLN>+sQxt~w;=6M7V-(8INTol z>WV#*sLZaYHJsx42{&A)1{Wi^I6lp8IOSG|-9Kdc{l`)u=k+yGvL|%PwW`i52L1iO zJHE}-v@P30h~7$vqaq6D;v9XtA99V5k*?eej8|Ej8#*ABlew{%#^lRsCqfQ?aSxXq z9c-Ql%gJEh7T(cLWSIBk0EJI})PHzQaCop+%W;2xZs|Y`?_w<h@S>O48NGXP?z{N< z-r>Ok=5up~EpfZj((HNwYd+ompLT!=UcK?D2VySw!eJ-t@Z3RVXbFfj)jk>ARALv~ zoPS)Id3;;?;I=gAf2Aq^E8V{>y@4gx?-hyMQf=09NO2EK$*kxhS#Bjdj=%jbaWsmK zZLHUL`1F@$kcB_rS4$N#Kp_GsT)*FP$OIgmTX;Sn4@r-C&a}q+n>A(pFuIj-#c}lU zdzLjys}DXIY)`4Jk)ZmzW%{v_^f(}d1OUPlv0K6gK-jBrOSt*7KTB8Zr06+!dM!_l z>UKx+L3Zla;OaH;9GCe@tx`b3$A8T*yn)g7iy9A3l_OT|6{dlM_JMbqdarnCuc@h= z5Wm}I#B6hk|DGWT2P8E9H8B1;BN{tF@ySvSKJNqb%Ey=Xs4IaXGZ_CWvxDSc9-?Yv z;kN&tko*&)a_aCRL{n|QBX!oRp|N;nIr6V8LU^qShx6r?H<;U&mS2*iuD%bN!M=TD zrjY#m6jVjD{pU#X?*YILZBXX&4!&cb>~EN)ESf*{_R)<@X#205@$VP@@rHiNT$AYM zj=3n?G(LHqk#`^Es24xFko*n7b6j@FqKb<M@&l2-97kVB<TqmgzO#$rlk#bo;v68- zupF{|D>B^I@PW)y&?RV<X@FkCV}<E0Ko(q@ZF3VX-v|*!VyADu+zOc{q9!u4`#`if z08od6WG2W;dT=5d-h7t|GXLbeDbv7O(Ef7MfIm7yc>Gxux(1=@JcNKbnZG2A+=oax zWO~I+5HlC-7D5d8kiSsxD?kj4-Qwk0z~|S;Nw&poZH6Sj?&3MZykmHbqC+i#ytQl{ zsp!ynI^p=X!E_>KhA)K>7CvMdVRB+L2pzWH%~(vHYFCKFNnaM0`%FleYMabfugUad z2uRG9z9}sEqb%@!120*Q`bkdu;(~EY{lX(}fRW^m2pQ>`pb?FU18VI<N%Z*GhM)2C zA}3KYq2FAnMlt{v@q-*&y;NnCJJI1zbws((klb9yaUr#3lm5+Io+r^*!@|e3KB_>l z;D}rRXO72EIAFmsNC0pvYcu?4J|gah6*)F>iOQ%1qC@+Li1LO{a&xA8h19iSD(`69 zRddqC=N@IL7B8)WQ!1W+9zP>x6#|KrNOSxVK|)fP7ZVu^@8EzcI9K>klw==ZqMm`c zb^-1~+-XKsI0(FxK!sr*pPgG5_>NwlEn5DQQ6k&fXg7$w_dQDB1YfJTf>7k#*B1SW zpBI2|*sj4^=o$ZU$veUd!CurHY`>ox;(N|DqBt@s9n%K@IfEz(a8k2ZkSZPat)E^Q zEU}Wo5{nZov04b71&3!UuwKKZ;#h|<f&&PZb+Xq0NJ0UCBnxr|nY#dv5;P47=_+_i z_O~SaF!2I=ju+bH$X54(+kzE&{2NIhxwEk(Q12g-a>e{<(C6W&K_vet){`e)YIbq} z63`DI0ZOOk6qF-5v!;~ZV>VBY&9)HK7RlLM#)CPAf62YKP078<Old*zio{$0mm0{E zmV-QL4#<;w+~!HeK%O)=woY|IOln&TB4wXgF8s?hacs|ChPx*5qH^uw;_KldQypBY zo`R<yuBV0^Lf>y-gadyvJL}A~)SB&NAR_iMa1lEhtT}rbH_6zG$`#JV67~|)#Oydb z8IX&;aA*+yX6RFku2G&)_yFiv?PTB<_A*!&b~0o+_A>P1Lm_|uO)XnInn?can*V5h zJwMR-awV&KRi^pd;bDFK;kJ4Zac$NwIRV4OHn#3Vlj?f@=EjA0%>VC^fZ)$!r?ZoT zq)PUv!}p(O(py_wr06>_b)ESz3tyRg;(H<b9%EAXAxA$_wi2d>Es6UgyR2|bbDZxr zvQLrO2IR91_x~SLUl|tV^ZhLnf-DWo5~6gt#7eh<ba&Sx-5?$ErCCZOrMqjT8-%4( z>1IhO=~n;u@4B8B&kGi~ch1b2bI#11`J6Lziz$U*`=*j#e9{VX`u5@OuJlu_%hAxk z#$Cp4HCob_SAm*AfeW8~4$(8XyX{|!(vQACN?MAZXqjk6*>SOd|CmN@*`%b%Gss+= ze)u^9KYiy5uC<6_#n&{nOsTBva0(L&l-BP$!i+6+{6#9uO@U;htq8JbtQm5_#f}PB z8#%<2iLTdm5{;@%HPh;DeEo>Hug=OUBIoI6CINXC$sv34d7vNJFu=me#4#@v!!QV$ z2i``0G5;*B09z<IwNu0BqkZ1%YIKHM9E`^mZC=1Ajvyfnw<wav;~B{hgB+_f#JaJ; z21JsvwrCX*XWc1>2IX##-Xs?|t~FYW=@-lA-#f}FcosR&n|^-fKslBU@QjvYr~jI{ zg)Wz$MNE~W(syS_l|Aj*OIrBWAQF#pN{l|IG;;aTyLD-m?`8ZKezwXX9wx-!ta#XC zoQO0M|H*!cnVKv|?H|sNUb@+{`x!M@lUz77-267Ce)qCec6z=XcIjdF2)g#R$HL5_ zM!f~v-1pvLE>R{+C_m#cG^XXw8*~wW^X_IHvF_tBjNNe`r^f2@YnI*A$1`HjRJ@0` zmJVI6mvGu&Ip}jVOD1-H!0z>cNGr&!^|=FCC+O|{^sH4yPFC_B-5g*L`dtx6cKC($ znTijP)aGoI>8ZJm>2-ii0y8fRhM8+sU8mCmg1IDw-`nKU<|nDepTUf%n}+e$Znw#6 ztLLqLPQF0+VNh1d<^00h`}8_UZ>XHIuHWUA{(+!6^nwPG`apo8drLsCdrv^3dq)7% zeIUS_yCq<s^Ha(|X9;4g;Z$AF;Lbe|kkdTkM8pM22de~0M~w$bhj9i;$5sbPhd2dE zBaYX;SPLDh1PeYBeZ&dLUK7U>+M1Qlx;`LL^}T|ycKU^onTn&_0;{xU!gYJx0+n^A zapQj#4p!*)m^RD14svR)c*TI4SKz^!&ai%hX2@onQLNiICrir#Sj*Tgl@6t`7t!Hm z<`sjLw!(mtX&!Rz<-`&C4VKRGhykn;1+iIyhx~Mgq1bJH!D!u_ha8R+fQr9=wGZx7 zjU%$pG7Jk<<s85$O*QY<QbJBHrQlZ=wix)`ktHa*?vNQ}Pi{Xw@%0R;HqC}->e(!u z%wis$0*4Izu5jBs{9;#4#e3;$bKteLKI}F30()29xq7S%zh~JA0iA{dI;976TBn!b z3g}emV3v&b;-F*njDow5e|@(jB=__Hi#2fgS<6Y1ui9o3<I3eQ%=vB`t2xjeA$r2- zK$=j}`R#h=>x9kRT(6=G_r`zgZ(Y}2@>-4rd5q6!o*G}#NEu(y_<TAR>~Gl=WNP^( zSk$t^X@{U(!}6hVU3S?heAWFQx@Nt{b<zcQ>V~YA{{72tdMU|ndf89i^u15I=}~Wg z^G}|x_$PM=L$+5jU5Ie}Z-_U(-WW&v9LzF`ogKjF{I9SQI{Xlk_nMAwU%x29h24i( zRTItkS$9gmLE#uKL5I3{Yi&1*u<xCE5sA*Q9=2u(yum1zXMl46asnm;dBm`riYC;z z275avZgkc^_CNI_vRS0mo60t@FS*@Vd)+7349QCpT_nz4g@<uD!({cE)AKTol&AkB zoriXPWjn!)PGgpM9N;+BY{WzNK6rcmXI8$b=TR9_M)gtxX`b_!b*C1^(GqN<t9m*! z!k(iq+-JG$%)YkB(`Q6OcsdXv-O;p(Tk9w^phTm3`aMERY`#|SKh&oJ`tK%z8=BfE z1+J0KY8uMSI$bBEQSO+wPR>)p$GI`$TK@#--FD+ie({P-;NiI_4eP$+4taJ});u>* zKivXPUmy+P)S)++wxE<iZr`F0*0#)3>mra<aQJ&nYYO`Jbxs~<>czaV_@_?jUTv%U z-)sE|GXsh}m$a^snccl^%B0;C*ZOnq@G26=A2jiR-77ZB*L?@(EZNe5y54eZ{ogZE zRUY;vb0Uophb+U8SyfIHN7_Io%Dq&!ZcN9vASBj128%4KTodYP4^ql93`VJP_A``% zE%LQukUizhki!|x*oUH9kDp&zBwh@-o_phfT;E5cu}ec)Ky|;pcU(xp`L`_j@|Pvy zI4#B6hVNotE=oA`VN9g!Z==Hqo(VZ0X{1vc*#_g|P^{k=+2mu)QELYzxpar)2$`#& zz35Z|Q*RW!LpA@_)wc~!0SZ+vf5^uWpwSMnbWRJ$S#VN6%N~&i3e^FHSQ89wgDtz0 zp0T4M|MlB;1<QE-XTJG+o7Jj93WFDz^*=^R!N&7>ls(xnN`#V$lYr$x0aza11It4l zusrme3X$U<WuD$$sYgojjOD9?yxBmEw2Hxrgv|YHk)#$4($TPi{L_Ka{L_+AQz6jB zapo!enNQ^RWnX_d#_nP`vB$Agn6MZ9fMfe-^HdXgn3k_$_xZKD$@M~&{yE*XT~4I= zZRz<TNS7!VwwH;EvzN(+vy%zI+0A?k8WIfO&vilDFt3waI(M=6b_8f4%3E#1;qRTL zIwaIa1<$;=*Wbe!*ZX;R*U8OwyV(1W0<^-|?@Ay^7b<uxJDHlwW8$wtj2>iYg5j_L zvfkU3PHafZAs$R*p3FkIhIL@USS`e@HHTbUGUes2ptuV5ik>O`H+@{X?FJ|GpS-kI zx)8lqI>dY{U4&q3&J!08ar(6#KZeUucB``>^>u(+0-)}^m;j^tI-nO`WqNBhKD?I_ zf-ew608IiwlkI*%RsdXIE|q4i7F*MrgSF}|&fd0{{X9a>H*$g|m(I5x?W8sd_!T}` zdUDcY!}afdGHjyHpp#!Vd~=vlG3$T!!2e3?8o10yK7IH^ru--2ll>VH`nSM~gP?*J zcV-Kh<ssCDji>>&m~P$7{DG#0yo|qwWql$9i6T|A#MOQ71W1vpDRuS86zb=Pb&Ap& zFLWK)YWgzNMzsz|?VTOh7cdSbIscZOnu#<yTnH{9tuQEc-nzcSCf}pzA-Y{DMddDY zhO%V+X}HboGgz5Aa3Qw=fj2&;%1s#Z&qjBy&ye&I5S+a*ogC?i&2T;bdA`EKh{`r? zoO+^Hbc6_`(}jmfD=NFajlK&oj>bIFLK8pRN#FBw(LG@R|I|fNe=-^|BmsX~`jzfX zF?#B)Jmf01-gQeRI7q<3a$gGKka*Lrqo(^<(922ohIYEy25x{Zdxt8$7d+%6mejA4 z0lv%V5qN=6_&cmN`g;dg|BqkbncSQNXRScF=Gn6auqa>RbT*;Nwne!#nb|+H-Kl<V zJ1EjdE2~C{E7~H`gcQ4bh%1`G#5V0cm~w$RvF{Fe{7{Nozu{6)Szy$ub4M*!7d~m1 zpunGVLbzB`xCot*u`KnYO_)|5N2*DU|0+TY-L6V?CHXxaIkC>(m7nAqxyCxPfM2p4 z*!98u0=P<Abd0NB6}+$gJIz6C{I4**G7}hsFP`^``NPQtkDm6av=eWnn&7%Eb20gt zQ)-Ge;KioRy0;TsqF$oJAu1a*WB*nLEXV4TozL_}c9*b2gmW{k*utKch>(Y{U-z?F zFJng-c+vMLw-du7Oyqf1xr)-Q$)1|(XC6z%stC|;8#y-M!vq$vH0slqu|uqyG-Kc2 z)p)RB9Uez(KpXKvN=H(`C@=bchIV3$e3K|-4;PcZ1*K+H10MQWQqux<$aK>ih4*$U z?rc~|07)zoA7ptf73>C(_yD6j1Q^}Z3_Na5#|<-{EH%|80`H8C+K-?1(kVT#Vy$%p zY%&9EUN2!s1OjXZwG*R*O*Y0;O*ZC%iJ@+at4RL?S$|{W7#A_LF-75QiQ2ZcDNKrI z$P0{W^jz<vjPUpRj|gZnYSfFq*SGyMJeX4tP7GV%A_gH}Sa8Ju39r`HbUWg9YNwRs z7nlJEEP!@g0da(x$e)gNU64<5iWlrlnHl)T&ZmYwg<%C+QsS-|D!#`Jz&cXLZCWBL z^s%9YtSyH>d3huimEc7$#i~S)1OG6$$i=i^p~ivo%6uhjV|4Vi*V^bSxtt9RpgtBr zeXoz7_Hncmn>U)^A_utM>pM`wtLpLb=9a_BX&j}-Zrmog`dh496;Z@H@hO8X4x8}! zv!M|@IwLPyM3UPI7jafy$@{bZ5vm+R-{4SPI`rohIU&a#SiHV(NTF^HIz2o_^`a<+ zEbANjd*tYmWjPc@S6^#Y#VIoOb55Km3wi#;rdq5Y`*nir^oXVLw{xt{sypTB<?pBv zDaqHY`!4KJ`pHv{O4F!<Flz&Mrl4i#B2OYvVU^fh%GX5Gvm=bk%_o8bpx0_VgCkmF ze=L0kjCT)2WN+5nbwZrGDHDQ(wI!ynhq0Q)?6f{!l?4=SYGAHhmC>L0%wWxn+aqWu zq!|hX+m4egSG-5zIrlxRWMYq<KBOzml&3S5WX2cFYG*^Nyd7u57^V-~#I*tLVQCV= z1NgaF8?9=pXJed{^QHlBdMUii!T$Hsrr8^H+`foWiLaYq)CgUtSuf^hS>=4tNtR;Q z!+3;%Q~hl9o4%DeIteenO(`W>Q*v(>Z{p!gsnBi`Rs6&D6V?Fts3ZyD@9ep>TMcTe zt^=&4LWij++VV&>mi=E`v*-Z3&w8woBc+^zG&Sq}<0Q<>vtj!C`)%Am0q(JXC4_sQ z<YvM1>L-cE?}-x>d1kU3*v?@RU(Ksnoe&muDHbhq1U%mhBOt#t$YRgS*kwhDq7n3i zt7_tJdOOuj=JP(|`<%?;ncjXWZTX!<MR&XXgecHGN)BMj5@0D>4e*>;FHEO6W4Nx1 zjlkL4uXB}8mrV9is^4PjH;F}h-EWxbMTP~tI(a*;hB4OewuyfSx`z<~B9qI_%1ip) zqxsQGmmGOWLB~I!Sjyq|RR{RPzLdk6ujZ@_%ON|GJW8t*#Y*OQRiuKPD11|OQ-&en zGeb-xZXcpT$B(iu<w#|0^3@JGo<Os4sT|!5V{HMdJvuD|iHh3?o6zz1J10<EB*>}M z%@>v|>}jKlygKCKV7=vt#^2F&Ddu15^I|GD>I2{O(yA?yCy8_Pkta}_SI7~-#tUWB zPc$sYPRsDD{btDPvnn7m6IW$e7g;g}w9;Hx!&sw0OJg@>Abc_V;BcTNhJ*}rq?`(J z`v$#QUa@qtZq(%Bc(SmfzJAZG|IoU0rPDvq#Cd2a2p`{@i~B@kX4!B5Fwo7HCTObD z+Bm&(J0I`q`C^%0@b8R<7;*QOf4fxO&wTYGcQ#Oa_hh^o+af*R-~ai47l)f?-p(l- z(Q?H;_a=9zMva^=K8(&eWh{ByI{vKbtA+e|cBUOe|M$}4a1L_y?CgDf5kL9!{fDm@ zc=umF7j)xM;Xpo{)nTg0^9+TNhpG(m^arE=kdu*uc<E7jlGL9q>M#s2`hc&$hmkV^ zUk?Po!?08t5@jaSj1{Es!@`W{$QGb?$x)Gqjfa7>R3PREJZWVCi?DfF`bd~56%WTo zA|4)H#^*^YU=f@Q!?>q0KuBEz1)N!8r)PuRFtU~9Pp23BmCt&^K)(sn$)^_OdFl7z zQV0!}4-o5~hF}a9CWx&R;)LbH{@LI;qYf36pM>o1tDMawSEO5dnIPe(owz<GGE!v$ zdK5X?IX=)x$yc8Kk7Tmx7T+Pf1Vc1g1}seJPErVAmJjI;-=AD4L*QL_j8Gnn*l?Wf zxCI6>QYb)6O7;fIZxL+80z$5Y1-O5Zl3;!F$_3J%`8h8VkKHfhbABcs=0|>uC@z-R zsl_mu2-Ro7rk9^w-i8pd6#2MFMR>D-PUpht{l5AqOf822_g;S%+z13@Wk7bWIv3WF z1XTVX3kWt8MuL;cGeC@ajuTLZ=gaCKh1dkTYWMvKqcQ~DipMC%YY|(DvrTYIMn)<I zFvdvsMvTuQ_!$exZ9R<S<SWmB3Ff)#W;`CAbLMAsDjt<{22T$GSwxHTcieep$W{f0 zubc-e3@c4Vep=!8!`~6nj0qOd6e^6qE#tHI3Ly7Cyww*R;{<+xH4Z!atDbaT=G0tX zOXnUDevDY2Z+2W>Xd(=B3Q5PjtjEV9lBj=9o&c-|Ns}X5m<G0_X4wz7&)UCdasf^; zcjR{(q+}FpFSAU5T#<^FwB?JOAl)3fAx#g<(TE7$<HOUV`tt@&-A{JTv-wCi$$7Q6 zc0pHY-eat&uN0<0QO`!_UEWT!+mFSnV!eN~vWEgHn{IEzZ%fiEn(egKOFp0@oXrqs zU%jY&IMN?k&nglIH6qzR-FKi$Rh1qHRgRbV1<Vg}$2zRJ+$!3Ge*I)`^ZB+^;q)*t zxBply`f^2MVz5E7b+pJQTlrs-zub5ANUFa~xYqYcuRPOt)I#7*l6Z!Z!he}=Z%1;m zD*MDfkz(vV5YU5f2}r<gs{WX@MB@RP8zRRt*^@*a%NOM#dVBRawR9WVdPHY)m2y58 zrS4XOA5EY7{3$&z_%Hu_>0yMuiDRSKh{<Pj#qPBP^wYiuUXHIeqLeO0u!fGm$1;H$ zo%j&UmeVd1abhNddLq68j}krkz_{WW13PI&Vco345zkaL9*GN$ws}cy?xNEw=Y6z8 z8tGEtWpY*!v<4>Vx-4M(P~w$Drh80)?hg3wXoU0JAk}EQp0jz##>5%c%h8+;Z>%TJ zpb0{~-7D$lgJ)ERJFIH|qg<mWxYhD~n|FA;6vOf%!r{W}m?mRMprHG;&GFKOfOSsg zyyJYA&hmZ4fZwHC$v-8JK5nP!d7a*jW$(A$+%LwvAu~~lCZD?hGY@_pY9)=q=s}BB z=uS&s=s^p-KY$j)Z%0V#a?I_0dgfLXjCC;4+$)|D1^tw-1-fK?hY!i!dId?_%7bKX znM0DcaCvwKN#K6*jNfNy<zm@|<FS%FXxUlr@UtyGOX8g+{Sqb&!TXziI=AG43+s1@ z;+b)Yf{nSz^Q^eY!@hfGp<q6e^HYsSul5f!(mupLmEAGGmEAL78`w604D1>_jUBBI zgXG#o^UzO{TEcUfdyB-B5U+)I)TUWkNzNW#`hr80e8GqqUvLDzZ|al13n=}>jsZi~ zczwT6l8u6^$mAEaw(8x*Uk;!+J_ArZ6DYn66gL8j3!X#iYxWEnj7RJHTQY1E>bNGq zzypEe({EK7-eJ(3L$PQspyZk7P*COt6r*X|K+18v{{2F#O*B$`@{73~uo;@jI^VOb z&U*j-sTaVz7r^^9z`N?U!Bg7F`Y<7&s4L$j>6Sde`zFA<wD8VL3w>1v4UA_OP^@R? zQ1XWhDCoi6oyO89p0PKIRt}L^Q(Qyn6!cfr+uX&fysY2?&-gl45u>*I^cR*(hX~53 zcH(rR=7Rm**%tl&y^g>%-z5OHZge_$7%p|h0G}(5p$;CpOC9yV=Sqi`)${71f?)jp zBQRc@HcL;5{@Z!(6^`|}Ld}oYWuWXXS8GH;rRX4N6~Ng}oMG>Ynj!h6qS7$G78KSh zV9L&3NE6EoHEhRmy63zyAx_ST@OGmQ3yI>Yb8WYt$lT?|Q4o4rutVeTS}E_*I34C| z-Kigi#+;&a-nM2p9uH63tk!3dWmv1#_I+kb%h#u6SlLJRzTGdoKUQMB?1JhMD^N81 z8+tjS67YZ5D<tVS+g=HFcrdB!DVirT{`Un+s-Mn@JxsXv?JSTlntUyYU83;U%<#Hs z33kWbw-wW66}V)r$wT?;W1Ih8M}Oh^lAb#b8%;Gk6y<9^S@=8ObcJ^7iD>fK;eT8q z?E5ytNy@dRne=ks|19!+w!9n_>2+<LaIXFSOnyD{2%P;MZ_SVmC5mqa$yl{Yu??mN zQ^Om=Wz#Jc1P6?h&QslT!b!)P)e+d>k-8}<Oz5{M;uuc8@1*!~$eE%5k=8LOyi_AL z)N_0*eY<=NT?5;wSunLlT=;;#gQmnELz{f8L(XJ)X!sk)hN&lgoP7Pb_;EZxq%33K zu;<pqHmQfez>2UrDXIYj+u$>x?GT`C+k6<y*JPsKtVV3T<oLzu_W7WnP`;jz_yoY^ zlZ;~xQ;>S)k;uM!&g6}-aNu%F^$0BhaA^pS5VFiCnJ~1C^<as^7jntRqJ$=+3&P(R zf17%e1~g59ALlwNWogW7r`h9)&)i~>PcF!ryqO9>K~DMfC;GNw?O<xlBn4l&)<r42 zCVe)PF22=*bv{Ouk!{oqpj)Nk0}EFMclaO*!vpf0KlzW2is6*)eSc)V+34Wn=J{C9 zIFr$z!{5*fu>Wp~*Jtb1z%LfE&Ib*ujI^hbffZeUNU^ILu=Uv`ojY&DhLdJBsv|tW zBd%*j9j#peIVC{;CHzg*8+I36J)rZ{_{I7T`Jh9f^M3dQ$X+Q%#|CxNIOUPd*>Uhl zWn%cb{fGPxY6G@f+5hj~ugQXy?VQQx3E`R@fNb<wcE;Bo@R@xq^T}N}lk*d%AyJX! z{zh!?xbchk?ean5vAac=>YFD=8iLV+O(?pbrU6y1F4rH-!fhrgPU<<(*B3#NQm#Gu z>a-t$<T;7gk{6|HD(HyW+atHPCJd0hFSk4+%&^$uHMR<QIDN%s@aE<biIhyGn1v6M zKZkl^Wdekb|9Q)0>?Uk0fc)hfu^e&xa<xM4Kr0F##v=U1?Yj_@S3)khJAuYXd@Mbk z{QNJKf$K)tA%EIF?;HS|)a(@c<QumkE@H>~E-?>IJalzKM2Xo_sx-_<ZnD-L>bZZF zatrSmWtdP^x}3P0$yaNl*0|EX)=YS|c<2mn#JK5xZD!fV@ug;vF?S_6vI071i_kiq zEB>!vq%pn1oyLudlGCA{BIiqS+t@TxTC1`|7m`t}$nIxAdmjGn;rxfRA=_wZeX*iU zO^NuBywSduTOJF-g;%ht+GVj##{@&P+Y^B$+NBfU#@1Bv(ApXp=@sWhW7znIx0}3s z6nQ#wWzuT#nRA?T`EN0EZK7)2#y6#{#jb-HTxY{?Gex`ix;fz+rq|a+AIdvYTSqnR zQ<~p2x62@$)+=njeM{AmFqrT9A&^@8<!}-4QAWGw3ys+?yGp%bVMeE49Kw~l;#^*~ z95wGL($EtDI;L6Q%Hh6;4GtIR`vvy--Nl0i1<u1OLuA#R>A0T)cT8osUQDqmXR3}N z3OYR7phs<|g!=aP;ruz=04iY6(YoUvVTj)LZY>eXdQcsYn7KWxEDUa3O36RKI_%<< zggVcPHdQ&?8(Cr;I=GTJp0*!Q8wvi=>=~do?sztRQJvjZirwg=<Yy3|sjg-c>UTg# zdD$?lbpuFF#FOaxj)jInRgH4)6i3K6w!p{Ab7DC#%C#`q(kz9t$f{h3e6yW=i->lX z>+)M6(#cxrJSDOG-2TRbS8F!>MB2LK0Pz(a*!8tsgkS5~m@=Lmzt+PnohjtN$SZkS zy5p~5Cs-N(H__RyLvaFk`$1-q^Kw42)l*9eW+-8)qHz9LZFTr`Vs?X}MLRSGZAics zLBrqjbfuz~0=_Mn<4)XXYD!4feOAOIhH}^6Up8BmscXTZM17$)1}QnXV(aUMzV1J- zoxL}5Kf`a+kuLP1ZoUz;zv4dp<n)hBtqkLJbsAaPU3&E|*0t4ttt6FQx}rH>N|BqB z3`F}btM9BXd8b&(K=%V>hB{x(eq)0s+=xMU17&kEau0+7pJ6k8*~$H>1pf~Au3sGR z9MKeByHBcBFA1b}Yr`ICjPP)!E1RzNFXF15@l$TF#wE{KXdSwmPV!sNYCFDCs{E{S ziJdF$T1lp>2Y;G#e9>64g7~*MwP0%eM6QZbK65}|N8Iesl5Q6&?~&);qPZ|@Ag0!d z)c^$cWxy5#HlmYNztVQl{HJ__?@qTJjP58(>ms`Z?lI}l3R+z_MQfrCrV;b;&*lm! zFWeGOrAs-$ujm4u+BuX)aeN%b*`=W4yJo^kyH}(ytFrO9pPRB~WW=QXkvYuAD}Rjo zXLTq{f-~KZ9+{sracy;1@%AWrMa?>PH{cf~b2s@|uzxU%=jZE*=rYaD&($O1>8XrN zKb~bAyF7rE0Ku90<FvO5E?jw$daV0EG!ZBnv1Tg%R<D+>AVx1?YO!)~e|wfJfbW@u z)W1e2@mk5qYL%b|vly2_T4d84yi*DK?**_K9;{l`G1#d$%pKc9Nqj{|s9Cq13{#=p z5=Ks^3UPckG^AH<%1BOpXCU6dj$IVku{+tg@kQ_ajGMbIhF&Nns+BApB~dl$?~Ig| zHyPf?YPxi&WRB>PPgA-4Svv|xWD}!Oe~)u1XG<FwS|=F|Yq%X#WX|%xv9K%CvLTrt zgVkD-)JIkBmPNJC81z#(DBeTS?gU&CF=f3nZb%%;zHn+|jEVV;=WY{vggXZP24;#W z2K@pa4bCmNP4gQC3mTy@7B&PmPulHW!xx+c23B;kWwKzE6;t&F{d68+jW5Lp{iYuB zed3EybOUfhmcjeU|HWeyT;fJ_Qeqf~P5@Wcc_{<FODs;>7UUrM))PFvV`Mpm68`}$ zxM*%79kM3LZb;dvFr;!j=6&Y*T{BxIfX{}6V5c$R&m4M^x(0JD8EE6(A@={i;jytZ z=EeaSed+<q6&|tcXg0+J7#0O^mAMQ|U+@_VU`<PgK`4WMhI%<-Qtk}B-6Lethx({A zYwjTefXQ$ziu$O%-M6Jx=NePI9#ID1(U&jWSU9aoUXRgtsRLD${m+ENVgMs!{jQ!R zBlgB-zzVRSS^-h?w_>4~1xLyLA8d(c<e42Jy|O^?xVMtG3J4z0`Cbt_bozzy1Hoha z+8px~wY~bN#yP*tUs#8Lf9Y2{QP*4fOlar-g=+nPP;G}_$kr~@cpvDWqW=!ZID@YK zYc;zg!yfkp#kw&=&JlYI4*+^$&sBE&!OEL;x*ZEIm$T9bwmQ)3GJ3G@3@*O7eJnN| ze{VoS20W);2vHw3zeFG|!{W1*#J+a_A;Md?^-YYA%UU$sYDTXYps&{Q(7s)0LH+_B z%<+F>K7gVtgky*aeZk0gO5L}oZ+~&2m5*60bU4I^B&FM*8O+KIlsX)0GB@6utEG0@ zEmbFvX?AM8(P<}Qq}}m;Qljs!_eHTa({b43>MB?vb!E1^HPd!DyH49f@Lgbk!G77i z^EU1MZVb5l#=oETC{N&qZTTS6P@s7-BKBDWq5fHv##WG%djW(a+wrD$w!M@hO>BMH zX0l0QbJ-{+&RmUC0I}M*0P&iI<JZ-Lfy+f27Z@CCQD93W&L%9Q?z8OBb7PMf!RnC@ z^^^1b3%DnrbVB3Ms+<B5%Z&@bbc+I`ojIFuZ|spMAN3f{Ug_%23CA6|7P`9+A%jaQ zYu}8snQx}8%fIG7DlcmJpD!j^)zZ2PdvF7i$8XOXul09GApYPk(0j5oi>K>QucgDS zTB{4}N_6e(Pru1zFmg9jS_mkZmW=l?xBgg&n`g=RS}LMqnm;}>8h_yE^{e@yUd>7x zNtThPlF3w^kMtZhyVLeA5GYOLEMWX*Q&%2n)g->ym7u9Tf+l^ys|@)13E?FT{pouU zb8MBRB2U?71!FYHtA@+Jd8s+sOzGsQDl(ZZ@{dfb`>$4vr2h869Lgh$;?1szy9V{b zq+2;E@sFcFSP^sNsWs5Yuh${mqu8<M2UvxjA}C1h1kYUMv-FKwY30iobTVeSV^fo? z&mc!h(x5Zo_Wb*8NMC?^$fkraO5VGbFFN`=trJW60hR|qD+bW6>(VQ!jIbS_wN_|T z&&Q9g84G-z&WfFKex9stW$YhqC>x#9#Nc64WWBYNw`!VxS-m)IPX}wtcC;&{>Hk*G z*OYB-$H7524cs_Q7q10M+3m`;ay0s=6JZu$%#X@(@{HB(xixge+OkJ#T-Krn>dL@I z!6c0fQXoK+7UrBy5KMa{bNX5JZ`$O+rk|a5Is;9ioR&s?vOGm#8q}1bBdE_Fi48H0 z;p~qxbR?0TgU7%sz(yg{sqZ4|QX&&90RUS680W1)O}vxYQu8E04m^1qX*{v=Bv*NA zoy*2@xj8jeZ)kDhI$Mv`$A!vC=L)@WEe&{1g;v`0c`N^Z6;*315KgaT&pH<<xECW2 z4;K_X9sSpW7%DB7@y#+Vmm|)fjygVAj94xkTze#X%JLplB@Z<59zC$h6hqe<a_dGG zyxNLiQ;4YjX%hDbT8cLUTQ0XRsk~9xEgbQ`E~t)ol3P%76#&FVwfx-R<#POwIZ<9S zJ4ex_V(?&-<&gm!LCAB+VUq7)$*PL_&QlS8&k!!t>;fR6VjoDTxC9a^{O$=8;2mn# z=^IIy%ICxMEDzhbS^)4}4glYL0Pr2rZk*A-;FZ-WmEsv<lNvr-p?bDb$t#AeT1+)1 z?{OVnXktnkXzGJnE{}-fLhPxQ1<HW_r6Q~AfZrF|CJ0Iwy_pzpOp8+@`?b;MYB*_) zv)2{<Nt{7F_EAmAT(mn6t9nq)yNhAzAhvEtc7=j7>if_oK73OVR7X}8b+2owEbMzZ zEcT;4hOBTSabdP$SeGiNZ1>BVcDk>+9kR9d&F`5KH!_ThT28=J&Ml}Xf~)W-BWKum zjb_N!!cFQMwok+-EnYH8hF%&3a{`pLQmK-fVegh=^d+0OJZf{7_~r$@s$8Jvd1@?> zyyL9V15xcOh}IC2&-~-N>Ti-Ug8V46aWr$~mSl@gh^1s%D6PS`X`M*MA-&M%MY36q z$XDR6E9qk2`xK(`WiMN2`&FdX*~bPly`4n+3#(~g31DtlsGV@F@Oz<iHE`b3*lonL ze-pT~Ur}&<V7qQi^e)$!NanXOk#y~WL%^5P>WuuKcPt^icPxndJC+EK*$Ekel=WEg z-x_#aEjmH=Tz=XiO3sa4!Si$}8*xTXY#Y^b%sw{l=HDp)^KX+0p;JwK>FC`oKQ+#N zE`59ezOw~x*}yyoU<eVN%+?D1Z1iQV?qfw&XYS)!yBJaLhh?i;A2ya9;4+mUGpYjl zoLtc|VL!9bm<?$HE<^%<3q)jbq}(LXZd=<qRAkTZHoEHi?#tf#CyG6I4^e6sZnd<- zuR~vxPr!Mdk9xmm|2a2#wS8b?nfmig$wU1|<vI~$e=SB|_Kre4sLoiAxsUrXgLXR! z58QTf8*|&T#!;(Uw^XTBb#?#(R>xpZQ}KSkS~`nRy#(ZRCF{cWtd`?71viReeYYW) z(=^+>Qm=Gjcb00v=jw@Nr(cwtsrYxFS~|-RJvE-`%F^`h*QODuu5km5q)w4ua<g-c z)Z1-hB_L>G1q4mpbF<9D)l`u`mikk+k2Gq^XUk)@?N$wyuX)DjZll1(3li2bx=rU> zFLb4a6RBo>%c^2(X~!EHjf(kSCw?><`^Mk1b5*d|^e5H&1B&E?wpNvAzUiXEw1*A= z_G;JPA}3wU7k&$332g|53GIt3B79A=ExPoG>Hzm~VP}>RNMnLE0q&z{rypXq=IIEF zh<DcwHSUQnrF2!q)0`b4+?+ijHq&h(i0Q7-(~c3hu$E&<eT07yW?x`8eGg_geZO=! z{ddN0`T<KImxv6=CDKaE4`h6~a#mSTdiaf<3fJ$BAmG53<{w43D2rfxAji0a!}KLW z!SCwOgr-c3X~CAPzFpH{m7$lqQh#mF9!PvaSSQsX^aDdE8Eti$z&cR-_#y*uZGJu- zJ!Lr^=f36b9~HlBGfdC|2@AuJ41Qdh!P@)gy3)JL+~rM+Ym@W?BAO_f`4J+@qv59J z1FUvnCEx;_nV&VyBS9@2%DPb{TtOn{kq#H<M3h;cPO34PF5XV2e>X!sYQ~i$ja^0B z{j?1N63T<MfK;UqUUq=<rV0SJTXZStbU7=bg9&mJ36Nv90OXi$gMD+4z>Ku6F|g}) z6xPEE9MS28yzIkXUSY_UXpup1Iwx9q=o(}e@4oWCYrfR>ZHjs&+VJdQ(8i&eBBAVb zF;qfkw8e4GMSpwn-=Ott8-!AO<(u7y^G?OSqBT9L>}r?P)bdYrpOQBf8yO5fMvPwO z8a)<Hg^$?fTVil`%~17@a*s+Xn_6Lyy@q^-v+$b-6YTEN3s667-u+Rv!I*f=;|)5d z?!t`(rEb&>;7WG2VrP@k-l>kiBB5F-vif`qq7x(q@eIoI^yBqWZZ`CiX8o&q{7<d- z+a!Xb?g$^BMgOnN-9$==FQwB?hj)`ZLPd9?5|Q$30lq`uwDe5@`8|S4cgZzaM}QXI zNFb+g*$10jat-km$b$c&{PC4Io$^i~l*I9$R2x(=cCue(sC1pBDBWHv!j1*Rvl>Qk z4G}I_u;5wKqk;Btg#u1{9j-qJY#Gu^s?4X4P*5yceth(v_Nz*(^ur^qdieH80>>i- z6|)rUvkSgrRz%Jzr&z=r+bQw%IFd!ATLH16?w?+2?+bXL$+<5>5xQF|MCY?QCD_Jw z79q=$>2{~Bjm$ew9-w^vruaLhQ&N^kO;hB1aboH@kuM_B&cz&?r*OSF+51~;)CX$A zpMe$Y4D8NF8iHA6%V8S!YMgebIAcRJTZ3m)XoAxrDQU;LB4Ufq@Dd-#BI466eFs{( zSMghIZnXai#nYtnvCTmq@NeC!n$*`+4Q-?T1JJVI@PU1oeD)eDVf?$+cDww5AJ@e} zuK7Z{DcJbCWQ`$Tab#XHQsxU;cSdg{zpM|B01!kHAgwBPEwz&wQX?pyRnlTb>(Q?S zZ*(Ik;f|)0ZA%o&1#|bgGun_yHdz5^VR^W$K0F^bXK<Zr-s<KEJf*;iyVLk67|*aP zhg_2v1U`GEFahk5coq6fcHe#~EbX^7V|dtJRg6YEsar8;bGombgF?CmA{-~(F`u5r zz&0!zJn|riuYw#KG8pj?SUW8dv)KX=IDPz`v_|GtNZ}QoN~|O0VQ0~P(F9Fed|Adt z+~~W@PW;O*J&-SVdik5!w86j35P0&}a$H6gh-Da_mhwzOG6LDB{zr<qAvoVJiIJz5 zM~RwT`tnP0L1nJ}84l#bSp>u}pCrP-Hg*%70nb1RPERMmIts>QsZ2+d&;@I^&kcJ5 z3Yp5-qJ8hFN(wHH?T*wwmUcpuE%Fobr?amceWWkzr*HwRZ~)F|GM(+r*HI9<0?!92 zLD{kN?ehcT;R^KM1pwUkr<9hm;13FuO|HJzZ6$U%6yrz6Th#HWubEa%P{VcKR3^wS zkO7Ac$TuI5?}z-y5Bj!<McIk>p>6%A2pms9>gje0^aG&Kk`A9#DpV2zZSVo61r_vS zHJqUUQv>gXi4eN3R$!!UoPx-8%~ErWEH5hg#jx4$S#@S}>P{dBU{P(YYh{@QS0<&+ zUCA#`C_KzS_?L5fvGX@y4G7#O!brF>cm{OHN+6{+xzQ}Xlg&$aqz%C&e$q@ly{>@h zo?W4>3lzF4C>h99&iU~PfQxb%SL&u9^H6`KI%P=$Wxg54xJQKZ<u|u6RO=deD?MiQ zP!}Myi8GF>`G`P`MzVuPfFJ737C@=~oO~!OMr=2kbv{KE;~%WCmRzQ#NwIJ;$^!*U zZ?vMgRX&C)fCBRZ<vYR$TFmp=1*o2}mgG0bhLVYIGH-393$@@4^hVd5B9NlfiPijG zl&7n!0$!|b&7yvLoNO<@LfXkX*G!a1LGy9SQKl}<;Smci`6PsZy-R~L&|MN#aJZq* z<j~iB{NFUs^s@eO=7W`yGeXv|*>=k#HRUck2)i6ZG*XrnCMd}_hU8IePRGpRzh-4& zOo(M7P3(s<Mki;!q}kO@-_suhMaF4@#b;jQ?>L<i85%*kwpjhtUe%yW2kwZge^_lT z^#4k?*sg2=SKWxf-`*Pj2EAwYv9^BI<3Sd&00d@5fxv8BYav$sIJCH>;HB2960tOB z@<z33Gd5>lt*II2G)MPOwRf)!ce4F@aSC+Stn%r-jBLZi!PHxwRxWrf2c;~;PFFHp z8~yD6%xR6!vWTYq8UDk&rx}D!{FY;+L}UHtcZW`#O5pDh#D<^a3`kji{1IvKpU|jQ zoF%x_H!sm_+K+C=AtMjFV{U#4i^az(In!2Kl%|z9?tq?gus}l`7_I=u{!$14{ApzI zo;{`y0+nYh3Cae^Xg&Op!gHp!>27&?v&I$;#}^x7Q$arU9v#Zm|4-DKHqtgV0njEH zpv@vcn@)>HA?&gWQ4}qzvOy@r<riD&jBaBtahCD@ux{QFm8!8-es}u0jT0ce@c^dI zFJ*Rt(dl=v-&2{w2*j+6Q7Aie%EH|Nv{0Mjk31jSBegL?Nys3ytt9~rL{FK+aT7TC z2FUPLrVVm~qAVuuI(;dxuFH{~LK}OsUHxZWb?S&#KeJaA=e(Ws#Qd$9Un`%issPdT zR^Kp6me{i&VeC1-I_t}-Z7v<Ug=VNq_Do~#CJyG%pRK<+Q!r+Dv^5IlQI#5@hOFv> zir^Giv0W1^x=NE7pEpDBFhQ`NAh(f^vO?D1A#y6|1(7)=`WXAgW``*3V2P3xbVg1Y z#MnfT{-s6+PrnJ7Y>SiBT1Y03%<rSU4lKvj%RSvI^l<F;3nT9;GH*#6Ye`Gnq`R-8 z`^#Atrq>j(Oj`3AwfIw(hj6(}+O0-4Ri4poPX<D#COy{lq`;i<8p^s;{M!G}Z?DHP zXWzm^{Rx&Tc*o9mKT`tu9&EBwF8%kc<=FWycdtB<T>c+xAPhr)o4p6E9@Jg>M21ZK zdlJU9A<<3NcX;0)`iF4I%Krqt*Iw{|t`0gn&kpEu{I76Bf$Vft4k@^=o*Brne?55? zqiSAm;}7%k|Cik!9MjjboTFuYr0(UXii9i?TqXxYwoT4<d3tn!g!K#{VSTmoLUy*2 zwdL2W*2?*QflKGsEZ&LV^A^6c%d7(Qsk>aSL*BxPune5ZZ!%LR;w(ptZJ=ta!!HU6 zc)^fbx~(q3Pk3}bSLDCNQi@s>w-!xfzsUI;=A(V?iuY*2auYG%_70A55KcK-OgM{$ zVlqq-%LyHk#2ZK;D&G%8<qu{p#V!u;a{RBzxy9NbN?iad;4f~I=acz2{eRxA2Tni4 zGgt5#=mBumF#%WoT`k?_XT1csg-TW-^sE-`)jr(rtfllxOiZl*Dx(52ps`n6oBUA! z5b(gm7xC8wga@LJnme4_Ly}G`3*k(@BY}=+76}yH4eA}LVBKz#u>X9WYLXCv|6kf4 zoRHNMSyKp`mv6m1a$+?^-7RRskBGiU7!X$nAg<oZ!Iu45GUyN;wE2LY%eRSAFnJ>I zgsTUwQZ$<Llst6xBKP5ANaKmA)IPTH&32xUVb}IJ28w!;oxHM`vO^f;I$asrvOUXa ze0>0`@wvj%1N?G_Tu}$4P^ciV>6cLZ6@~8JTWn|h6LWH{w4$<d=$zN@gv#t1!*1D0 zl3uwUCONs?hWG~<DAj#m^+FgWd#^^vj0QD}y1C8%c2Dy=D=<4+Yi%j=zSp$TKUo&( zd6P>EkK{hXT@G}QbprO;n(JL!P`6R|TI+0fOS+dcfU#IVkna5q0#f3-9)LH~Z((|i zv#Z{6wH!vL*E)IGm?$?}w_UJU8xgJgk|mX^ob;oN?F%2^P}Sm+c5klTccX#jywHnb zjMRHz;{znvp@5AKqggAH&ry=rnCr{t^nXVmT{uf5V^)d{v;G`;oAIDp#{+M$8A}B4 zSLL{@1=<6?;@2&+MlNh6F&<qF<CWZvaH1q`=HL_VJtkZzszGt@)+iJj`^25(b-xzC zg#+r@s;|;r;*0x^N4Mw#=-QwA^7z9B9itVUZ#qHJwbH}icfuDP3L~IhVl6|3n$U^0 zFZ?rJ)kc)AgRM4PWPljSn*-kb&I@!8rjZct_spf;%utJW)1g|>*&b>CsRsb5Zndgz zW0kzLoXKWu+MYShvK(&~&q~|S4kL2U&6;k<_D0uAB(hwfoq~a7r;<jG9@bSIH50Wb zcV-6R5UsA}=XivlxK@*)-Ln%8izGBGLieqgA?DbWQ%XT@b>H#)jD%%gzP8zS*ul6r z@QwO=?*EBu&t@XkKVnOOb|Z`+Qpm24M0L?M_NPGBMl3<8&_nBGMs`of(6gc1H43U; z*Spzv($^cqdpJx!_EHENmJdG8-=A;;nMuWoN(d5JE-G1SXb)RxI0SIFEdh7Cs+TmD zS08a^CO_^}|6^q>dHMaB%824m4M%6Q5rPVanBD7eAU*9wxou%(?Q|GxKJbmCC6EYN zu10v;&l(9RlTqw^7)EFPJH67qxHWJCm?``{*WCO)Bib7tr8+D03<uHC;%XzmcSTiH z(1HdEchXM?(^_!3cKq15_KvD`mapTg-NJ8q*O(+g4Pxu>>!9oJk$mg@bxc4Gy&I52 z&%kgM0@j+tU(46rv%awE+&B)fET`;93G78#JK8QzO^tCYt{Xu8aOrma*ysQNfo{+5 zX~)D>m{87PwCk5-;6<@lr<&i{8LDHb;s=_9j`<rnHe!L(G;^8Jx*e5mnOm<~lD6_% zvbW4zQnqkift(g}#6NTPi8}%i<zvJX%0CgrdVg>vUR~3`jc;g3S8ixDS1zbCp6l-m z5<^c@J(Ki#hTMpiN8Qww$AK3E54&NdXKmpk*FV*fU;M#|@CD9CwF2j(gn{!>i@^CP z1K@nrSKxdU)!G+(=Ua-04u!`bQDj}Z)sL`$of2wym462VMD3l|G2{X}Q*W&^ZYTaF z0%rv*%x+8tD5m%ytgsSd@{9mb<OBdk{AM<uPu1h-MVa!qFkII3QWUBY)L;cVxEAm4 z(Hy53lSiJb5p(8!`b)^(`#}Apz(+4MX444>l(+za5+9w=*WX8}jbWxU8;YkLIC==1 zJN~Fm^B~Qt;8Ok;+??&-JU#yfXhjj-mEgX-P=Sf;m=)uR>}6_x9B!A7sSgwPW!A}J zIbzw#eRI+Jd_fz)!7TwCoB+VV=jH(%yavF*8vz`=svN+<JpmlN(jHl?Z_w9Tu%IIi z?;todiHXb811NGD7knhOVmRO47&_9o&B9}*8o@@fT)@-SN>plr7Qr3@2=7Fn?H*a? z<Iwa*8ak5yc0j^9DpBAKC(b5f7ki|Pk4X&YK&7E0p6MK1>8#-Mr<$inWt+y>*kZL7 zxfs3m08NtpB70xIp(6&%3>+WU1vUzEYg~ZGR-;nQl{uTp3mwYz=$qz0>+AQF0njd^ z15zWl3^l;h0YJMJ|ATg`0cckqfObP2kjxP67*13V^@L8C6F(D}f+r75^X?ze#vgkm z?6P_j7w%Zyn_{j+P(z;C8QL#Uyv}eaZP;)t*&msUpMKXp`iXSxe*IrD>YvUdE#F?! zzd}-C<=cc$wr9E2OU;M+zya!D0tJ2s{oF^(n17-%&-a-kv0hrT7u~*!1pVIO=@AtM zo#_%Xb|m&eYIk^gUkfXry{Xwvgl8wSOMLXD_q`+DX{FT^OOlQ#b%$(3(?<9}BT49n zEn*|x(>MO3EotH2NwlceuE3a+*NB?cB)3Q*N9}XS;I+wXASUn(ANSm~xxrK?sOPU* zX#O>W|H(YXj+NJz;xT3<@8kQ<qs@pBVawES9`_znlnBA(|6(32t9hxHfI!Fl5kG=% zv;@(tt+ldj_qJjA&9YA~f5=DWP)AQmGs4;&nBED-6{RP0JnQ8#6|Z=*rA-X4dd?85 z@h*0nL!L(wn_YH<%8rz*r7=;_CocYPIZAS<DENg4!$LWugvS&~pYsdsg~CE&e@}9Y z+<5rWyhaG7h@zXiD4hh+jPm2XP!VHDpGZM^9;1Z%4~<|2k>@Z2Ig*lnwgK%I%;_bC z<Ubp?EEdWVpJeip!s}%j9H()Ad+~iS&y>}4O!(W1l1x=N%th6{pyZ*SrRwzw)rjSV z^er^}keH?5kwxIjmZt0?<IOxmw?CWb(Q1M|OGo^5hvenbM(n?iB)K25h+Wg<Vv|)M z>62%F&Lf480;Lt;_7$-WsIx2byhmt)YIOg!`Au`&XkxsZY;dPT<OLT~)9V+IAW+m6 z`bCLP@cBvM|H(3p&CAF7+T*a#RRmkaJ%3uI`z}g{BdSgl8>U<Ks@I*ONS|e|N}<8@ zb)}wTB5_rjZayiyc~?9xa8(z)CLXf8&(`DkB0Rmg5SQ1J+%h6n3$X*#Uy}Gl38+6> zmH|2^A1gzxP^Zqw4t}5F@U1Jjb@p{bj=5@M$jrrgCNvW3rlPRi&(zs_=ksPfElvw( zB)#*nMOdagWGjM}rG*Q4yRXimz!iCYvGN)CPsR35^&N$9Q42H@l(x;&BO?rQdq>Ea zmDUH@+ve#t5LR}R9bxQ)vL{pp|NN3hlJ!Lzk>m~>ts%suP3t2b+~)bNAsjm`z2*{f z;+|fR`LPCbEV(}~xW-+6N`{bKWRzZ-RTy-tF(N~Z4yBd#;f{o@DKJyOBa%yTe-^V1 z@Bz}*L1-!~{96bAtEO#qbpG4eW#zx7x#4s!Y515Fai^2uIqPSzy>ic@p~WUauhru~ z18k%E$hnjLt)aUWTND~p92hW3tAM0k4zf2N<Ni9tfUOpWSPi*Jg-d*D`U!kkcJh%c zo9J>!bl?#gbBPZ$J9)rQ?90y~#eECUu_5|gQgl~`4kjH_N_<xrQfC<*&#IUvYf0mn z+-w`EqPcL-y<pr4rhdM_AcJL8se5LW@c<Z6H?1ZuU__z7F#Sv$Ap{K5c)*D8+|$hq zXwNs>ljRUjUxt<ohTY|P06~<D(D(5PgLq67(=FP`u@~rN=)Dv*!b~*DEt|=i7Z_#O zuUS$=)J62L$EHYnm0xI#{UGUce1V;w^eD-xF69*DyqX_aDcQU;Keb}tIb2qjkX><w zEH`22zNk=0CGG`o^Me9oOzH);eo-ND3^2wNuFjo+<dzGy>dc_t!8*+k$IowH$zc8N z8=-O-tR1p(mp|1eWX~C*@3RCHBu^+UmfVL+w9PXRD6H(NMyR!taxQ<SN_t1(D@S-| zEB-}FLOo4dLN=}MiRCs=zpZdAk0POEY;qqK<2DcK^dP<PMV}G7R);q&i?8o*A)x9A zplWlV%Rtp2plZx*9@$UA%2Pnqmnr9vjksjFHPP$Djt=i5&Pejk?a%q8v^e|pk@Rh& zK%zQet%b=k#I?m9G@HN_=ff_8X9`Sldz=5}(cNi<sV_wM1e&WIY>#@DT~}iN+QfX) zP5$P}SP|R0JR37S{NsQ$<O$Yj#p*i}^15TW6k^ADTohp(&(Vy0un9FD(uk4d+Lmd+ zG>&PZG?Dz_lQv;ULg#GghR$|y8%ZtmGkz&Ic}7qqKj}u4tQ766e3&J5w3{&_o~kVq zO5y4iM7Qi&@8J}?C!=Xxi9;AXLKb3e&(s5r3rnv~#P#4KwXBgP9s4PdxI1`<rC&~m zRr>*dCeBCPsEh<50}SUXU^oM_SUE79f6B-1*yBh$YQGCp;fO*SVB<BFy+Y~w3Zi;9 ze;$NY$=^;@Em5Y*V>EldcU1x|dBY@t1x#rK--tXvQ<WoGJ7622dBN;cT}WR0^vdUU zN^_LG){?vN*oWCapHZT2mZXpO1-4H`A+g#=@=atsT<nKNNTi73*;`S%2)U)R(*rNF z<@ot#e-Sj<hZisq5kI+kdb|{9RwImF1Od~R!^8Q-hU!rl&v`=BxV6de65RBn*6)w! z`)M^fP@pGyUP8Ro<(x*CsR&|PYt%04nW0Ivs~IEg#Ez-gB(A7MD_euV`S%p*>!7NS zAq>u|BAI7ZkH|L>oX?9}XDVLqj33q+Mjs+~2S<=m`pU_39H}@}56Cy&_Fy#wik~L6 z=}YFJq|JTx2eOhE&TV{eS1FT4{TQ5w#s46wNyPpk#Zrk$UUrVq_sR(u?f>J}90KeM z^D?E6IB=Us$_1F2lnBW#ll#cU{}yM+At*Jud`72C&d+u8GifzHrbtI{0c$`#um&(i zlBkY~BHUyN$+A-V$oGoeKL9p|VX8p#@{58-n4l*4Ry8@Z533COnvDr&!U5{`pyN3% z*M~qEDsopX#tzCUT$EEB@NOLW;C5;}H#No%YdfX^%(&BCO-6RQ@@MbdG#XENAKx=# zB>=X?V6@Ij7Li1a=c>p^uj;_`ohq(hs4@|2kM9dQplQXJ0hBgV_}tY1o4%&()ps3= z{+5yuta+W!=m=ww3f$3^{m_3TYy&<Q{-G$COoTzGh7n;Y0vD=al(3%Fi0TtTxXR1H z;;qKLBS>jyH4uyjF{ZNQlnq6<S8n>t@n)|gKfZTUmjgZfNN(O8FLm@&Bdk*dfs~WO z^EzZhaUJ_WCLC;_J;HHeLX{*zU+tL&?BbY&ib*60a7RY}kE*v0i{kskhLwh0mtK|@ zX;``?q`SLWx*G%$lv*0;?(XhVSh_*Fq#G3^#d_!ad!FmP-uI8a;LObD%$XDSr|vmJ zS{k78P5O0B9qK{Anma}X%epg{qmsx|<y#C1q3N{#m8@>RxClchS0oAkLE>%^6_z1$ zB;7KwsGoVktV>csG0xgU9%eCUn~B8)LS-x{4%Qe?lNh4Sq+$}k8a<0mM>a$X9*&?v zPV5U7M1nldom03~fjsQanW6tF(4tC0^ILwR{l;vm7!EeXYIW$74ZsrV!FzF`DHWH; zrr4;0$@+Ry#F?mWWz*>^%hoScgcB)S5*pKa6e8vWOZj<}WyA-xsYR_Qqn9z<CJH=9 z4kBf%m4p$56u8{_^mHSR3@HEjq0GW03}S&~EA4iFnIVoY`u~*djze2$l!;eZ^*+>7 z#jO#rB9dexioC|`DZF4-omBEL;Po5%3CmC|uS_c+Ul*NOQA*Z?ULZg4=8dz2K7@5- zoNmw`Ktw5sj4FVLDFE2oh^PYUK}R+1$C!ud1bxAPT1s!=-JPQTPZE6yIe^XuArqES z_Fk~vE~*iKx=38}3AzGtmE&xUyi(OGqc!L8NqZajgT%c$D&F1{8Hsa1DboT<Spk^( zN^DgGL1iq`uUKQ|En<kki7zA;emC_lYNVQY<-Lb*C#P_KI`jN!%++#gK*oXm!O>MO zICYFCs5&p6Bar5q;*i4`fyK~iBONozWYbBMZf{rWqf)ORsKY)&BA(Xyw69c5*KPbZ zU9C5Pns}0Dc=du+ig3l+6ke8;HdlHP-SL8iZ+iTP{<BOXgO`sJ99>n-WzWhdEk&mi zQOoZKrY?46ft5U+{33Ksucni+Ll%OYK0znPEMjv4k9^uaW*JrQ1p^l9$O&g8Zorsj zOujY^>yhFM_t=1OIw^Nx!P7^AgATbRU$I(7bZL_y@=1`HTylg^MXflbb}Ax?q|A`Y z;7szhO8ut~I9Ml9R)rs60G##jboS;-9hi8@>?!vpxsT`7ERSBKZ#Ft5uW@wkzAT0n z(MKe`#N$?0pp!pmK@FT8nshPxwXch|v+u-_5a!OfEksoY%Xuj_8bVmp0WGI30&Y}= z$iOoOaoB+|RTfcgREFr(rvFg%R0b4implY_d4g{ErHG9oSL9P*hD2$3!7N*;Mqu=j zxaAX;F^<|aKYdJy-hB6$g8X!mg#2tYh5YOUe*M624EW6fzg1HRu}ktGNluv<mM~p( zuixg2NDPz1M5`PsUKc<uivns{T_ny!BoPHOsAhNjDovH~gTykQWEkiaT%c28kZT+w z#oNg}6sZRE?8-)+P~DNuJvZs6`z;lnD!~ZpHc^&Pv=7eVJK^#y>Q3Risq$#K%ZgEa z6ww~~^gJ^Fq`v_my^scDSau0jGbR^*S#M0=Td<7ty%f-t)$-D&3yR_AfTon8$8~dJ z80G*pWqB3UJkrfM9FZl@lI|RCiIhjHT~v(Hqlj)arsr99U>GotFEy;7kqs`YMjABV zQqZlI5yos$Sgw{3N~|m?M*RV<)S&0Nt0o6~Dq<2sYMze}k}?QahmwpQY>{9`uGFY- z$Vq#YbgSyUz@%Cvl&Ww_Fp?(8^BtO#Q+V2a#v&_3Eq7910u%R1-7$JC#4N*_Z!aV{ z5w%yI{^p7^4$x;dT)whb$_n5HUy_~p57NDW^7DHcb7ii8D{;ayObFnio8#zU&4!k% zsQLB>Agb5-0j3TZ>Ppl|VZczY@Fm%g`#_WnAg%ZM6-H+rHGadJV?YHj^%T;_#J;rZ zEG>DG;Y+d?^WlZ*l2)9vvAWFW{F!pNIK}RgK0PjgY{MaiygymLBySKHyp+04-yAuE z>31`BRK4_L0}j>>-L7g=8{2gpv;+FlrDmp~8u*vJTyV8$=xbw3w-z9AdvRywS=1gp zsQLjH(&R;~8v|OcLJOs4D_pHdi*RMbY1G4{1568)9qG_&X($GzUZPc4vUf~aOh^aC zxt?UBIwpy;UVo!J=2QKe;CuW3zt2*Bkh>d9guU7@M0dyP8Q!N%Wt~98yjnNJ`r6jh z6SpBaQd#x#`6Pn%C@&5Vr@kt9uNv<mSRS*Nrsnw&BdKg>Whjg+HBf8Qz$)UcGSyB! z(e-2f5TP5pXPk-hRHU+U?w&m3-!?O;K-a$(hna{3BAp98tB4@wso4^eSlT1u!XXUo zw9LIkB0ehpy(bE#hQF}}oEe$?ONL0?(=vDSh_L;+hWE}NX;U+IN{DoP9)(2gPHKmq zb}KeLNVU}~tInxKvv#xd$lx<V=5%6!ZKmI{@<_QemY<Xi{SHX_!SxaApK8o7_NE03 z&j35%BvcK7XVZlHby%SVobQW=FcN^fClT?9>Fzzj|J$}1ROy_sre_4aT)M~BTi~h} zNB-@va~B2acG}TeX9-&923uig8CpaR+YYfcd6aX<_fM?B33Ynd!|J5mfT9ZiYBclS zX-(h8Cx__Tv582>MawE;8t9mAl2|cw9jnk9V5bAQXmU=Krb>;B)J%NC)Xd#bB5Yx< z;o`r!!1)&7ynHmdI=g4EjyC*kR*CVb#hUR5U6#>KxP0j82j!;9SIjMsT5)dzl&2PS ztn%}Tu5U^W7Ypc>RXq%&-@Gj!0s|eY(fZ%9Kgz0&8o;rdp}@29p}@>5_rtctR~@b= zs}yF<6=`y-aSLmt@OQPkrtflqnWBXG8%_5q?OJ89#pi$eG;lo4-*j`-z{0H}j4=Zi zZe7xo1=1Is-yJFFGOGz=SSc(s0fRS%<OS#NXy8yGVayeUC9ERpi8bkq>LFM>LEJLV zFiSjl9AKOi;t{4<cgo{LIEGtQ%EN$QMLz-=<;1H<kAW^A%_B(<{v$wCSRgHnT&pAu zeMV7a*gzQJOM%;{Pgi_aJC8Hq6t7wP8D~&A9>cJR;A)U?Qb4*<m7YXJ0M#f<{}jqD z`#WmmMLYp~5oZ`Jo*VGigWq_Sk&xQf<KY}t23xh`!R)G@_p1W-_qJ_L5uwDpUu0>T zRC73k@8U65D+rRd`zUtl)#5cTmT?9-fkRaUT1|cq;fNl2mUhQ*%Nlt!7p<tu)f@qo ziAKDp%OcJo7SNOi;HnkJa73Lv%d$hbWt;p1fjnKYmBk89!HPq;h6_K+UXWr}hY}eT z6Qo3sK?c}}QuN?s09A9PWrrnd2t)ZOiiGP3BXj_pQ5%pfn8O+HjMt=Ez!}tvzch;e z@2XnDP#KD%%v!<-CBT%qvM5z%<vhk^dk$+ri}7Sbz-mGP*KLbit4RTX<5YPTd8cs8 zaCtPa0npw-erX^$L?Q#khz#kU0^i$89g>VE0MFsLe-}V)yp6xSGY9U<wetTd)A#oM zCmGBCf6oKu|4x&>V7oJozl2)Q_l^VQYXKBx5b#*yGV~Y=zzC|*gLMRm5HUc5^Zzuk z(PaW?p9RbipsUq&f$A3cQKQimyZf^7m!8G)55ii&Z8a8gXqlbD6Nm-=&$%HNJ3|Js zT^_cb5?Rtqsbahbm=<PW6w7xe0@AmgK;LT7ljQKD8nx)33bM%RUI0qPu!1nA4e-25 zlAhH3*Fv^<f?8k-;Kg&pfhk~%M`+utOOK(#FWqEF502$0LKFcFG%q9!{R#AF1z|)N z(4z`KQ$D5Cs6A)9Gl|#ioyQq;j>qsX1BTYZDSQVh&yw#PzMCbFCZ?z8NeWC@z|y}0 zyhwat6hk(>qpdzRpoP8#aG?e*LX-{1!vw21+`TGzryTErLAl<c*gvu|Sfj}1Ii6o4 z-UEg*X5imaG>IVXrv>8UlBv!Xqy#rwPvzW6xo@<kg7bL^Ty615349Em$_bHj`{+pp zbMg{Q+t>q#w4V+ubS27B{*=Oe`sJU>Pnwh)?>D~1TB4)1Xahz)lAa@++_~+br|L~V zu4$V!O}B(kHF4C1Nn-XP$lXjCT#v=-sPg+L89LZEO_m4|l87P*V?RO7i|msD2ol)v z4VQbaI?C?nKl_WiFAT?R{M$KUzIFj$B2K@Zia)d8$=XO<Bink*EURz(-iZ9CoE<dx zOV7Cl>;Ks;@2DX&E9*)T(wdQ;OLnXK_@|C>G8xXH1bNFKgCWm7gz|_GhLtl`-@_&d z-fVEoet88>5=u_5gxEfpfrAlI@qfXda~dkTv<n$@XhATmA|V-<->o-81Na<T)Svw# za00EPkPYCbD<mC6!a4e^Pl87lk$0!8_r5)yPH>rfXuyd>%WR7+0;kp{3P}oXYRaX9 zq@Q-PEm6al&_fsl5SC3etA?f65a?MmX&o*HBC0<y!Z34`fYO_M5Ah+r^1NW0AjAmV z#GOJXXb05i!=bgc%O8QGY8!=Q1vl|z(LtCTqtB$7d1Vp6XJeu5+(RRF5o4L%H<#9N zW8m5abaKn{5OC|Qr2LP7=k{kW3+vyd(BNDwqJw&F`bXTvJsK6T7b}|$@G<UALy&(0 z5c|P2!orp4PL(31*l3Zr{U}25MDYX~VZ$PHr{6|Z*l0C3{U|5##wIm<Dwma~0yh_P z=;VASAz*T#K5lUjZe5^0K3RM?cp05C?52M}l3H_r;)!_TZYRx{;sC3}SKU9NAs@?_ zJBpdRY#K>L)31|1>r5i|!Azr=A*`MQsKri%wXtcUnXRb@4?U-UCOKK)R1D~;-6*g% zla)vZ_e(p3Ew>OS&QvT2dNhhj3EB&#I@t@2mGc}J-0R%2Rx-tD<mU`GgidzF^YEaH zh8dI{2jhk2a*bkw-1kD`J3I#ldOCOZf=n+j={O%AU}R{4F(5jLjQrjx3=zht!_Dy> zm8n6(2MRKHU@S=R<0vM%#Rw+ZtNqZ(FINkXC&cVpWcya5G$W%Qf>fiZu`^+!5T2?V z%1}37-@fd*idOe}$aUw<?7yOge_7MUZEG{+7e70Gg!F%z2tfv=p;P{f#a=sl1k==X z+>k*qX!Ia(v0AECe{Erp;_E;*hC&F2gaHH|SVgt^U@R6j)ACj05MAVl0mNq3L?qGD z&1a6G_!=CmPC<<WuA>?=ny0m8C4mG8Omfza74vbhFcIcC${+TlZYDzFZ2vN_*L%#> z=sjCXc#+MOTj!T*<%8I@_o15)iKqQnu1-yT<CJzC_aatZyf{<V=PWu69hAa`EqJ6* z@c|MbH=8Z?d*R>K>3io&#~H+*Ph@|SQ^NNwytFlctE8)6agpDU{qt(7e#nSS8~zk{ z@$3HI>gm7a_qWxK$$!pD-`7x$yXa9HWor7`SO+d8g?Z{JaE|Cxzk%ua9$4Re8V6}B zv~}Gbb-Q3O|AP@@s1IX;D)vL;y@4TG1BOV|^s<qQ^I`R$g2<F^xO5-QBQYU|X<2a? zvF?q>AB}$+75`+S)yQ)ntWVuVkZk`rnAHwH**0qb-5A*WvCWyD`tPo>^>;^t?fc&i zd4J2jcdwevZ>Q(|gaZyKb42f*Tt?cq{{&ThT9RH9LX3a^TeR?AOSkSy^SI{IuTk~) zqlm+gtrwj33|Z!1IXv$tZT(`>el<7ufXiLI?o|F)`T>+8IlS&b|0~4+B?mLFyX}c@ zB<%NQwtnQvFApxrCjTpm10~(ZgA0TIoeKg=(13#rzyF<kW_w?lksHiB`>x?ay~)eM z;x+zTrIdp;+SDyp{cq0i4O|+f`_$QXe>W~7aCPfzRP6P=UDpw`X*0D06G66XX6mV@ zU9;)`yLjT8SF!@-#c8wX@I;W=n%V2;tpoY+9-Z1vp|q_-*;v8VlK-_ey}2isZ651w z^?!HVHh-OwLcNZd&G@g5NT7~xY08VH|JCt+&1^>2AxvEBb%kNqcaEM(B`nuQ`~N+~ zRv8w1#pS`~vGTKY$;0jzAG=%Kr>>2oD)!5W!*`t*oB?aC12nAv-P|CJm(zsA^P|Ot zaL9zO_4v}M+{SudGCNT49@m>`95-^$Fa@5?M5W%GYkkBm?Z3i?a{W85^^b1p{}n`) z>!rBX+uc(CD`2bCTXL<}*Z)rj`yDg=OSvV{g#6#X3j7}Nhr`U*vh_t?>a%Z^F+-{o ztVu~iM%kp<X>2??&J=glhxJH^HcaYdqrX-$*zHPTnqWqnEh!%~w(LFMpT=tkK{jgA z#w<;S2L?*;UgJSbs)3UC@WAi_-fIwq^1pL3!vlj~CqfcT(!!qHvtiSH`_~iqu_TO1 z@7w)fU0)WDdkwE|x)M`6S$HRGqsX{g9Vx3c*rDw{ZH+i){)kxYQX}J$QEhac6Pq>> z$7a<`QvAkJB;=a`ZMVN>(8=y_!QbYEf;4>4@#gQ*OlY2$&Mj+DZ|&=AkQ-(7*6+}b zN2iV_4b@wrp&RQ?9fdpX<?9?nIZhpoJMG=;9PrM%N9zjpe{YYm1gf&k9(54ukC5De zgPyn660z6sf16c&`nI{8+!nCpTjl6BBY0cwe3CocZf6@-R|dSv80ah{GP^#&8}ha7 z-{PsCr~bCU%I4f^B0EEGoxbnHP+=2CMn*Kkx$Z2rO_;1N_Y9wjv+)Lh{W`-R>v|ds z4K#%Xg=Rl<f%8(1MMqz~fWvvbDEZ<x2UO|(cqYfhrv$xdz2n6CP3iG@zT=lZT{<># zIy=86cPWam8N(24nL;t8udR;pVpAF(S&2`I)mmpIw824*<#(Ta9@$a43l?>ZxAEk) zR#CZFD}T)@{?*cx!*>$<10hD4qjZ$sA_oXar0JC156+6O;G`7)2w}q!0x}iyJxg4f zK)9V^upAh-NmIj%9R4pXAE)*qtli(MIbG085kVXYcR5Oo=b-XxOq1b!_t(6@55%5T z)7%t82hryn8m5TwH=HD(J%<q?#AT#i9tO8xKdMMK^FCkFxA^#xIl$Sh+upP9?vvkR zr103?RQvK0|H?}1lFju`Q<|W^lJafEYB%0D&OW;Ad#FPHw!42{Z!Fq+Iks23yaf~3 z+t?^R82Pxx=9;vqb*g@UrBpKTNEC^|`Pr>HidT4?H-s48;*o1B_@QZPKr6jV7-bfU z1W`3#QASeb9w)|nQ~mHrmyww9VCi>+Acf^jkg{j!pV-nT3%RJ}YdZVmv4}GU8k08s z=L*X$!pX7^EX|JA*|N?nCQY+In~Qn9QyiNDULs1LZR_hYyJXrh1P{G9+5~(**?1&I zqG9_xSib5TH$F4gv#sh7{K}^p(#Kz4HwXT>3~THsBW}N33wI6VwZx`XTOE$1_}dM? z;4y8B-@-aWWQ25|xE9j9qwqT1j|{<ja4V$y*fgA^!x#6rmAwHG^0al!0QmkZMOQxy zeFyjYm}?x57q)f$=CJU>p%KghC5V;P7&gFkVHJh@7UqPKh{*B?-esb)8loMG-~L=? z?`)!G@|JyMVXU&OinXNp$9;A?gO<cb;YklgUWw*O-Le)5^|k{w<M@tjx)j=Ib2mRo z$<AX{5|hT+VTD3ZqT2gy-MDKsUN5gEi0j$n2ngNx($t#|>fpntKBwzM4pLpTA{COu z{GpoTPY4ndumowSu8vrL^<~X<tskaOum1ebp%4upyod@yZ}Xg$q}~+JB=Z9=4#Fu2 zNUHEWoq2Tlo<RL|Q*_p<W1PIQaZNJaP=YhSL$xqn?8V`}6|Otg0ts)gGgXlAIVcj! zv39xr3{YL^r;(}~TU4HPZ2MQYu+40#7Ni!7ceWJJ0dMCg4q^3qm&`qm@Trcc%F=d) z$Emh8@x0)egfdlRt!Ad$?IEnPqhoO$nP^5TvL0X=c0>r5Y^5k3{x9SAm9FkA;jM$G zUrFatIB5f{>v%PtxvAt`xtV{YQU=!ZUDrmIv&%<jrq=?fiqF$AQvwBf2L@gxIy!w+ zFbgs_M>Qa8VCBx=J{POhSJB9jucp@g!u)lr29xq78#l3ys=s95%ze#m=3B2RVvI;! zmi()i<6Q4P{Wqqsdr#}VUW|Q0z5k*`+1^?mM?R;(&ynSB)0KJw@(blAg4HZ5OG||q zWc=6nb7^`ublAhOSZp2xk{rM73I%7*tJtiJ!qD3UVDlqjELf%Fd-S%sSxMKMGG}(i z_0+HBUsSf4houp|d21+?@fYb2q(7jj?w5iYU0}g~`4wn6z#z$^w>9nFuiH@y{4URS zGpcP^xS`@5s+v4J&psq*{d*69nfj!+8D$RT#@XR?mwt};k}j#)pud8yV2+w^_dB|k zwzhJ;t_Hi!o|WIpdVx#dmALc17cGC~H5{i4A^pT$qb0{s;*yR_WtD{tgSU7F+d}SI zriLZb->gpZ(!Mo>B7`QTFZN=lP+l|B6A0!>jMt4*sMi4IjqqZd7#&%GCdE_%%&UTf zxRJJWOrCOf*5t=__S{*vXbW8o)bPW^SVpQJjhQkMP*0YAEnu-1_pp<mF)2(ug6&{k z{i?2?Z{wR^t=68`9sDlo^HzDQ;|w+N*>VYzX;;t?!1+4&d1N`=MWSE;Zl<AEiq`UM z5k-aG#&dt4ZC?Aa%HDN0J$qUIqI$v1T}LBpf2;eiMzNJ?Yve#3vj0RfkExb*|IySN zdfn<fzo>&*=fu>bVPLaX?qXI#^{a8`KASkzVfUQ)t?!#k!6Yq>JiEBF+`93VqkvXH zfp&yR9+S^Sa+-B`Z9DRleNlf9jj?|rB_)X4DcZlXrlUN)-i)F+>U9|BHX*0}EQQ&v zVpw_TKg*F%RR`}Y)%muQvAUK=j@pmf15;W>iff)b>Nq@A@GaXGpg@2^T=_mM{qe1M zrIF3Q-^uAc-6i<uD0`jBsENy`%z%f$-%+sfa>50%-&RlW@NG$3Cqby2k~5=*!}Jc< zOeiTO<*pp<l~Kj1g?F7+UG8T+W8FR9XL)?Wt|&Bn)m4-pal0pScz*g(eI=V7etyM! zPHDEx2%Y3KngOXAs)JPxXMmsjGauKgZo=>O&tm*gQfBxB<gJU=J)Dmp3UmJ+Z5$kY zO{p&<<@nLH4sT!nlc4_3fjcVZ-}U!@1{MG8xY_c)PJZti(rqyAEspR0CAeZ-TGN_A z^7Vtj;^GnI`K8{95Gfo0;VZS31~QMD?AzR>FLaEY9y4Pcy-U;Gc)bQ#eE*OYXe*Td zPP^?P>z&($r*+1c>K#uOh|Z6{oO{*Y;S|&C%5bdx%h1JQr@fWjNpTY|DO0iJ*=cbb zF2X5k_fa+ol0(m0<9ZxA?1yjsGT5@x!T_!OP@9dNMc8_%uLw?<?(`;2_H2#0nsR$# zllcbusbp9!{Hr&yaXBqU3;986`Zp*$zCTTua*euTeYG>)X@95tE~a~#<ZM6E4Ot{x zvh1OAUf^6kF4TTIq*3?&W4oSuKgj;1?wlqK34Y~ilG7Qkr7)+kf=^l{X#p&84YJO5 z+5Ky?t+~7g$CMD6_jwu8Xz0Q=mW7<mcygHj3V!i1^7uQOr8&gI*winMO}cw09@FwW zeC|CLXOAh2TRz!&C<!&|f-z>!MlL=#e<P>C%RMSWrgZ-;X|rzuduA_cVEW|cq2@6Q z=G3@I^*(9rh?OMw)|_XMq*3#KJpcA<Ilr!q=QzLKg3X(}_MFJwz_s%uGtHbrI7u80 z<-1Bh-6<6PBaZq<1-g&_B-zbfFDe;mb-0j=sVC7iRe60CR7CzGbf8%gn|;hks@{Xd zSc6tE61I!QzH&^X&5Ma05m%TT6IZY;G{1Rjgg9Nqe~OnZ!NECMsMZBMZ1zj&rrgc- zf=aKpy5F&tJ;~BIZylCDLl;8sd+>BX@YaZ&{4=*KB3-cSq^n?<ipUT}2T~ESu_lkC zf&;bzDF7GAG7?w-Tp%Dw7%DXdxducJBIzJUyhFoc5&oI81hgQ)tf7;UhJPj^C5PBX z^>arU!e$64>0x^a2I`+LiucNl<BIu^?I@_Xr7vsPJS!B<S{n|JQ)_DE`4I734n)2X zztXkRF0?2l$S+H~+#gN?3W;WgLYd}8RBW^;T$vZtKC^LhM}I?Wm-}VnMAy)mEs#}T zF{Fl3UYcc?nQdpakG^$WlR2iYuCA&LKL9fhqfj{{AWE*&dh>x7>uQ0Pg=n+SbOl)- zdzG@*VQRFW9CbuRpT$qd*O@gYNX(L2ww;F;3E1cY)~Omh*2)R>(g(uFh0*5qV&p0K z(Nl3`tb0L@CCucegHw*lBih!>3dJizXPFg73HQEAwWY~#ni@4p$Mhd<Cis48?Tcx* z%XDmKdl_$wwrym$%RK4w8<7>(09=c-rIBcv8g&M)9d0JD?$o#2hx`Z8G0q|F{feAQ z*!P`yURQ}SH2EH5qt*~i|Dhs+`!<90>DR8Op@iM)#g~>_f%xDRU!pV~+e};#n8y+n z23Qr6u30LZ+6hmMSAM+Hpmj}?{UzS#yOkn4PxQ_8F;NvG7R2mFZfd$4P)ND8mm?+Q zFh-q~Di~JJXYY_Ppm=X*I)8hA*jGH+-BjK=nKHXP#`;D4<*qBc`*rSInyO>Yu`oUd z)xyBY5odgxSFNzI%+YsO@$O}Q!IidSlO^p1!j_PJ%a#zNj2${9_B0j*lKIT^LWt6T zwSqmYUf}19lT%AZBpUEpI7`20M)9sXZxwvZxAZqV*7QmoF`JNIt$l1uY>J@m?@!hp zmQZ&y6vSk!mIu)G^MKqRs5@|XquFguhO^IU_n8n^2Y7Kryt{F0xdfB;6s2!syA)vZ z%qZ6_R==*}ETsP{MRRtQ<ZT4p?X<eLwK+&<WwF|zef#{Zp}9Foroi9Q_E&ekSG9h7 z!>LAncclYbLQS`MSD6Y4)O?<qM!d3=_piRmVwvsf<a(e`d#g{{WwM|J9<-0E`OA-L zu9Iw24BOZt_jQU`2b1=)wyS?B3(412f@sHpF_o*<*5t{wG&11mD!|`<bg-2F+1%B! z?ssYZ`>49K*%f^q$GX6fb|$Z8Uto8(pLXaWZ|u-P-qTouN14y4rt0Ux!=zy&M{b#A z9Fc*p&N7@98G4hCd~Jt@#>)l399{qvF8|l~<k|EXkLsjJ^2Q{SOqT;eCi1SZ=*MPO zFTDU_A3}XgYpY%esUXvcry>FbcqYqfwr{NWf;pMk+*MsZW5%SFztcY7{8DRoOil%u z<0V*5yHO$Q!nLv20N%CRmym(o77x3_F$FUlk+)1P)_J(1hR!I?<;=#&rS9&S*UpPN zJ2gIOo9lf|U3~gZ3monpwR7br>uzi1IXfgBCh6Y!TE7g-DFu}`ICOj_jr2A+RD3(M zmR?U1neCN*O&{*2>FwNVR{U<b>SknEVlJQ?jGX;p{_O`@-e2ps<blnI&Lku$?(oP^ z_#VPo+LMRA$UienGjK|oh0bo2<W~NHy$N1zz-gs`8bkGlTkZQxdwTE|bqc3wiv3R4 z3^Y|`c`C@5O0Yr6Mw)!S4mhDT?cSCWMzNM=Y;`R|F>e=~)&+Yfw|yRCG2E&ehNC#s z+6_KmH_O#zGKM8#v2jmGa>NO0Qd)x-2Ua=L>~c!aoJNvj<NIDX1lglQ4ee3T<?P0I z0j3K?8*Y!GEs91zyD@<@kG)TaFyl)$-$zgwhPk&n^oz&XhzUf*f0T~wyU4*VdSqbW zg=toGYLd&%Ln->%%8LR(i>e$?&?pOxA+*TE2@R(RKq@dRYgQR5xOG(Qp;>EI`=#D{ z0l|-_Az|MGcJ?A^{2!{YB?8X9NedHb!cS^2yTEr&vq{9OC3U2?k2#)TLf9k$$Qr1F zUxq5qDk?VVQPpI%4qNawPy=hgj#V>_e`YDR1k;&RFm3>WRp7A=nFVd%r5&=qeevO= z>hYX-Y?>L{EGP74#`R3Vd)OlD-pSP&_y|Ht5(}*En|3sjvV5M(D}9SWnUFTKWEr#L z&p(?!*rJ^atZ6q4#vTTR%~xjL$Ct`{UGL(+qrGekkwPmFw%NsubOCf%m;sg7RkjQb z&@-bZ0Jx175qz&PU)Gj9qsDpGMkF|{#D0`CV|I1om**{NP>%A{Er^G@2qr~n@+Q{# zwLqciQ{0i8AiVZP>)7R*ha;rXI+zsU!^8IsOUqEaD0W^FQM}fVV)z^Z!gBg!%^UrW zfjF%>zntBfFzr^?Y=&MJe$Lt*8V$BF(pW-S8ELGfwI$k$tDWn?&&k)pdTF;VVN$xw z3%+tjJOr7Rf{old2Ft~o%Popj>qLEyC2eI)1;Z{|Wuz1IVc+$>Gb>Ame904NTnxG0 zEt32+lf7AeZ#FjI_2HZH3np%Zj1{!Wo#MMVLXysY8IZld!#)YV?d-4&8P{4(Y6|?0 z&2`E?D-09v-IQqS#XE8|Jo+XbQ+T?WAVb*B)+5W-3p&9IGBC2+hfh-Fmi&?1ea1%K z3&bnDAx3~nK&c^eu!9?EDt43@sX+DPs*$hDZ_+(t9Pu#(5B{9EjxBrODKS*o0R@F* zHO3DzUF<~R_6R$5a)LmBulfSrw{ca{49=s8ukvhh6bJoT<E*62#mf91eK)xnw`Rz% zRuG$JTa-sanA58pPJGO7mvbmrn)S|M8Em00=jiooTy#=l$3o}_%F1|az*!d|FMMCe z^#e6HB;+54I`^zksmd^()Y9{aPu?T=*(9ZbA;GVEmtP${<+AeGT}IGHy@ha9vAXzd zRONUaVSKw$<}H@G&xr4u%hc#(@e}B&KR@PBMl|aWb+G|L{!gnFtQJv6hc32te4kxR zYmNWLF_68bAWDu5QW_r(DJ9v_)K1>F6iknGcyp^IM&=}NtE}zi!NJ<qnUpHFsjMvV zjiKy`Uy63rTT-PUGh+^Yi|SNEt%)6*&r_(w2|XwSWlu`-#dJz?EGVs*Q&EDGDFwgr z6)RFWQ}6pHE|s$uX>zb*)S=*G<xQ2cBbflDebiAA{?+Y#fx}ZQ0*;~n2!Fd`wx9yx z=;{SaTzkDL`sgDo{&_Jm`qQ8ODRYZL@~;SijqT@M0vWSoxn!>|vm7KOnD}p9Yw)8f zn)K*;pGQEx5Ka%86#w*BYkkrX1>Lii)+wM2Qs)n;hz7r83kxG+totbT7%nUdle;jD zd!8M+D+}UIb)dsy&W@|$^``T*x@G+Q4%hyc@bgDm@A(`Dns>=Jfxn*{M}5at3u?a+ zD#p##NG+`34a$WX3oGzr+eaVm`bg_oFo|aiM>nW@6#$2&`LQFTj&`5SqlqyXM_~hk zi8q4trkf1e3FopPPl2K;d;?mwMQxS^QB{6g>}aNvPyY8+5@)?amgO-{-k+yN>Prt0 z_S!$1I9M@Pvs0yAq6>H*BW{=ct@Vwu?4yg2?6NIQLJ~u=1x+7iFHA4!U(;|B^gKC^ zhVGe4>*VBCL^EN7ibaH_`0+=hpa^wooj>3H%H}VT<>3=dkNE7J?>ukv=1E(9lPS$l zn-UF05Y0=gDmt8@CsG1EE<PVv%V-=dAPZ`GSyWa3pqb$x7Tvw~b7&6bRlH^>&yTGV z&1CN=d)=7nAOR)h=$9@=8YVg%YGnjfOSn~bUrfuUlqvILQ${hVWINC-6RM?4%-ft2 za|~5K`j?k*zBNE;`mT*e+wJ4bQ&BNx$I8g2^l{DyUeFr{_W?I$E~?@((xA`oFC7|| zlNt81a<H`DGuPg3`69)Sy#X|kN@;e~uox4TGNxL{%g1F|Ig*+s>&+eJz-b4JzDqG? zW{$9DrMj4iWu-)PU#0^joltFrTlShK)ZwZ8@~AvEX`s52GMWx?KAT@ovK_R2*dDFg zU^B1P3z)54!kMXJRBFl+ABMdxYb>3ed&#tovGC85BY541)<#|zi>#8mOSDt8za|pJ zzaJL~+ywf{85mafqAKnknt6<R;%U^g;<ZjCe(a9uqdmxcU?81wa09NIK*(0Km)7l; zs1|26>YhLpJ19CY_MPnYT!DiGF#Y=_i;*yrPhl4hXFuScbZ0t!GDI^@E`f>{Xkv86 zQ5Cpq&)m79?DiSwsd`^zM+(VaUkMg<HG2j!WcOEeKVT}ztkwGWI@J`p(9OHRpJ#{t zl=bFGaG*INQo~Ze3f}$@Q5lUZBOp8dJUcEb>c|>z9!-_WI7%s+SYdE<EWC(<ZG>=o zz_7RrCc{r#0!#{BY0zRT^E|oS;HWw<2Ey|$VEXK^BH0ukU`<{CPrU^U7JCu0+4bx5 z?Ecrq<B(Jb!FHnQ0cdg8swzKz&K^kG>8GWPHj!b$r7mMP+|ka`OuM;BkX6JmCDpW6 zU71FovFr(ZvUb$rRh$TU*1s}YVnZVLLgf^6-ClgJ#V2i<!W)LN{MfTm4Y3u#dJlJy zpdseyr!PkOXU;7?@hxybyWh*J|IFJd1?HfNO~6yXsbrl$kd1fnT6}T(rc;fdwgUhG zJ87M(Y2RX8Qx#K1lHFYT2xvXg^ayFONT(`4Sq89DU(5%ZJ~s~D2CfZ!u`oY9|7zZ5 zam(}NZmnAa-O$Vc0_YT3eth(3sFkF&4!i%KD5g0f&sVYwBeT)qYR@)&&-Sa7)$wuF z;_*tyeLt(?ead<1KqUvK+CQ@VAqlcAmmv;<Ux=p%M2oxpRrtw41k<C=#hCtSbBog3 z-o>~#>Lfn=3<Zo4yuozYK<@i!hkah`KhWXBRa5)&4d~JdS<D*WkMXi8eB=JdH5AKH z;aT0SSxS7A`zgs`xBz^&mXaU>Otq)2UTYHx6S=?9dLjsyaE+!=Hj_^N%D_5qmz-+a ztFA1O&s_E-0f2A?Po=iRqN~6vEM8%AX02bVN&Mb(%&c7xS*jL-;iU)rZ?*9*IarYo zR2hrB1IrKOOKbz_r8btnzyR{Hie%=en#TP%fDDYZDk<8*a!Ra2zdK3BHfMo_1=?ez zMk1M0?07Xblm*in7_m!|lOwNyR)zzuw9>}&e39Seuw)q+*k_1*!wx)wp3?Ymcj*Bz zSAOs?v0}n<Ql;aZSzhsfUfnGJYkU1nz;|s-^0jq57Tlw}>Gx0(mc7U77$$_g3mGQw zwjZ1%y6S$wJ0#>q{*AILL0q1*0t@)zmhYwQhL4-|QE!i7zJ_%nzskE==Ol^xTODxH zP<BNs$bZ`}O2YO>9MFBsiCGz8P3g%S5T_^WbUuK7gLTDk$bZ{OPwMG(JfLxdbtRn3 z)9hp?MRq!|nPW4neN)FWh%?=3PBQ7VIS}Ne=n4bLf7=tHzxVhu7L?0Jnh;CObg|os z`wMuD{pp8nk0|>1Lt0+V%^Haf-37j|;Zu3ESc-r474MaKlIg6mYccG6`X^8~5W}_1 z<)`e}I--a}D`o6jG1YIuX$eZyjd+c1==yZ+r3Q&{zMoT)>Bo;ChPW<g!D+~N>c-}# zB6NMovR}V4mMnGSkH&U%eTikCezyKIh#}H2&0I<lTFT5gTAW7RNMtzwlpQoFim<gd z!mg!)e+^EHWdvlnYXO-EKR_l5kbz~HOF@n*m>CsXv;Y~{79eB&5|D8LWa7>NnXCU~ zfV)aCm6KJ+zB!erIVz|8nVI57f_U8xFs|bd4Qd?XiX*FNeUx|zJGaYzgL$lz2ikNg zLu#r7p)}>|tNy7#Y|67bK9;#kkS4!;{lP!oiFoHtwOSOJqn_oixiPQT!P_h+`b)N@ z5!AUZC8fKzD^;&ro97kh(#YU1T}oP7ZCA8F)i&HK);czqO*Iwy51s`&t{5Ln*9{-C zovimws^i1HR|#^yD_!@8t!LWpTPs$p1rJmS(qWa|T0*{++8TYZLtjv59BqCIH0luu zn4M%e?H9TOjgkNw6;QGM@Q}5fZMLb-cjdu8Jv2JapYlZLv#PXd!*A&()|IX%^{To) zC(!JJfq6O1{s-0r8J5TcijJ%Ra8`&TZC(u)JsIqzihvov8F(*1<b>|eJ&A$UG$p+~ zF-uK6CI5bTb|7Jb(uWAx^yB?*X5=*-<QZb=g#SP>iQ)EJ<)?wDQu=_}^8A3%(Tl|| z-|Zu+f;JUYvz=b~v8QAK`*(|+J6MWNx=;a;kubQoXOt}skf0h>EI(R$cL_^J!X~+I zqlQ68foDds8LCBczb3x_&GK%&j8R)0aR$O%gS=$!Mn}8UlYzbVM!`ZC0^$CX+-+MA z`L<}Ze~m00=JO9Umgi9l>1z)|yx0XsM|(hcJkT~P!5t2|%(JC?$F1s`d1uEa{WbT_ zGV20l#58J?E^;!<ONMTEWchqD5NwqN27>NZID?Do7o_D=i|H47<ven4Tl@?aKr4oJ z`!6S{HW695Mm#|c>l=OJkC>f6NT-9m{LcS7FBz@)=lgKq)N9uCwa!^yY<$C`J-SIT zF)O3sQLv8apoS6CWgHN*Ynd7W0S`0IOQw-Eq4##Q!SK_Q1|z5y=fc^NPQ<k#Q$yd6 zqa+4^vkLT<#H0%x=q)4=N6KY|wdM6i-Cj3k+CEL^RyEr;4kD<gNi-Ru!=4m5u)>TK zt360e(4)d@%$A{BKH-|uZn}J#j$3P=g?t82X=;>E|M1SeJ?S>(&#QAXE8_hMtMzHP zcO%l4GopEFkURY+Y^M1MJ*-@MHwjJ*D@!;dnM4o)ZQ!4Di38$ZO>l4E)eV}F7I;5K zIx=&NoAjHyT~<FyIilFZ4+>oOd?8!6Q#8sJQr_&?ojJmbzhDGKKqjT9>@vGQdOqUT zSAVj9{AH%Mtpj=EkD{X`?(!ZFIMj@~dNfki6^*=Q)=A?tE-0?f(W3I=36-IV@E#lW z<fNqga55>8O?olcQ`P_eRV3BLeqwAN5TuNhk$COR($5J&u2w0qRd1JnIy&hZGs(?~ zAEipxf<=mn?Ib3=rNZ-wl;P!HI+noed%w_AHTLUeB$X+U7GVc+pjk2!oIspYPF#EU z$_TR7IZ20oFLJQQ5-FAo$fi)?%^AFpt-^-?I!(f>!1ho?JdcEf>wAOQKe&K*6T^yt zcB&@m&i&GRKhAbUT~EtgoY;{danH8*XQs?yA3Kuj=7`AWD&_jeWs;19I&i1|vaLFu zg8_=V0pkH?GRLS`P{9F<^P^m!0DD*@oY=pg7t~{DXKl|JFsT#Q#xJ1vMY*e%-8UU; z-kG&QP|m`6u4(TrYsYc1pXMpNd3rTH_6t^8DaY+<_CmSoZRoi3qqjkyrB@1T{0V6a zr4HPNAV1cM-l^!!DTp%wRZB7Q#o%w>_z>nhK)?JoQ`;PQLhr{tH)aOr^}-K}-y9`a z=RZ^V&S9ajVVZ<nYnz1>fVVVd+d}l4riPW%-xv<?(%KtAcfhXQw@AO7f@PvxuWKDJ zp>S60OOP_}>L6W6A60W>f(&o#USb$Jm6vDccn^{o+~f(c**@^dey9Rpd4$tRKzJDX zr6R&t>zKR15wDksLoChOhD*%-BywcXT7XzRQ>4q(PGZ<8RdNe6(|0JqzZ(JgcPFC+ zWI^P6Mffs-H$ZN_KrMi1&qU|OYY#)KAz@j+AmG=}#rl^S-;7*}%-*zrtb5oRp}x`2 zXyl|gq&X~BJ7t&@5aNIBHoMX)aFl%|eE;d?A0exS_0`QTRuf@lDrL@<Zcg-F`eSxG zJl|1$k*~(Qv+`qk*7ULW8`V<^ago}l=#OmIxez5eQ&_Y49AU%Q#*g?sQTuCuC2zxb z??uhE?q^)5y8=xzK7(j1DhzRY=ktKif1TV;`Kw%81P_CI7eq2<{^UoZ(R$76_d%ee z{HouSLrHC4OsWOdex?6P#Tbv)0(@3iSb<WX(AEQpC9eRnWUNV-O`EJR696%DdN4r8 zKwdxyBGTDUM@9y~Hc@1t>5*nII~AU%-b!Kh7o3;TBf@&7JBXZU6Je(VLG||2b(>uo zL}y8lk#U*(&)3~KR&*X3ej$1dw0V_1{nbK=G;?N>ODc*9fDu954T8Tr1>c`iucc15 zEiNyPJHK6-k`B2SYrQPWI$&MKrFIbTG^(mQkT=-gN_{5ZNB>~Wr-3OUkOJwHKFk%c z??($#Ta|uA+&;e@P7UU^Btt(nt&QUd6g1698*n9tc3PvL-+)-!TOd5~u}In_kVg~S z;@RS`5c=)qmSoUxZcXw9m_yVT7q!F1Ax|{w19n4%SYKI<2NwQGZ*vT2Q^*T+AGbMT zM(^h_I(BHdVAClE+E+Djx30w%g;}FG<#QS%wU{ofOL4LNZMqu?@N*OkmjB<}znj23 zmX5z!_gM;!L$*#do*2I-D1qP4Aj|G@F&r~Ls}Xt3<g$;%74>%<>x>`8I@^gBbR?aS zx9(>rhWfjrpkFc@<2!&jV0C!~2jceijU!x7KzvIQ(+*adt3gp2Zv;d}1mo#rS}$Kd zh-7ot{C@cp?B<mHjvTbfZ3$XYTOA=Ke(hnjuubBzD|hSd=x><qPF0*Fx#Y6%!R`r1 z!W4uVJX+H>kF@wpKYB&I;eRo4kK-g)u5affQCAe0uznXI&D|EftSJkkR>aD1;L-IA ziIsQTbtcZDQ~J`vv9W6WfbtXn$e*;d7pf#-@(})D-_4fuUCW>#BjeI}mkoM`Cu!_6 zF0f=xh+gvMfq5P8h2d|=y_MOnlBw`lqV5^9qGpu=?YfZPHG(Uq4H9&}v<$?~8kDaB z=SUMCYjnlFw+%0pF>S9_f4Q`v58DGWHyy0d=4<7q#;cdZsDT<15E+*aT&!2I>GCQU z62J(0lOPTRohyK#b9Bf=(y%1;MbY^a+cT?%kbqVDLW#TcDkrOEOs<9YohU)O#!X2~ zEb>a^0G5+=RLD!1az)|)()EJI5oLxrV2!3m?$C}zv#tvSck-+)XP8Xj_z}hjKwuw> zjkyyTFBgNa9JIa^Y64r$u(I>ELt(9q$rXXgkZY=EM*z2lieuK-)y2)FCWCsz)b(!h zYuSnPh-h*1czk(Dz>tZvaoR7dk-oRnTt>qgb7d!i_-%$+19%YJ+R|V0a;XEH>9`km zSx9ssS)ciTV9^+W#Zg|`4M5ppPfEKS0?B%(88xiSb0en4Tw7>5kfVE%E@IfvOLlE^ zWJxm_Xo@fj-Zq5pi5b`kE_=AW#<DkL^2oM@sy9uID5Z-CPw<i{7#{5c_Q-{eQSe_i zD$smGG%LVy>SV|RKYA&>L<=&sD-r@SOuv!Vkavw!!+z;+9!7X+EdXQ&O-cv;MY8ge zQFguX=6e`oJjDyN$wK18TdwkLAzuI($Ec?;#osqNvZk6ui?cO~;xT2vZj5H<<qDfO zP0sIUNjHLx^HS1x);_FG`O-dZ_h1<k?8eiT+_FivNZ4J!UJ{zveI3UMOKds6o8kDX zR%aBq^drJW?YP_F9ltsmBdNb9l;50;Q7N{&Uivd`_pbTp@(7ln(cL1N;jvX3dtl>k z=qJxfSnE=&;XG^koNH&Z)D^>u$twQ^OFSiq3&m@m_?$or_0$m=iDwm=BlHr_gp~Jr z3L;EY_Z?$D_HS$yljQSMI3z$prT@G~KAET-{0qJMzN~%oZ?QN_lRZoy_j~KfhH^sw zgzsv@V>^qZ&o^cc;P0EO1NYWbq4suwWCi~A7o`*O7MPMBL)QHfg%BEw%2)-gv)!hU zNB_sz`sVBaXaSG^cJVn`cECjPV`be@dH!(d@BW=-l-j-e21KP(VkLLD#lk0TZLx); zMt{udO5Z^5f6Rv5!rfsmfZ0%KOf$99#)-eggx25_-{#%UWRY_|zrMH{n$bP7&d6TD z*4)+m2Z=;$c;m3U#Fc#wMHCIbE2jKno57^=H>Cc6@l5-K`};ZY8TH}pp3w&~(aoN2 z?bu5$wP6ysbJcEnolaD<4xihpm)4)>dunF5y04-;wK_cX{;=#ZwS>e^+o5BdOkr`h zXFn5j;e*NI2SWZXIXve+So!qr=2$7r4!rbJ;CP)PXT!-U$7cUay{PxFBq9=srmF+e zW`K0hG#2Mw<}<Mi0m_Hf3Xo~`+qT8UeW9&TBTfU8lVJJ3)zKfl>a>VyuWDvm+E%-< z|5TI9dd;_BJ`-sBIr1`hsqPW_9|gfvzN6BtUn>VzNjW@WTKFl+<fLNy-RW)&$G-`k zkUy?sZ60Hiu2jwo{7cZACEJR!dUM&n-%?vdzACj1Cv_Ty!pVW|bojGM#svdH;sg)1 z=Pf4PB<Ve$PF|M3h~pJgGU)n`hR^`e5IUE%6KFYrk0Ky;jo+QUX?it=Xs$m@?dlf; z2xHr0u5f^c0P^if1)t*y$ro6Rw*<#jdo~AR#%6zZ!GKn*8yY4%Sz9{-o|x@A`<by$ zWx~_-^o;+@KkzV9m`iSOt#jrS3yo}AA9>DUbAcpX*HMA_qV4<4t0m#Z32B0eeY8we z7Fp{TZ!<o%S4Mx4HM3((w)ErEpFe)0+z13lqK}-{54ic2GDwjaOx|NClC48llC2O* zA3p@6n`y&jeC^F6y!`B2!*Y9eph>;d*9^5KGh4@o9|ZWAkn1@V;g;*tdQ02luEv60 z%@Ah!xl_5BK=EUK=6o^v*t*HJ6Q6(i7cCx8i_f7&6lcQx3%CSJ@Y-U{O=3TlxV8q) z)M4U9i!ehEJ7hdRMy5HXn9S@A1A>sF?#J~L=F?EspO(Qo0DmysR&WO3558H4+fb&r z83*>-@wh^pusMW2D_~hC7`YYy`r}&XR6B#f<@kt3WVkL(qm!Yiw`c$O<gF~m$GHkp zJch7$>wI$f(7WSA<Ws6<o<tc4mgm?o0`dk1*#9yjBnVifG}vBw4rNe|;R?JV5%wMI zc@;)S^Nx2&!JWzd=_5CTti{(d`Hrb~>slm<{gf|dIG(Tdd7BHCdyWnGK)Nyq=<xYO z4y>V(Xj4a;Q6e`Pv_w_G-r?;SdP5?~bWnMop%Dk*%?%la(Wpx9TIjD+3Imf}$2?TF zIu^Dz90O8rIF=;|aL5K(p^y)yhMmIMR=c}~G022{A7K{bvCQM}nZEYEqK{2QMfDt9 zqem&MP%j6?rbKj%uVeJF+BQ5H5DYz21V<iy+il|e!zEsGOOA7}nOAfUPOchXlLzPY z(8y1IV(d?4S)HAUc6+-3*DgV&JDDqMOpz&U5+Ftx0K^DWfEeM)F)XdlrwuoSYcyRp zz8FT0DyxkW7|A9u)$wYEEMYlW#)qsMIu}Bg$tz=TvCb^RLLOHY4cImoOKAQtui?YU z0ik|E5rX&`2K}n>Ld<kGXUPK&Zo7m0paU~USRED7YPqOcxD9<-POKbL4)dhVpiNdh zE!_Ju#g;Qb$a_l00`LOInxBLL{-^_=jt#WkTh7jwJ5J>@5p0GRL=U*Yga+`lBKPzz z|6yMI2BV|>XOn1w2&1S3pNx)vmy4a4shJ(oj8YDO2*GP-n-$LmRGwwaDbX}F_%{8g z|7i0QcO&Q?rhyIQwU^wH&1J62XF~rGUXTWm^unE_60^&Sy)vEj6^jE{ZA}2HEy9*g z-dm_V*32}ii#%>G*~gY-iWejU_(%kkRA59_>|glxT!O8{V<Wtuu|8&#fUHaZ$Wq9_ z#vE&<lR-S>9GJ*qwt{Y6MpsRdl%;4FfWsNI4jQxTY4;i6coeR%ay26VbJy?sco1EB z`0)4v7qkh`Htzv~MUX3@5<sxP1_%~2_cE@y@}k?!C*Q^BNxo83SG;P8MtH{mhK0rE zkSpW}NVx}^{0??&)@<W=7cL^xll%O&`-tTudRhBK{m&vhwK${A?=$w!XK(jHIV;&* z{b?5gipAisC-@u}EB}XL!K=TqqIzeu9^g~w=u+=I+fi+X>;vcm;s9L$z+u7HS<en6 ztpq)q23>Y6d+Nd6%Lk%0!yT?-BtU`=B$PAWZfb(R79*fSHJrCV*{z>g^JP~|J!3}& z>J)ihSsxGeXx$0Q`wQ!i0h#i7k^elS@C>=1Ku~S%);?Z6NOVUU-7Z`WVma*#Z|%CA z&xZ>5Fjv$yOT8(}x{zs?qfE^JGS}LCYn|GKkMks^i+XPyiLXQJtMuHhI9HY|Y>BUh zg7%PVQ`g-SRqI1y6|cI^ii;WTa+l&8ILwM2*T{qR=DDzSN0^;ryIaxU80UCdJFDCM zO0Azx^?Ouza&)Y4Pr6I?`r#ErJtqw?OV9vj3BZ=1yq>~>9G#7Ph>^1P7MhyhT6NlJ zq|0cStX3OeTlZpdRh|JBM;5R+BHHb)*R%=Mg}g!><=rf+lQuG~4j@eDljUQ2r)r;( zLrB-9=x(X|6{twSQe_2{`Q%&0=jAh%$LEH)?K?lYvg;)W+5_vmye$>Ct9y7I7sO4j zRaD-B+E0F%t>$k{(S@i1o~@L@{NdL%lba;eUXQ?wz#NGL7SFdW#>2_Gg&}z0xvf8P zPL_os6Gq{H<?2$%83C(|EW$do&aAI-o&F2ZW$RM}8qHU{lnoJixF!I_LJ*)>ge?Jt z<uu&4hE>j(^LE|q$`(b=5_Ma^TPN0C^?mxTkz9OUA!Xe?Rjt$?WrZ+EItIzksX-gN zlsW%;7v8g=$}JX6=7d^DNJDPx=2vFeW2RgHmPB{Bm9?w1XCqTlr(vF^SgkUPgg#U1 z6Luoys6!sPpoe4ox28#$u%5URy*qCrH)uKo31uvCOqd+*@GvU;|6%E^<Dz=r|L>2W zAh2}plF|)JH%d!)H%lxH0!kww-QC?C($XD*ARs9XQVK|;!aeWr@BTyg!R}l$bLPys z&YA1=yyk3MNJ^b^zbt&3k*+TF*{N0=QRlE|>|Vbz9hzytG2NoKVjW3LeV{>D@bPEh z+#wf1dub1e?F!q^mXaUG_C?{kfP^eSDF+O#0sx%{UQtrG)UPo;Gc@y|-s8r>px8?Y zrK4za%aF#w0XGCm9B-jM8tOl*Z2eBK@rhvxO7)i{>(%IQet$Dv#;8Suby-IAIfUtZ z4dRizz_vFjrH#85Z4FhKuU@IrU-k79io2d`<BEyRp;CXjdeMg^{`_1M>kZ7$Wez2% zXN5<DEA8)0857DW%yNrT+Of+bBBTx6XH@d-u>3F6?TXu|gl;R<CWdjv&(36V{rtX# z{4f9V#n5Q0m7Xqjx8m;*&Nll?-xBwOyy4Mnt9ORplG{7i6GKHqmi3IjRswiluSIqT zu<)=hY+{i0GT$(aKM%O7Tr1iJ_VEP$$;ug~O6;^1z-w3XX{gIxEovkZT!qrRhDejt zxsQ$TgO&UQ4XK{c?iLSN$#1K_j|MCGLJot(#;}=B*-x-857U(ORqBlvRvAwdEIDa| zRw<fXqosjI8|%l$>1dy(!Ce&EMTo)rqU(<$F|C7xFqIwaKjd&%(WWX;Xk!H=do#mB z!{0D|mL{L`IxrV`$02;xu!x6B47D#H34>L{CV~|!QAgzeY5CH*yxt)Lt0^`udNxF| zAp?^shDP?k-w+540!_l+`*3(0=2z>pMZd>G#{CAX9<0Na=-!<TjG2f5HRCmq9m)+& zy|*3+zm_snbw4?DBd^S+W$keeM7K*|Ks9h%ZD|myTl>0S#Z$G$*lmzm&Cu&)g{Pz6 zJ(e#}p0?!sSDqYF1Un%C4!{OD02QlwHfTkR%RGtVeD;I_8}8oU)HPe;46x}qvA%f$ z$vz+vyg~2lf;uw>%@T4PF4R>&c{AH?ilrpGQB8OY<L(jVhQDc@mKJuiEllm_p_(`p z!`<V{3x6Z`MOwIn=}AvkOO>0007I!)^oQNj%}rG!YtPQCvDHS0!t8!%Qg&5-?bXi_ zsh|L{6lq*sCkPFV3VQ&B;{Zy?>#sVi>Zo9B--najU(AfmI0r5teu+~5Sx~)@?XH6* zl4yf?tNDhTjm0}7GsW-oYsDvJOr>}~c9INrL{`Zr^_y!v{*xHJ+CEtAD1V(8J}lYY z($@U##>4VVC^1EfqTWi`e@Be9k&^ghu#H6^5VE*fI+n6i#7-0xCEfyE>=RHTbI)UB z^Jz8!G2kTgu^|<S(~37WY*XZYo2X_l(F4Rs!J^RHtjB8A$4bTMsypcc^@R)4qK;dj zcjiZsY$BwzHWd8S_=4U=ekPK@SA}qNF=T}Z%DA6rAtg+KR;~oJ%fy-acbNGMJW&59 zr!?HnXDLXDJfQy~z)vYN^S1TWcq-Frgqe{NNI{laiTNM3r}(y{?;`gt$j-00Q;|=~ z04uTkp-e(bFcC?@2o!y<B4MaJA1MM9fz0~Fs3MQFz7$6=!khR_-_PFcq2Wto(M8xG zgk~v#f*V~Za{&iRDjE}?8Md%YG9C8p?*F9u$p1y`7jVrQU(#fSuLEtFch-EKbW68+ znE#5UeGdq;-$=L)UJ+l40V$XT2+TBDA;U86jS)yH5`w8wl%mj#{F!;u9iTRwt8Pmb zxeTc=hLPA1PK~e^31=wqk@80DTZqj1DFczeQU;5gp|Dac-ab0kv??S&CB;!$SrLbk zo`Dp|nc;3gC|m+Up|U0>w@BXN!SOI%Bx3`cDZb*QIW~85Lo!m}E#6c=5S$y+{=*BV zM(vB9=_@qjRTE^bOc^Qh3D+ta8*3wc0VshDK#4>ojsX7DFiDa3k|H1VDgYt`XQfVn zIvW#6d@5h$@}f89U1z8Z^~)DwB&J|A-HU|1<oHO{0NH^t>nFx&_+DNH>l|KKc?#QL z%IfO|RKj_`jwN!7bJlSU48y97yIVAp${7p;cG0tT)n>d!f~+XPIy{xlH{07qUWnI4 zD>_|nkwUBOuldkPBlfoii@c$d(_7$#pg`yhBMLF5Qb`3fkSJ!WJEWxkEH}2aysYnG zGC58V`mB&AfJ4bgQr+WE$(t2DJCJV1>n5a>CF=82wlaJM-&je`ilqh`^*b;L<@j*T zBKLQ&W>Nfrvag1r@=S!9KxI;o^mi82@HVd<kNEH}00Y#(bj&OHu|qkF(#2pHA&8+O zD?%EeVvo}MkE}O=`KvHc({q@zV%=rjUDJ@9(|A*Zc13qWvd#ZvBFu{Nh$B?l)CZ^d zwJjHSvn$a2<G|UlDC!Ub5;;0(9&j%wOokEu7%F>r3-ygJq+@A)lafNd1C1AOEf%(# zm<@C+p<a|<LTfEE(q#y}@VP8Y$2CHs6P53}xK~-jyyZ?P{21SI=HZD_$AgQCW>!k< zHImh|L!K|_lgvlgaHN1U5Yst|IsjyWD;TlABQlF(tb}BRbSU!$DS;A+Nbp{BKK|cd zXtQ!)^sKyOcT5D*Sjmx$O!Hvwu@qKncvH+iZDmrWh@Ef%(*#`WjsJJ8bM*NDm?eE? zeylSLiBe4ni;?@*0l*hl0KNeFL<!I*7_(yRU{1&a_~Aui<zBG23}HrodjhigJ7qq} zs(rJ`>l_nD+AK&WVUIoink-*XE~q>f*|ZNP9^I^z<7=cn*o=xVsO9__W2v=kHq=lb zTz%CM`{oR@#x(#*+LO6xj6pt0W8~w{_zvKX*r8CFkY#T$;Y4N~jRAsD4JKSDl8O@$ zH7;O~_tYf>qAHgADob`Ztu)`b(Xx08<)!#hC@DUPV=Tp!--fx*xAW&9Q3kh5E1Mzr zc+yzXz#G7UH=xam4g%ibJyPPHkmJ?MqR@lvnR)$h*+`U^J2#cZBgOTAx?os`32D@D zzf3G3(_UxF(fQx+I3&(Gp31d7z4&r9xcq3r<@cIzd5!^ecnWYkYckmKP9E&s&G2lb z06=p3y#PNU&xZ@35=&(%t&sIy8MI<a7aZ<p;LSM8fK!D4_mMd>Z!W;+wvB|ZDndA7 zUS!3F$Y3>OA!!7d`1oHqF=d7O1Ip&VAz#CeEGr#(4?AzyAwIhNkA$cOB*a`&Qq6kF zuBD^qZ#W~%9W*xuB}P$^rf!Hq2Ul&!JGRQ=KeFnB7gu>vcqEvM7bV5vqrlb716T7_ zGbxslv$AS6BZY!pO_4K=fdLnA2{~6o?)9``-3sF)aV7LSCndYvnwq~|LG400DZ5XF z^SFp5l%tI*4=fZlo8T-g%h1de3eYI01pSwTErjOpa~76ofS}NR4^RpWzxcK?X0aur zFQHL50rcWdIjV-^Fkf)OI9a^g6H)+ktM~*Ec6i4Zd-6~aM4In)>?thcB!^ke^nL=* zEytz-BZ?usw_m4*o=K2*gsK7bp*T_W)_j+99pDV_0M1Yga0bNg8)q}`_aEM1`Kt^& zSf5R#bTOQL1vx74H{@Sp*ZMsW55~&8Z*aAMIz>c>3tt}FD_NZPak}L0Sbfvuw2ML* zvo_#G*Ty|PMj6BZh7=KF9QIP~7a}DQ9cs5rBbO1iDCv^?iH-?Xj*|%utPCm=SD|2K zfJWXCEh+cQq9E}P)SQ)y^rgy8PdGK>$<8MX^jn(&nx40RsB<3@2fL3xs_={RwkJ8$ zyLPNTo?NQ2$iZ>|=FnG(qi-Oz{Dh61NyL0_(+j=Em;#^%;l%(oa4SL8eUj(d$2v53 ziP_}JBF-Y5Bz6dFc7To7Jg~t9#Kfi~uvt3=HopJaw4v(qBptoiiC8@(w%W1u(R{<+ zx$uN7C>szHuEnUj0(I~DCi+`&^gG&Dp0MGHf>sf>=rt%O|Ji&$B;MVW0yccBbHJt> z*fi7wo9q8<f`E<C60ivuF=r_EIt)G9Zu6kS{V^Bom!l+z=_>G@c_`C)FMNhyPWXzh zeB6!^CAl+oW4u4Z3eK}2XD(9}8_H5CAW&AeB9sAAbS!<UV#8sT0unZ5D^D|0?FlX4 z8tUk0WTeGV-0hdFJpT-!csSR>$jD!9a&#<BCu4Tymh%SYg^|&1?d<<4X*x-<t5jo> z6MjufM65Ld#$pfP47w#NOZu7iJLWn7XIKC@Lo2`;mH=a6?f@7I4m+JKb3edX@U7<9 z(>1UF#$p687UY1js8MTiYy6L~SOJWM!`G;p;dVYkT*RERUyK4T23%x{eyC#Nv?}{e zF8OiF%1X7aQ(JA7pA}8qQ%;?pn(SAwll?VzW01_tM)AfKB=gp}y<Qif_}v&H{p9T% z<{{31{PHhrmIrxY%{r$2saCKWTS|@-+ss&0c6ZkVYfc;x+OSBw6PjrHpFCg(C<o`` zexRjcID)9V3J^~-t#MjGh+Y?@^nnolACS^_(EEmc2p(3+^kKT$O?lHXyN6p=v}b2o z#t8!8V0<96Cs|X;0WboY_6#}*Qbu59Z^M}yl+Cw$kFXe5IP!)?3^Rs!$de8B%`qp9 zm8~<w?bt84bFH7)G_VgThgFU?PjlBQI5kb%U~;TDnG7)6Z;54B#0uBshjf72<E^Ro zK|+dD+B5y3CcJ1^85QN9*p41#Tbl-8C|XZf<)&vxcmbj?%|prsga`(}TL6e*ksa$i z%)<W9E#>6Xb>3%$;#t=rp3P@L)&deupZl58I&MGn;F{_0@34W05W*nh6rz>A{nCJO zCcEQVariVkuZp&~-+H*Uz<twa$(LyzfV;p&1;g=TQVL?3v64zXVC;A4xB&wMheq16 z5J+$w1q8>_NxvMRf@lHKB+J77_>q0`=`=TJ9O4?{nL^16L=aFg9iSFGlVZjeneo-^ zSU@+Wse7yzK+~hyQNh7jv((gPxXy6@=$b7p@XK|q-vq3hMrm+?(!cX097SwbJwpE8 zAugbzJ;fSj@^Y&BY;YL6rZ{vDoV?)wC-K+=iD!_9+5t#Bl#^05wi)(jxR*Ntmw!fL zYw}}jLBcs`vXxgk^j<VWF-q!R2r$nD=F!$TZ$UaI)&He)3cmz{_zxV%`;J@YoQ=;y zRjv<s0EV&2450(kZlX06XT#@F6mV9?oA9zP-*sh?o6gs(Rb0Q3d`TReH7PtjH2}j| z7Mh|#FBjU~rvHDC!VUl&Vx(!Nj}o)k^L`}sx!fm3t>~J8vYr5F=%+#7xJ<2FS$K!z zecMk%{Zg2yKrlTP&;RoE6y6`V*9E;jB}I2z&q4A3;VeVPXwXB1b}ixIVgPT5FQmYP zgQ~-h6SGJpW=Z||*ycCB%$A%{{;=7Cg*%G<NC+VXaDS3Cm8sj<r~`oe2Mh6Bu3k?O zZn(eR3;{J8Y>W9XS1WAGbIOwE3+-<5h8z2BC4|>g{N~P{w0JK}fyu2GKfmiL5&o3q z;Is#6-=5_Du^~ZPfCNN$Epa;Z2WW7WKy()tj>7&!J%ZS$gz7jz-y7eG0;A?zx;9@t z#g|f~1$MY&&0a!CBA_v%q^aZpH3G$X2AwR#+qrzL!odWvjUv#O$USy&l=L{u8J}s; zwZKubhKnihB*Y(rqYROzv3dC2miy2Y?K5cN)KlhApH}z1=+Ql6!9*^TOnqZ%YCxGv zB%?5Ix}-tJU#?QcJP)L1gYeBh2;VG!e{^S(X;(FD7OZ$_ZMBqK#lw7Zx0w4NR%z29 z$27V6Iyo$KgRd&~f^4B*IQD3}M()a0uJ~T4R%7pjYH^r;w)(=T0FQpD#xq@eZ7eoW za&N)D*hj;)z6_Fv)D9{oTNvPpW&LCpdt_cH=h^tG*e9c2!=Js(ULu5$OlPx1uEkZc zctxmAgOXydf-}xu8&{sMDt>UGYMv}x=gxVq0>y~GDwKn4VZ;VhkqoNPDE3(@(D1J* zuVUX&0#!7DDrAdS+N(4ujX@Q44%)bapo(m9B@;c9MS+EyPy5GB-A7HaQyj1yjvI%k zm^p{0N37KroFQ61FIKf~dJiv2-DZ^heUX;9xt#DybcG7N6p$|s!F--`{BV=|An?Sn zbG{kG=+KrYu(db6ZhB8HZLJOU7MZ#BNM@hr;ZF^?0}T25Kd^H{b(HSHW1ek9j`Q1e zy5u-3;FVGIGA`MT!9GjT5I5II8ct5$p+S|f+xGs1|1H7@wzI;B?vEwKFJ;VMNbf?x z4oLSmxBo4Avt&*`l^6A;Q0@7av)j1#@^q%@1o?vTG>Ns}QGNG@2TRKi$5FYsD5QM; z`!FG^kC(D6b$kVMlZIGYEP}r$%<o$7YGv1Ssuo7ElK>K^4TL_^kjq=X7Wb!r)crzl z4X;8Ec`Em2Y~U%leyESVqh9ABRcgvv4|$FvJ;kiWLu7qkG^pdUv(JE7X3LM(2F2=C z{mKQ(nwcZcGzU-I$>z@ZQbX}Rf?6s|H(~nPZ`n=!*xg49=vFHFaa&@}>Ee$hgd|IA zCaMO{f339CIiUUXaaAsGi9ZVB9O3aIB!7lb-{i;T=-MufO?NcqxU{&iFBY7n_-i%i zaUNn#)dM`eVA`|Wi6%T3&l|V;G)7oYH^?Xv1sNq@Xvod}|EqF4Av_*rlmzifdUi(% z&I<@2{MpAUM(kf0*cOm{JF5IkXO!Q+L?uQH1%JzdunV{NL*v}Wa77I6WcM4iKl8YF z2AmCxV;m;}Z^(V0yBvOHMSOJZIlKS!Q;xG?5PZ+~hWrz=i~WS*p2+uCRb8KTN}c&E zu(B<?U(sx8_rLS78?+QxgJ44T3PWkcnz@Ap_FF0%TLc!V{14p&YwYxBf>1%=3-|_{ z;enRt3$oPOeXd#20tRB3vCQ(;SDvv)`S-?VtvYB#ZBSa*WEv9Zl%0>XmGySj{Z}Ra zh`MgsB=)-0$-388-zLuNdAP5J)r=1{4AJdA^H)KexXT$u(><H|7;+uAid0+OXjp#m zzCrm=zIRZf=sdB*%}qM-mxh}(@y_4UndQQF$hc)m>=%K%QRW8C{#&bnPsU@4Tpt#X z;2{s<S2E_WzG!}WodA&o`-BiB=Y<A={MiT^95k>k2ws>M*g%YW5aiDaNlV4dBuJIJ z>T8vSQf)n#W~Z=@h($DJC6_e1d!+3dnwZOauTakME>)nTWH+-IfNA4Y^YsWv*TIw2 zp}8}>#qj&6NG+9lw=QlYjqcl0P8olOd*zcPVwM20hZY<UJNprGab&*{<yc$mmPtpt zcEPOu=gM9OcPE%~)OTzh;1@|+_N)@bf}~M)d>?L9AJrviPw^LS6s%6Ze_fx?(lNO> zrz+iIx1cMe_^Fx_@(lahIEVeF7NbrA;vMBaP1=)smFJoE?5Yqw`R+RH&A!^GqQTT} zZ3Wxx^G30txc96CuqAa3IbQANhVUqXx1(w##O_3!amSU<P!M3dFsOI~x5zi8v2&>^ zd%vko$*w%s61{E;t45)36>R#O_&dy)aY|5mEH$Wn^=5mDTl0C0TQgSNq-6`$)c$;| zK|4ChnYy_IF30Dc!q^Tm4|sSurwuQ>^Ty^}-JB78y;&H0DCW@+5za|+&CH>~st+}L z3j#i*v+O7Fp(u%Ey0W+jRzV>m%L6pIjtKXY^~hbR{nmq^K3(yK1{GXKN2Wz9gnSJP zYptGBD^2>B>nfL%w0?I00$B`@m;#7NkG^>J%Q4Sw6*`I;*z3$z{Pq#%dnzOx_vhpy z#5d$;i`B~{YlYSt<m8tBzjYPQUz6l$n_1Dz3%^i^SRyA&K7I86N@<r|<Wck?hxgSk z1W))!&JzOgpZoM(mCwLiy?>pZk1rap{PQa7?sYs%4>P&XnR!N^N!8xIx(i6+J)!Fe z>ZTWLEcZx8r&Rf?k=U!Tm?l?MF(5w=9qv1VhQ`-&M}=N-N5vACMsii1;d^`K48dtt zdEk7iJlyQ6O>iF7ChjO!U$|>cj0RM9nECHRjE1sfj0U?ORq@i23~@G_m{0KzeHgb} zQc$B?Qly((Qs}u`QY=R4O;O0h*lFeFzhcr-e8vy3JgucZUd(JSgQL@__A-f(xAj^% zh2rDb9xpl~<BCoe{4`7#X6CE79rz+SOrKpxQs<_)PF2S)hmC|C=`L{vG>ND>*^jjj zc%N%wYRL18v}}p$W9SwX-*(7kqGeLxy_95S3365cOJu2y{H|CN7*d+%b7<(H`*GqW z-E3*Bc3`7zZ68g?gXI^)sz-~C2Md1YErSlcn8n^|<3Prv4VAjy0!c>sU8#>!|KcaE zYzyyCwYf~9pV;f(-QzkA{*hIvz@nu?nb{$hupa9YM)_`bC%N2)*egg-GYoUcZqr7% zRl9a!HKQmQo_|v6mz4N!iZNRL;+f*2-au@MUe=ClW_tifTC1k}q`aj8n=rv$-HdFc zObM5VE^VPlSh}KujEjDq4DA69TgvN{dub*Hf?=FljYyexd1Kl-v*=Vs`Y3-(o$98h zM{WHle{0T|@-G6fN39=)Z<Ag2*4ZDX-uadGVnbN|IawkPU9bif16QG@_pAL8UpF}A z(jKniRhMn?vo0i2eR`zBv`{};qh=@sp%h3C)w|E;DpLwHIxx6jm8<`{f#Z|@@OHNR zvMs!)wAcTVjU=cZ5hV-fJuqv|@I%+R&)(1~?Nt$DyW<e!`scJGd+37Br4;xHspnH0 zehn-<m`VBv28+H=6d-0Txjk6_ITg4cx)7Quf+h?>6K$Z0&i|Sy_F^H&jhZ^$3^-RK zy@?9+X*c>iH(DUrt9^~Wt#(eYypf+m0Pzp_z1Q@Tou21_Lh)QGToX+sOp{UMgC@yJ zxTe5LsHRx33tzc$*Yi>_G2Bu=G2dcsIBIt`@0PfI0P`@MD}@Ixn8L%&l+pwjO=;q0 zOL+t5O?ksjm+~7fp7NW!R@jo!hhM2HUj@4qTJ}K`jWJx4kug-0L;|bSQ5jN7q5Qnm zI0m;=EC#F8FUE-z+8dq4u>NP{gQg!jO!3?w!8|M&RhSZrUr0oaC&hw3D8)i5oz3N3 zEbktCv$X#q+Ib2C*Cd-zqVw;ruk}V$famMb+bfSo2PCp;vvys|!Cb#U5UO7xn4v$v z{l_mVf_FYbbDmKMdPG2h7Lc+D*LVzRI(?0Eu5Xh)B5>6)kXBDKJ}`5;XuYvu#B*y< z6u$MSdI`N<l!=cFRJhc?{UH+{`$#vtv-zle*?!wgAWjvKcW{1gs;LD<CZ}Lie_L0% zgm)z$aG$*`8XYo<q{32(q#}1=^r6Uzq#~6o8bwvQyd9(G4~=Y9SUwB-8~FMX{`V%5 z3iXj=7O_oDC{FU=P&7IM+7h}Amx+(=RlD@M{Uj3~->YJeiB->FJy>4n!sG*eV4X#5 zg6ataGY=ZiNI@GL|Cu-!jSk-=Ng;Pe6-dzQnGB4(60Oe9lIf%4^B)>cd>hm5`%?P1 zNya(D7&snUPyQ&>LB5qWa-S$7cpG?Qr-tJA1h7`;mK+@w5bh29u~WmXd;+-Hb4z}H ziAA*#c9ld}{<?%30<n}bVq=aV%Z|jhb0qmz-nguvKC*A_MV1|HVdqE{R@Qh2O)9EY zW>-qgyp;^%69`kATY^A9E8Otdse$)=0_6YZmMAWj5bm>ru~X9dd;-+nTFt4Udn`OV zgjP4ez}e0bt+T8#^B`%&rqG>?e1og3QTQNpWWPu+>R?4babVBf8Z$jwhsBsmx7_tk ze{TEO+AP($eo^>VBGlyXtNzq&m6_f$_~3?L@yQqZHGQ+>eq4p=1g$1JgS^QC{!osH zFA{Q%6`E*YhCX6c^_>}arOQ0;((09D>!KcI?m8Y}?rH=7LPnScM24AhL`Il7MTVHE zRz{g6R=Oq8M*FZtg!~xA35(%5u^Nz^Xbt6@cnx;r2n|Q$7!3;JC=FxdI1Mr5NDV*Z zQs219^h>4Nc~1^Gi*~AFXghv!Mmwxnzn!>vshzS|sGYF5y`8++tDUs?wp|T<RLZRL z<9WySlU!In71anc8r3i}Bh@G~iRv)3fa)kSP9Eq?-VAZeY<SN*ip#QR5`r_q;d#xQ z#iQigCZ!QGe+y3`A}upx5Xz3f>5XsDs1L2ea6OxX0vE>_A5@r(ZgW*z&;Oj-TcQ7K z=665G%01ai7g-*CERL)S!s&Flc=G#kVH|RLY=ugVWSt(JyyobkG!r1qM`~mBE>s9S zyo^2C6eL?1uIH}`kLRz7H)Hv+Jyj)iNmWmk6OIkpF^srb-ob|XrEQvLfQt+++;3s2 z7(6&I|1><-4g&{$j*x=WhF(bQv$E@LMk#J*P~$!Otv_6}zaP%jZ(;5nJUArgFR2nU zOU@qijodNjD>+5XJh^eq47r%{47s1O<GD#}5LZ!e<pQkdTwLYFFnOJ|59%QGAvW5B zx(oaq-6tfp{s>#(LD|LijK8~I7OT5|FtA+k_7->LHTi9)0BQi@uY7mV*317aKCYW@ ze`&GiJ14Gneq#82B;Vc>J+NrO#LuAG_clrQ=6rM_JHx`{Gufk7aL87m_H+t^Jldl} zQs`EoQWNxcBbl9XBAK0pHHlq-HJKf!Dv6!5Nr42HBbi;okYQ-!eSQK)ua>Do6I`3g z0P<HWX;?-@%1*@#0|yHnXjt;5t2vX9u|5V4?xTC~;OJvfj@1G`A2Tj_jz3*!?3OZF zcF>%iBcp0J4V8wLQ~hG(S<ZX~r|L|VS3;`Zk9Ik0p)%)Y6dk`;;k~yNsy0GWKfX7K zF`4@57aI!6*m7J4ZV#h-@(czEru>t7J#GF;*LJCF0efxki1C-bCstcaXRMLo_kRD{ zU)z<sH)k@{f4pkIOHKcEre8ccO4POWs#w4ln*oK9o>+pQFgYmf2?}TF-ni;i|7kD6 zs*m*VOwWcHe>;MtH+Kd_8-5>pR_nagrQ7DFS}mFZMpAj<h^oxalX}i`tEEBj<_%j2 z%rMuYOji)DRGpnsyTI{z_DEnK4EsfMXY^g?+0s&RGUds)an84gR=A>`P4Sd2e`EhX z4NDm0^+ZcfR)Hk1tFJF<D8nFXTb9to0c&$(%=E}c7Gsi;64zyDE^rRoz&Qi}=Wq|4 z12kdn6`F+7bkrAmRIPR>TB$4SmM?U2Xl!>NJ0ruf2~M*Nwmoec@87gaj;0xB#Fb7~ zkqDcO{@S2W)87jGqoeoE=|n&Ra?;$1m3lBsTLQIO>rS~waqnXTPwJPEC~XP!Nv%6$ zcBQ?G2ENoUqe*;IHs<bGC%2THLaFf<8m#$2p_P7&Bqfz$kz8Mw*!}WGY!F&x*&(rZ zj+_D|jo$kCBm0Q&<bkHw<qIvuclCwH?Qh>;IWHHa3L!sKTW;-_(@_n-H(7fo-^LB$ zs1R5lK;>G3s*_`&B7*-6mh<_F4bS^`ja(*Twyv|cyN6J)FlkxodqxVClJ$x36t$!g z*_a+q_sLB!37T%ZbkJ_rAq=ozy(|(Ky03pzkWH}JH^Dp{XyMYQjOS^zqxCi)J(usd zJ$VkKu^|50L*c&d>e-Uu;RPZdT{?kYCNDHT(|xEmIq`e^#S7dGNI8tEE3)Y&$zkX3 zsy<g<OsI1gi$o;zD;;+h>dJ&IH;h)hw-OpOgL9i9iWI-GB-s8<opnJqXGeLw@Kt%b z*webX&C)+5@(t$tVQGh}atv|dQI5C0BI2cRx(|yPRy(~E`yRXr5m#+fZ{lu$%*guG z|8q3^#e^;g&Py4Z&OAGOG>FN-E8})|BDe=8s#|58M&Hbqa`X$7CwMOfc<z6?W?3jR za|Bo-9O<XvzkcpRhH;g;#3(z1Z-pZ#%cb@3URE>+q=eiOhk6z^-@<~fKwm~I4aK~N zt_~CVHU>X@rNuOp`3lJf&sGS}2LpO%eb_Sy`M@iyMixw{M4%|tr^u|w{m>)2KC+PJ z_pqpm6?2bAc{S$oj7r$Hs8wfr5kuYw0+V`u@;nk*Q3?fK3d~YjtkcL6*xT^0MgH$( zzd}T%?PhyKY3+JsFiK_r)Wwy+bct8<%VizbIa*$#7YTF8JQ*CGyTkv~c}6UpS*B<l zzy`IFjtIZ0HBZ29JTf+#ebIiKcDv-RKhEFE0ruY_e)ksu1Y3Sx$W7xe<hElMa_h6v z_TPBC3*OgST@$Wa#boV;8Y;$%6(n{1k+?kJ+UK?I3&J^mY*4jqZ_hdcrd^VX$^o~I zXZBS`RmV+!S)$izDW3kVsRups8&P~5J1V~PS#D=KuHnV7kNaifMA*F1d_Vg^o3FZ% z=7C*EOS3Mdg-f$t_Hcn+y&U<AA|B(}3N%g!ZNhZEs?g}!GV9^8?^I5PBz!*wI-YsW zIj0&G%s1z5q&0E!IhD7Cq^cyMqwf^D?5+HHOF#Hk(3$(h*T^ryM2CX>Px0bJV6yJQ zvCu0w(=d#?1)UG!J;ukItNjDvll{RwbJ`l;m(;aP!r!`(4r<~J-MBRC6$as85+Uwa zx7{x^#und{N(szW9km`Xrqg~op6Mp)4_3eOsVm^Oa+ulqX&F7)r0$zm1l0(=Eb*8l zE-^GlCMmM_G+@QAv$FFIG^5}u{VRSSID|g&S5au__O=2p!Oj{JABOqS&CmizmF4rW zLa4XUEPacDe=CRcc&Ky5=u{hRqHXDQuSO>aW-#*cn3c~}B}mL!ZzD>E_dRijF&S!h zCGt_>4NKI|$7Q3$Yg^4fYV5ImP3-d+F0w1V6_|E@&HskqiFl+aQ8YicBmexcdp05` zJudU-oq9&lH74(@ss)Lu+-gGPbzmVJ{R{E_cIJSXSZr%AG;zhU#Lr^=fev(jbqH3Y zFILN3xAXhG#qz2d%O6dAxP<{LewepQAivB|)^VJE;|Kh~=sAvodP27Pj>Mdy6$jN1 z5etick#P11yhaowTKup*d|F+LXoi6XxTbyMbO04ci++xHG;O1pFDqRblLmc2!%<@l zlu9=7wy`XnXFFTE@t%!8==uJMYj%V>C*~r<ZT}(*fkg%d4Q)0$frS^8rbxC-@q>k- zkYX4z@lPU8wAtQJ_v=-%A{8pxHv}AK`m|#0_yo=GWxU!Vjm?H0Z7%O=9|VhD4?T9q zK<i|cShgQ|-iYHrygl_R6<(sH*Bh>u`oN`0dzV4`@N*-QK!QRhBI5D(tVJ3B@e3{e zl9QY%1LrpuDVe{bN*`Pt=wxIb73po=<c(wTW~pu%2C})OWPI0BpKqMXM<C-@2`t)) zX^Qxr6+Z|Xe28dm=fqz(cL}HEstOSON>X&xM(bn|+1gGqJxbu@1}Zf&PI*2U`W)ZM z&6F1MgI0#<phgBdEfax^o+Gdj0yS}{Dt_3K0W}G6;)fydX;-!@WT5xGgk)keZuhcJ zq&MJrp@)ReAl-wnh)VT{;Fl0ojRY#-*u)_+=}>&C1(|p#6<U$B2Uf4lWq93Iz`C|s zX8%wb^VUs@3`998B63_M6!FweK=)Q)`M5l4^m(XD5~cB4D`}6+TYY*bb0oc!<=ATI zA^&ZX=(%Su>{1shO&tn5sD=~%BeE&%9dLyBeQJ=wu(|sBWG!VI-vG+1%;6l8<p!@* zqZ1zV2#zL4qK(6B1pKwOwrx7ys-7{NP5rGTu~*&xmL+Q}xn}+mgY*81B8m4iL;Jzr z#p`K!{$|k^t{1{)a(MhC@A??3Mz&Skl^68a@S`KxBFGVV{UK&P^umMYEr+tn*oE*x zb^dg71@4&LzXCeP<m_B^cvslEFg+H@%SZ>A7s33a&$q$mrZK>Rxy6R|ahAviT5Oqk zr;o`S<G`AuEQpw06-iO88O$j}91eA6Mz0H)PMLVLa?P*FnjOpRa^4%-(!QHr6sYcq z&TEw&F3NW*g%1i4N1L|h4nRb+Fhf2<{~5Y(yk|CtW(1Pb<F*%?(NEbj_PAjPShV!d zKHa!NNFKg#8)_2r=^QrO$5m8p@VfRaAu3Oz4=6+pS8Ka{`|!SMNIKjyoR4)-GS+_c zy&Qj|S+U{LyUyWvzyIhM)Dklxx;=REKY*um36ab%j3Sw8?By4yL;{oBP{;bO6#P0% z#eDLIFC1?Uw{uNXFqteqskTKl^KWb+%2PUoRQhmO+`p}TTP<zHQN{2wa!IzNl8D*$ zH!1#2E>ldlRHLDy4E%eU!g*YdoDE!(=|VO}b)Sta_j9@+2)(&h)?Ucxxu@)JI*A+E z#c3LSNm}XjI1<*fO8FuI<qq~G_!K?LMx!5OGK^Y?M~p5d8jUWcVH!j^EWK1Q(}Y49 ztyEm3IegaSwXI=^X3G3|NGsI9s}4>cPrSbwG+?}@l@YEnOm{(xl@?oTUq#Ml*5AGD zt)fx0cO%ui-EW8=C7tu|<{S1E^abyFh=fi?P(S8QwQi^ZlvTdBar;Vcy_#D&F5tzP zYUrmo&&a%=g~_wwtu^SA{e1qUrjK+s4o`g+UqC)ubC)=Sj6+dllhVScSx(vG0}PWF zu4Tcf%ldf$Gjv(M4vs!av~QU(AO?wf`;_GH`MV-Fq93saF^N0OA_J7d4(s^8CSu-w z_=CxNrfk6oy!U{e@cnR{t883ACEZ#Y+Y6C_yln-?2f^AbG6(O{>?+xJ8R}vKdi1k@ zS2sv-KaN!RDK!54DaB5l8%-Gf;}M@*=o_W%KKaQ-z}oJG!H&~8{-l1cZBHnBo9k2b zmBzatlj70r?<{ipnr_z>cG+g%k!<8g_|e_hZAS_H4L$I4YRTTT<p0F<SfG@#I3KO^ z&r}hw`o@2F&+JlZ_C{-ID9G{xz1`ZW`_?m3E&3FXU%IDKqcG?RE8lGObMh+p+Ne(# z+^5V57R{L0F`9*uiKj$W<b5-7{z4pQ;usuIs2mn!*w^4tnr3svnP})LD;_OPjD{Bl zf(R8AK}3kU&T?7$ie(+_{58rU3ol;6$9mx<gtfDNH`w&3exZn#|L-z>;sG(m_PK8; zA8oAvSH6GC$t3^wVL`BH$n|z+Bis_nwBGn!{dmRL6XE2qg|j%si@?_nKi<ydp01i2 z_>}JV)zLub!Uyem=vJB;atvA~w+bb8UxgOK9iucLew=08g)&s_qRh^kkvtUk+o&3O z6S%#U&i9(j)K4`%(W0{nAxeq{I^@F}0psSCOVWFGXF_X)ZqIcTdBuoN8`8c`;SO;S z?gS0M(gcZF^`p|fjEo_>H^dCL7!v2c8N&rBmYRN3sE7PDmsz2fZ^zmCyZY)wWVgFp zn0E??w>7Pz+ta=rDG{xV9s02tnG$_YZnC~}{GkYIc(E#sNxVEwavHT~Cw=y5=3~)& z3&W>Sm~tdaPm9+vo3$D1{~F7_Y!(WIL-51oH3mL<v*U*`m1a!fa@0kDU~ifT0kw!O zKND0p-84BrUkMAj#wlA=5pjD-q!iEm58sDb7r)um#lQOGn8&HAvX;HRpNyMBriHrD zrIMO>5p%dHCXF+}rCLJMAcI-SWtes1s|l;pD=cdRw$#wbCJz>VGpC+mJ=rJm#!t`6 z48d*0L5aiE2zg#dU~xdgAeOBPK8>q1Z@0d9mY%=(d->zuhRo-$JE|m1AWZ~i<Gr)J zD%_7V&N<lp>8L2ok26o+2@PV_#iF<j;aTFq?`chl2<j%_c6&`rf}=Dvf*&a)M1xO? zPQPu@E8}+(ts8v$qnL#1ZwR>8vErkSGFWcw^C1Y0iuwk=F*h`FU{W~7qmYUg^$kul zpEVw<a3`m|zlG0F<ts*PU8@ZzK;>Y@deA}sP*{5voX{kIkrykM*rqsnfWkvNBN_+u zV#d@$V&$1qBp$D;JSUY)e>x_@s}SC^rvcr#o}A@9SC=E98XFt&hY7bc(UHEh-rY)z z@mqWTP1T7v<GM^=wPkNB<KE`IU(V-Z%${`om+bwI+p&C-Vx3cuL_c31m2Y#B1iD-7 zs-dc#(RAda<$)K=M=g!6=bwj0+-4#J+_;za0~<Puf^lK-0Z=+_vAAT2SY)!7Yv5R$ zdWv@b9vhw;U)uxq6;7BZ1`etNAq8XFza89(9?Ihi;&3<r2b%tY8PRde0zD88_!4-l zx@`9J<($bp-JX6`@?DfV6S;m(vI_e>y2PrSl}0NC^-2D}1YKy}C^MSr5Hq9cLxPJx zF$1%F7<t3iDZ-QSvgN#!=F;=LljZU%@C&%esEWG6xF*`V$QdBn43_5AGRh}u5uqjo zjg4BgIB#DM4PV16k#lDvt=*HhKWHocO2yJAe;d6el)U?_td44iH$Nm7Y`ApBNqwux z_t^DVls_3`(KT?ZUJNHBB(~Y&4P8E<vS{gO#g5H5r!vcuhrL7G`})c14)p&(hphQ= zUEZ^vf7*oAXhR2CLt*;0a6$(n8;jZj#~Q7SkgL<sl?Fv3??GR`H=OuA01A8J$J@q& z>7^ydQ@KC)8yX3ZNsS0{BjDyXr6pl}Jv1_OFzg*4i9(oN+&7TM+`uh{Nf8r)LP}TM zH(0@Z#w~(!Yg01!3BQl@)7EMTssx$Rhr)Up$YpXw<O4w?{1vSLnjDvNff7;10ogN> zPT41?-!wK0<cZo3V9%(0WP{?@6O$s#+t6j%>#e!jDB2yJ7<9uZmsikgeDq>Mo}DQ= z`CpN%I8Cnp6-u|Os&~Fpq*HzP3nI?69=d$S0bC<}m|<+Ek>j~=X!~OebbP2=Xndel zXnbV2ezt`rZEy37-FM~#bW4wq1!n$gpY^Jox5gKd!y_Tk;r>+U@bF%Sk5}lMoCub3 zvzePYACtz}xVc(GJ^KX*kLqu!hF2ort311OPG^2<jT&9O&9T}t&av8Ir;P2iG`Jp~ zZ(XYLMO<1|uNN4#Ob;MBLysKSVnGZtLc=&GzEZwXCw@5PBPbI<m6bWHg$x_CZ5KVQ zoF6g??Ogk0I^Msx{^V)PtaRaaVZ6pu{+Z+g##Vy)0fOKa{VMhInKArQ5{X_z!2ySD zjIEZlhynqs2|lm;YZ6K9HAZIY{O9&t5|Ve%3)CBZe8ALE!?53mNpgrWRm75F6612` z(o_VwKjnAJD<I*-n;+rn;0U&jCMBHjlM2q#f2EFffnkrxmKv7U-g!O&4=X^EBb*<4 z9&89z06T&bvr>J|l2H#Ef65OJEnqAIz2XaA$*7yzbTbV8EzTr~eMwUh+4HnzCcZ%6 zo=sa!N+6>EBK_RnoLN!@LRS&G^|YnYu+TDQ<&}C^>{I@R$O1+QrixIm=k|z?Qo~~{ zAq5ao4104@$-C*q0umd7`4RR^G_?jUCgwrRU^0CLb;9cB6Qt(9Uz<m2{3?<fW`37e z0Aa$g-(-+fY1W!}G18;@w1r!`(DGzeN<GXQbThqxaUXAfz%h7bsZO1nwvLW@&=Axl ztxlK^djBH$H-}=zgBHTOdUI%VSagH=k9|gzi};xCR;E|D;Af*nU7fa?{fUcrJto&v zMFb+QHP5N8CCW88a=umwsE$<i3t}L(d!Bu(_#5}Ff+WpOn|u<XGaLU6slA>?w$LXM zYi|)E2VOCtODygXEoZ${rGf1@S3&X?sZB15(BWoMo2h^h@>d0Y;j4;N04Ro4tUW@p zhIRielPuLDO^zRKRQ&r~y4WzITEppcw9ar&uYNHPJ6N=**@Hzp09n`o$g-fXQ-kkp zryaIRmU{XY)Km^WXZfpQM;oj3wZuRZH=qe$@Z~&M=63^&9$+Df<DeZz2Rf+{YrmZV zm=|M!&ES`#QIiUnXuxpnwNcSQRsTSzqyZnIQNwCeeN2|Rl_kgD@VYolze0n9eWpTS zf3(Vyle}I7c8%0V{ls4tX#x!1#!f7LZLG>T)CEoGkfqkUfFFp#4?f`Vjzj}>uCW!d zB^t=M4BPR!R@#Y}qC|b=Kxo3J3yH|`vZ-hOy=VDAnW#2+dPl@OXdX-kl~N~^#;~_o z`V?rWt6S0%n{o9^Z?eZ&dC``<DK-NZP+UT@T2<+U!#n6A@T>-hcm1Euaz3#EqP4KG ztEx#;%wG6WT+>|t6&4!F*WgIm(@>JJXOdmRT^YN6J`6mVRDUuRu^@BEpn7U#5Emu* zBt!O(m>Rk^M3Wewls&^;MfQ*C^3eJzNcQxNnTvA3Po7ivgnfX-^(wJJm@J7<nZQF@ zxg`-+If97X){+R_7C}V%!;%R12ZD&2G@@sU7*+JJB6CR^iv(vTI99rOa}&<dF7z6U z>Uu6X-ruSo-Wan>l9s$Sf|(?G8?(#Fn7B4*mGmb=O1nT;OoTfZ8DV%nGsIW)^Fu;^ zJ2ce7F~-tdImXh`BgWD~JH`@W6|-$F6SHmU6|-&OAG3|1q@+OAvg;orGTi*cXV}0U zN#Zp(7aSegylH{LaSP?bO5O8}bvpXE^yqx>1cgRh$Era$6yh-O(FBl_2?c&+v=u>j zPx-my3;are>kzi@ht%lOn0>EE*zjd-Zd4A%NvoO}CLs3FuaTmTPWig1*Aq4UyEbzw zGMUK(<K+-d`z^b<o_8Fwf}M1uKSi<)8d~TZV_6^{V_9SyV_B$o`*XVJYiBEKw&bZ$ zWT9G)Re?*6RgqbaRpCp9y+sYw-9G-ark{!AB|+)-`Iy*i+J%witj%z>Zgp(V9&#Ug zruX&QZH_|>3?WAl&gz7%C`@c)ox(^5)@E0epcdF?T^eb5VzPq*DZ-yR$iiF*d39Bz zUTBLKOu~t{LB&MJ8$p6T5N&k+P<I<38_G?AX`5vWYsNx<i3>BWQbpy`Ms9ata)@aa z#+EBaLMU`IBIz(cx@ubp#IbyqEI<4D(wtL-^|Lg3=pB;lV<#kUY_S62#jaNuCx4!X z2@4x9B>ut!0Ue?Noz@Q(kkC=I+f39{B*X=G>!1P0HNA`wUrcv5JqxN|%%4Ypz8fCQ zlTE92akTd;O?xyO>oTJH*CE$>cIH5~JV-ND?d?GMZJdP4j^{^<@b8NmdaAKdo_D3s z!Z=^zV*jF{3BlUBc`1cCcn2_t^sRC+si;UJZp;nj5VK$LIe*g(n#f!Wx0{CFtr{`A zM~Rum4y!*l`lwz1FpJ@)AiY0!fL;=c%gN3b@w<<Gt|DT4U}{e%G?KnMIt1*5C(pok zUN=dxz7R?Al91xJ{EdO~gOv;+rB=+-DW(IxrFKcP!F~lpSGQmiF^b~)t(^nkLamHe zVMtWTG5oBXEA^iw2r^n3S-ajal|CKJ-Tx4@I)$-5i52Ns7gawHpsNTs#-nB&V}ej1 zRWpQcwNn1MNz4-Y=}qtbJ<i!2`0-mf>giw<FiV}nAgsoW+#Ddsf;}Z&U&(>PJA^GP zH4`c<`aOG|^E@YjtY#6Sy?xwOn|NT(M{c(*<pq-%+qu(3Zz|G(U&0=h=UHFxI(X8n zyWhFSJx9T#hyFqrM#DhG%_8(eVWtmuxZ<+HGd}jB3E4Cp%_3w}McaZ9sPvN(=Z^Jq zQctUb*M#TfW)q@y@-ZoUu+ax&)q|a-jJ;&t2l_7eKSaVLLGpvvS*rRXYKsK*Mvr8i zWN`g^P~Jz7N%@i$l)qsH<=buD2VT{K@;#E<Eq+#_Xt1XkVK`G5s6Vp_8S|bc`g2e( zSB64@k8@a;f{!VjRL^8p@RnbILBU#?{(Jm8kutAspYD$xXte;;(tU^ZgYHvxf~4yf zHmF1iRFd{I5u4x+EB}7EZKB6i&mh;S%5k|$%qYLMroP6H!RXFjAUuHjEJ*3Ap|!j5 z;h+ASi5_Cjs$rLa`L;?2$L}+&i)Ef@zJbO3@RM2c+wLO4jHOaR{iVC?UXDKjW9nu` zY!s<2S66?jO|u<6R~?D({myW$1B)9M&SfP%;}*%gU*3LdqOO=u+l$@f-=gsKKQo&M zsJP&_T~2P@w|7^p6PLJku@1~PcbabZZU4!7R9y{T&_VHTcjjkEFXtasjmjFQ@10_L zI<sYkp28cn?k0ZgWF{|fvzty8^ECais3+voaUZHq$eSu#6QqCOf?KqTf@7|eiMptN zUt4C9A+zb|S!s2X6Pts3>{ScDoVUN{<jVcx#PM)BROG`dyQ6)LlZi>D)9||*?0z-p zg6?ky`TsPs9edW1H~qMiJ@P_q$M$5^M$uf@Tg0Q2H`2LL9xWX8<D0T%<bxM{0;sEB zmy{j-UwX)Ya9q%7SsMp0NMG-x>&MRCz-=)cQ=em|y_8kvCUZDCIs*Hm1m@u0{WuNm zJ*n5u)@PmXA^G4l_s6OjuWfDQrq}2?y^41u_Q;`;M()uKf#~r1cYh;&qxVtuJNNPW zBcN||9FBieFQ)dIY8g$VFSjU=gz+nopjIO|u9knZuH(UK6r12R%1uyxNxdE+%MWb5 zIlo{XD`Cb?PLzV|#n_(pDL-y=hp?44aIxd2!UWz#b9(2W5=i&hQ!P^VCc*}<H_m=K zr=0VLW5PtLW?rEaXCdpQuzqSIclPS{2+lvLvoQ4TfjDINfTIU+Ue1{AvpN=3oy?!* ze{9I0SBH)K9udF}C9hyz&8T(U)f%xp44e8CmD_7&ME^a`+X!jNBK$?6`^Bl_oTJd6 z0OU%g{pJN`eiU2Nm7mOC{@OE1xE%KxyBzm9pIqY^y<FqDkeu5Yvz*)c*<zt^U6rIv zFON~p*ATBnuHhY7)SGQt!go8eSnmS<<u>&qNsL;@bp&)5_oHMxYm;HlhgPV9f&WsQ zxcDbKAkMEpY~9!Q8eZ>#H$QE=&=0{S<<LeZ$A*Mp22eISa)i~cd2@_$Oj2TaBF}H` zZNb@lXglM==;pD@p(olP90r53(h*8qSy?p1IQp^Dp@&%QS{B5JK@t+<A2j`yjZ=>h zHZy8Ix#Kf>a%lHsV=^u<g98RSa&hZj^VNF#UCZhiF~`Kj_^IBgZ=(ZzEbpRS8cG)p zAo-JHeT=ZoC1yG-aw{uDcML^Ma$<A~&+i5~ISE~*t43~}s`x2e-$PG<{O{I7w(hV@ zeNH;8Nl>;jhJrmYF?tx3eJv+JqIl&7m#vCV?ngQF#2NI1!7`ZW2;EE9OqA2^+rkwH z&d&|JP=0*W@wS%6aStFi9LLP44GgW1Zo1-c@e3C>D(3louY*iUS%6GGvNrE)??MCu zYvy1*Ge>9=i${zCcAi+|Ky1baVzW=}FUwrrn+DzLKl&Gqj9ri)9$R}`X0?-fy#cAZ zf(@G0+4_YG9PEon0%>-h=t?b}(F}&)2Q6!VArd*e(58<DCYgFeJ5TPE+h0|aXVfpK ze4aZZ0ctb3dvj;Vvf=k(b`vk<oZ0VxNK}ul$jd#NLXt(6hcN&Y(XM*!rp#Lg%c%6` zcDX7R$=r%i6>!yO$`&d~=Af7#7r;5NLjU906zwmvJZPGGf{14<W300?{hV&{2}tgo zxsK-LssfGDOh!v}$xfGF$8mg)tVqQ@n!<mHEXxb3D03JubY*b;>pZdw;}ltbWt;0* zl&so1qrtR#P>@X7yg1Ie0u*qrmtV&uO#O(cwaE4Oyf5~CxT~!DvD_;&k$Y7otBB2l z`!wiyJm`2h=r}6qcn|2f8le_?IzRWG(&neEuDdt4aiHr)Oxf+F$sB8->&~F-ydK2v z+$K!E8D+`-$_s0MI63W1Pi)|_OuWk9#?crLtqAiEt*{6^n_@9UmixVOPb_m(ui?5( zf1t&YmEqA@q`t72Z{v_+kEWnRk>#Q1xsDd?s;%70Orp@LWE05jI2GEl6?MM7<<U;? z{%`<VhzHN$D=;YURRw0vn7~FvU{DsuaqbVSNV`3o!fUfqTTT&^qlF(43eIB!`>y-{ zW<&!AUi4<B*G^dMkIqg7SUdUS@Jit1ZeZ%`X_;ymeYxnmKNp<nA=5dkHvO`7`KPe0 z`=aYi)euJyl<M7Y5$HIHKW<7j?)rP4pH4#`bu;ANPTx)MRnMo#xjs9wRYa6tw8Tg{ zMp{Bk;^6bJGviy5)5ckEXJE8p`1*%cWfM{UM{0StwCX+C8mH{qAE^>_p_O&5orMBS zrk;kZ(k4#Q#hc|4aqH-@{GMWDcQ%tCCBRyUMn|;BrooJf_hfDy?fS@y(AT3W^t;IN z2xsmIm=kZoobUy6!ryUjXui*FD*BGIu3XjTpfDNR128(BVAM^(sDn8nX`6cj=EOCa z6Mqg0A}b>iS)>;x#=g0qXH>!YXTi?IUmn5&<a7r>dceSg8DRou1QnPO)bS-S9(E(4 zsz}U@Ve$FhsdsL;;7t7iXG$Cx)B)65o=LR5HrZtDtIY5SzRF7vSN-Bnp%M*oiuno& z96t&l)XZw9+Zy)^y~5>;FKnp>=TKFrI!$Hz77nBBFw3!rcJA!z30?lKX{I`gy2m4c zU`0X=7x}H={}3p=%Eb*qm0Q8-d2m)2<XF_QucFrgrg&gV|1MZhXvSD>euNGW4MD+< z7trC6TM!9p2aync!^){m?OXqggaKC`Ic`^4Ic_&GxkguZxkfiWIX72&IX5?<zn3Zs zb|zjEY$4KyxmyLgg0Anskyl~GC;r5J8He{R&=`K=`?8rc8fw@&vVJ2SotKm`m~nPg zH56jKlXuqCNFQ1L;tlkl8}iOjE7!N>c1=s#<ai(-c7jvyW=A+!cjhi?p_M(d67}17 z!C^rswmzczM+5KQ5AtKI%WpqWk7F(ef9h5h>-qTa-;7p}h5SXudui&g{~ufL9o1CV z#Em|pfC&&DI!Kc$HFQLpQUs~edw_rxY0{-CEg;er2)#;g0R$mbLzN&!T0j)()k1F~ z-}ZUGb?>@s-G9KyIdk@z`AylgXC^30N^+SK4n&cuHBBGo5O-d&+%Jw$g<YrJD?JzN z(M7C#lcpxNHpAQ7jR}XVQ+Jdw$141Zrvt{E$YHLX6s_F#2KRR?;W(e$?!Cov2^4-{ zNIUKA*<Q?kkKT;CwDHtrs;RWeyN`A;R`1)De$!22WM`nC?~*I{OznJdu$+=MLGROr z=pB(am+Xmw5snfVp0v^4HA)pO^=*@y$OWgNHE*VPXi^jh3i$|Z=TyOVE+D{=k5=qH zxgkf-*sr6Se<U`v^r96(5-7WXH;8Hy$B<t>*}s0x4`fc@($teZBoSw3m$3%Ot16Qb zy9qd1sjIs^q9CynUBGKXGl>%bIU@}{Qo-w6c6XE%gt*F+N?cGn<R*f{rn_2`<R?p^ z^;zMlhf~k&?USLb<VJ;YR|F2mY*314{K+EMI#2Y%$@8D{p{+x%oKi%HTiq%TcLk}V zv;z8CkUDaRkZn=bV_<-6EfOh(=9mh)*}isJ?KomlVj7{I>}HC{lF`v4E4%Kp%&o+l zbF(~d`P!jpe1T$OC7pD=e$$?Ha8v<#%oMqhn_gkUp@1(&7X_cL9P1ILJ8L;zyp8`O zL}Y`1-ZxtG@Ig3|Lzofl-g(z1B2a<ghy*Sylc7QecbtfbF@O8be(hGFaQwf$>Qvqt zyBf}|83T1wrc*DIK4_on1@*ZeF40Zgh16*7o5?pKva1%gh95_r+^7<IQvO^xrXmGd zU6^(<CELmL()YFeDs$mrA6e>XJMG#89Cezbg;ZQLAmBuypBXh%lb#G*A9`nZZS}J# zNE|ULogT#%glyl}qqM)iW$*hY?)F=O&}&YEF@;V)RFt1}4WZ{&8FL<0beCzQYm#d= z7$I!~(wG{I98^k}lHDr1vmP1pnB2;)#BBA9Z9W)Gr5M?)7jIun7<&JD&5X)=UyY)# zY`p$=&sd)5N`))pYqH>TI=muzE}!awo4s&)KP`tI{*=v0V+Y?#90zd6&u##+Az<EI z!h7)Du$7jkx9#+``Q}z4Y9?`>GJRcO%U^i$_>YP&T2Vt%%t~9DsU_J>+)Hux(c=8A zLWRtecP+oyKVJ|pURbEwXRuc>WgH`&xkirPQyj`qhn(av2kL|~_}ls5zNgNp{vzYa ztkGw33}w7gzimNUrjKICqo7<v#x80H*uu2z9^7SxXl<Jgx!&kY@8q3G?x}Fj<4IWc z6c?B>ZC+gtIZ{{7ViM*?Hxvm+Npjslzj~Ar;sJTmN|dlZASaz~R-}1#p@FnRF@`2z zHML**w}<O&LweU*KtQ<F%vqE7ZvB}3BE%pBQ+Bc#S^T!!Gm4&^rIeT^#`!%?$pYgQ zM$fWSM$B-o2w{zzjvJkNgfT^u2h%#*zQbwn7-XPwp^fz_NLaJra9{I7W&5;~w@$kf zrZtVHhPf4s?g4{izpy7l4vRha=HD$9cZr;Do7wEDC@vY=vR}+JbR|edEB?!^`0tVa z#&WscFF`hvsYimRT{Vqqbw)Er($kYo6^{q)Hq{H$lvJ{zdBxX{r+>Ywe8JQlLVe?3 zO_|6eZYO7T@2euuwY+FV9(XL?`QR>pF08K&3Qj&{Z>S;35d3b%WGGhOQcRpK?a^2Y zPEj^01}(p)azE|G?}>%}2jmZ*G2gJ}G$46rFBNSGv^cvyE0(!F3$<OJmEh`SEc#1E zSA3UGx8awlZo}?PU2o63y53$Qx_h3tb@#m1-xXe|s_&GaxtVzFRHlRbx2na1!Jl39 zAYFGKWCWEHT<pTtnnZ1i=Q7IlS%)w9B+H^F`)9TrGWPYkVXd-M`Bqgdwb4%|n$r@} z+yyPsGrQ)OTrc-e^HxY4U(NTDzp~8G^=6)U@WXaXy>PZMU>R{*L&Q`Xb?jG??M|-5 z1hUHAd=Xh7t4ziPlD`1~wIW|1yf${Wdj%B^DOF~sG2XC^fFj~e3uC+l4#d(QrlCeD zrrNU}S3ViCwTq#*5!B5O>@U7;h-w!sAM4(M2`ig7+{B}Eacb{Rs!07!3c{6gEem#% z_Ayd6c59+<0wq1ZjF}-$x3;ga{nps*OE{D|eMNa4QTh*49tvt6Mv_;R{P2-}hR7KY zow$`rVYncx1?}BPV`GkIsgZSI=s&v3<XX7$!iLgL7N<)cWjYzIV$Ga%eLCF_d~vVE zU1Dj(T~N#7LBs=(j1r2)&u+1#j91D0e$w}hM=u+yuOkGTX%Jrc{m7H=w?Q}~?2n~a zp@}M!yeZ=o6%<ndI8%E_>$q5tbCWm7h>U^y?A`-;A;IARg;p05*q}65WE<4JrC9Xp zJ37aubezz<QAPqY)W;KngxB&9_ZXv21AhNBn9|-syE~F3{XsaAgESL3@Ye=uCR8ve zfieXn^ZADR+);roC8F%NS1Zj4w@g0{eT)8W5VwfMky{yN#8!d))_tTjTyXe35304L zT$GZ5c5S)cN{X5FQFiY8J1DUnP!J#i%9<`!GR-&2M43bB)(VnvRtF{-G1k!i@qLvF z7uX!W&qsWNqiYhmQ7d}&3$0^ORS1OP`$||)0RO^)qV<Dv&Pcd{H63|wiPlRIu4lZ) zN+EQ+hJXo0qBPkcIYx$>J+VT<q(Q}&8Y+-bAj-a7l3~QS!ELJ3t{~85)PAQ@^eQ;K zvTMQ#RU2d^q(OZ!%1C&+;Bb#P>eNiY^rNbJtIJY?-f78_yB;{}dLv3hM(2nGNw;CJ zZ=C>1H%%m)uE6jBq}7F9cF=ga_^a1xNywyJspwU8z~2`*A&~A%PyzhaL&9Nv!#&SX zr+?X{7ToF*GWl7sD>W(T|KF5Ig9TTLvRkfH-f_NVnvfJk`HVwCkpdjbbD=T=ev@rd z!>xx*4}U4EDduUFi$~rvuucn+d<{4Mpx&X|mCD4<`kcRGvRmTLZJJSsM~kR<xAs)3 zq^z8dxFhksF(oxc**^^}VilDT|C@1H=$1pjQ^QD<xSiQeuB-bFkL>c|g@)5o67Gn5 zi(0C|9X|~A3@@Y&S=$WUw#=X+Svt+z)bADrsm3SKgOTLA8c~aHRZo<aIC)1jdvSMS z2{P;*E#VdVwo9J0W-`>o(ur!}k@ouEmI7!4WT=Q0b=B?(=eK8nw)!W!wu>AM#$0`R z$Vq+yPBX()waHUopp>W=i<d<>8P>JZoF8lZCJ~V-6m_eO@_CL4LQfh5q4Ec@MC_r@ zPs<z}?k9g-RF=_Pdb&e-$@wTiI4-VB@axoUW&g{W?IGqHo|{kmmT!#+*zk|8f*#N% z^+4EoM0n&|+ogYm%?J<4q>siUB0JuGv!fIaAY8O9waIZP{r*Oh_&dVngqEo|10*WV zWI)rF3{|m=3{&y1CZ+hLMc<0o5L6O*+cQ&nO}YZ<nFg$J_SxRIUf=bfFMr~^W7Ug| zb)*-t@&j8e{!!T-zrLS-er_tyLbH%)JRyD8+xmBTKoI9MBB=?ZiPZN^Yg}oZE1#=j z!hhO5oAn|>UUBi|uU#LyB^$9<kyt>V2LdF+2wAhQ`Xz9ae<yo1L7+CJUx5i{cA?b< z$!wIRz*{d%R7hO4GQ(5jjm11@=sdbmhR#x$!8+$<P0BV?4vPmbUk`o64T7c~&FAYb zNI9ibY+M1%pL((n9<e8v1Exin(@BH0J@0hI7%qrzj!>XX%51!0_Zq4YQmf2vqpCHk z%DhJ>qQrFM=eB!@`!cI7!Kj0b7NeCGO~>)}>l1JR(9aq7kfb#HJ4+JK;0#qH_)aM- zGvT40ViPzuQ;OKz%q)PxXeRrpB7%UiW-q1{@Mz(`=8#cobf;x?O+N#v2)<CEKy)D= z1WBCG&t}?4QZ2q6JATxuZVpb-y4iHw!}bOh70m=Vy&+L@ALcHPG{5F2mR-OGj^zfd zVjFn9D4XL(xUM)jFK}3_hB=N!;y@(hR=|MLIVi=T6lt5s(Ky(dKH>gzXfWeFB>Ppc zz>Rx)G^`MpLK-D^U*7Ua_%*-rv;sCP)uj4U)XQMcf0dXNjVoE^Bg^J!GM;4e4Ik^! zu4UhX?z7UOPNiSsU~oM`-+gFs3wU32NwDZ;`iw0nCvP-(Wxa?wMm0Us9>|v6HKkKN zO+Ceznv>4d$KU8G=s^UWR>?9({zETg5sspmFUG{4(>DJK!@<}L2z^}8-~jE({%)y= ztQK88GQ;4?$$kpl_BUQ7#5`wt(q|~$@hDA%xV*6d!~9*>lFtI&<lB61whAa6w*m|* zfws9m76(%-!^Da3?I0ykp>FzT#VybCsHntta|-B7`Q3Sb<TFIgyw_ZEftyfG_P78< zap^Z|%-^+y3}JLCz3)lL+(Sl3(n@>2!12Ni2{<aKoQx)tVF1vR)Mvi>8RB9`swAwP zJg2J1EC;EJL|pT$&n^ggSE%Q88PCDjV^-q*{z3wU3+a1+?93<<wb23ou#9g9eD^J4 zxC9du2&QtxbCt*LUTYH7kL_&X;{Df}G@oj+k2_+IHBz;Pcl>yrCKVZO)Eef9iNKK$ z$Walxsi6Cv50PZ&{5wVJPDH^QbLPU$;V4xHZ6Tg=l!ieDu^%S>7uKv+Z`|v%pu@kc z?{W>k6)Yc>iT3;hWoA@H(iHQ#A<0p!@g<lzFWMu~yz+!_hFqhe81D#+jA~UQ-+3p% z6vGH?fU+L@#hX{NN&~szbQ%50TCjl0H%-<wj0vkxxc3yAy`h2Z+%3Swb%KD(rn0D; zWR7Z5H8{e;j2C6DN16+%i-SYySdR@O#K}#dEWYz`nyQhB!Lc|JI4JKDhFZ30AsLSO zKr-j;6*@w<KG@!<>5&Phj>UEc<o&|7+|<9d;YWFW=$D>==RFBC{`->M(rW-0LeTRJ zeyQ|sdV1=~_tX(VW`$$lHc$oRuhW#T<=S3DF-3!BQBmkV1uaT=rx26yh1S1562}IR zkR<X#^G3!4JsO(pLjxuevWz-<48_-no_bUJMJB$@EFk|(Cy?bLpu|+FVGMI7A>$jy z0O7?bA!z`xkisZLb)l$D1Wli`Xk-Deqvq36aHLK(`QD9_l);C4ix_ELqDM8B{Rtt& zw;-zZ)axG8h(4j)9GWeo@yPufR7qzztr8s02T~tKz9df-SR$nh<X9EtTL{*?PsZ2t z;PDcrXqP3wn_anN7u4;Wn3obwQc(FMZka9Rb%+;9n$p7BKyh4hVUlmn?_YOf3&?N3 zxp&>=+YKchD<FBnYfWCjw7`Ke3ycVoQPE>B%gX->H@Mm{#h0;BqD<yv>};P0Wz{w< zjC(9_AZm<KEFIK4sC(_bc>h?IV@87UsqA14-9f8m)J(TKP&pO7PPLom3BlL=Jo5|S zY{2BIM4Sb@F(Gt|(PhcJQ6?iba<;F7vih3#d@ss|D){ObCh3klGcW0+le4LBKY9^) zPCi1Fy4bkko?k|;Rb<&NFOA8-@zUSFt#(CP)QUgnIsvtDlS+?_Ay`!cHW!ZU^6mJC znV^oO2`;f)$^QPz_kBnk0#snoaA)0Zz-sD|&2VQe3@-o{l2U*CnIEkha}s93C@}sz z%g=4}!G7H9L2_%gg$|gm?l@dlnp8CM$2EryCB3T(kf8yd2#XCJJ?7BsTLmIYhyyOZ zg_~5917RC&xQH7dEl<O0<Xl(`b@w&kSWvG>eo}0-QCI~H^?hB~a)q=q8JTP~8Z>Tk z>rGxx7qc$di}U|)FYW~P;zD3A4qVm#_5XJ5R;g`eEv$Eqi*4;NP+@ZY!h}KrUr}uo zJi2PE=Q`b)44ZHVldrVU4>qPpdy<hO<5wh-+;`&O&-Mv@O3-te8?13x-4+G(VWhVq zbuo$K@2AL>6L~Z<BY*g6@ZTT%F~h%OS7gvrAa#qz^sT1_f39<K<F(Ze)uJUCnaGka z#zPqGN{xFG8~ka)-O`FBn8^3E{$de0MKvwD;rxhEAkfTfexh;z^A>KXmtuCU)a2vU zf~mIGKF(>lbp7*rLkUUR!M9U%8x?wBIH&pc==Fv(ogVY(^{sCp<>7mC?{Hkd=u~Ah z&7g@)y?3VQJWGD9BNGSn&?EG?L(f~d=wzS!%D>>tLoG+m^g|-BGGISn2H9GUSH3?= zsJ>Qgea${{GUQ5geF_dHtxxC)0s35KBu7}K>TATj_%&yyt;e7R*+McYg$kja|4cr8 zMH`P}+R>|E1NX$?VEzV#9%<+~L6f$(*B96<V0{1D%mCm})%DmxO-)e0n3$s~l;t44 zvCFg~!3Q8XuOOtImoI<nxy^4?x7aM1T4l0Z;2`D=^z?h7^0TMl5T2zgN%iJ)mSCeB zQu_n->Tg<T6YcBNU5#ZDI+XMjkAYeVN1U~=3VZwsJ9-6m2RoWbL4gBLphmSHIR4H3 zhM^`B^I|bj{#TpDW{~bWw%;*AmY}T1U<ui>&$hA}C;L%8){mf*Z7EZx%r@Fs-gmyf z=Tt#j^6$#10p$aZ>&_&>MReEs7K&*m-z!F7LBzmLTGU53iSq|>NTISKZ^c*-obHSu z9CPm=;zIMfstBPh>gERVz%zEHbt0Ey)3%LY47Q{lz%5V$$+^!c3p8v%NLPbi<as_o z!C(M>RCIf3zLMmp%U?S_9o*z&ry|utQD71{X#GV~act50gn?iF<AY>wMeIt#2cSmb z3WzI`3)o12qLe}DLhX{M<AbQ;y)+df*4Q6{7)Nzl{K)fe5aqSWfvc@Lz2ssMd^xBT zP%red01oz}J&X~1nFd9t6Z>C2CEVnr?Av!1CURLOR$^_B|0;Rqa7_25MOf%tw8zzO z9r^ep`zylo289U&0=~PdD7L)Hv4KWsxs?S$0*7DBx10s#+a)AQPd9XtqzZgHg=Ldk zL_5W~7BCvtRC?-*LM{-uaF)l7Tss^?6)0kxUG;ze*1Z?`W9-kdxc$bz6mM)pW-64U ztpy~<EK$5?<zvb=baNYJ%A^+{ybFUWfJ|MIlBO^PD87u<g*J|vBc;JXoRTT3b)!_2 z@(bNsaZGQ7It^{Vs9B|zXbj>amx!qr?2{envVcIzj*Xp{!=bG5Am67haPZ3vrI?qh zXlN2e@<U*_mlb8+QX|Uzj<(+v1NKTPNVZzRco9i1p{`rcD@CK7Qih0yB2QD+pf`Jn z=x&&mE7QaoIV0<#?n3&7ktG7Ypf-dpy=rXW<%3I_v2LG|HC|AMj?+TTW|XX~+cx8@ zhV?UITA|BwKC!XEjwLuo6OA;oADM*$kHFV$UF|U*yyZ=|7ifhFxnWuuIWFKUriNnc z2kez8Nhbk`%ibF(bF(T@=55+F+YX$Sn_fmt0(4)D8@E?Rw@lYB%3;tN<{+wqBA4K5 zboz&aJWi2`Rgb0zgrC=x+~Yy`sef%%P$Xh64HTITRfRq(rJL+l<ssr#G|WJ0KpVx( zk+4#*Q#L{cW|WGuPdsOTMtbvklz46EihKCCH&>Te&xoIn`bLNk-z*Q$1O+m2z^T$q z_OnFDf}oUv6|x0_(okO$kPMB0>S%*Ne-!wgSHO{(6vpxYUq^Ny`KP5U4$6d8+cuZk z*;Lny{sT(Y!U}jv{;NrwJ4r2ok$|B4Utl9N_1JAdm_Me2;>A{tDN_O*R49{n7;O~2 zgDM>8bAa+%QJEGKjvo5fy!RbV=mPR{P;YlvNr&ZTIVuu(*%$v$051b*4NO9r36;t; zpMlKUpzb?*g^{^HW?CpVe;~6wzG3zvR#T3iSZ4(?b!V;XLzvV8l60!cUJz}WDd{l_ zLbhz_ln~Xt<uOv%4(m;7FyXHSB*jco^lKGk{ULO+W(CR&WX2oF6lkbWdST1E>561z zht=q}uNJId7)Vo*6iO7;Jms-|*P1YS1=7<0PQF(I)<ac~GM^?jDQZcT#{zkkd6F-K zP_9gt4NRU7AX#fY*arobMit8JRYjuDg<8!~A>8rP5@Af*+<ZMwfOVjbkQb!8X+bff zrXJ}8NO#LBDF6v2WxAkFACxOAQdcG?MG35M6+-{NAIbYVq_j}vjjKT<$J5jidnusw ziJQAT7KA6BX$8`?AYAf`*sD=gQkds1PqK7DQ4H&IutSUo)s^Y?QuM$&jQ-z`Ac#|M zduKf8dCOw-AID#*1>~dGhi+ehbn&x@y@b0;?r-A~`>*(o<rTn>sP6<2fcZb4f-<*M zDbpMqIWKlX-Q|HeVt_??fMR0<;-F!A8N3|^Wr7VIlK-eNyygHB>Q{jiUlLLh&fzLg z*axm6yZ{b@s2(0*?W;ks!vTVwYGpEYz`AlMD~n-a+%hox$|yzMX4l<Z9Qv2(`i;Kl zvuEk6X@OcKc&y7K#U(%Y-7h$_GnF@q&s$k`M3d}7fs0?K>C{%p=gO&5m`T<C$7+-H zbBmghcP$pVKmGj{r7)_;-dvHJpldi9bPXGVuHlj?;T_O5?C<JF+6KCYbHR}>3>^8k z!P|N1x2-)KF*;QeZc|$%&CY%_*-coK$Xi*P0nlQc4K7Xq7n6XCJK*i~^qbZmyB+Z+ zPG(h86xHKfykNu+S=C$Fg)nfjAh>uH^dp;<zdp^<xYd?X_gZ0i;)CLvzx(cOl+A?U zYH6jL&gnLj{LU+9yMW1(K1#AKat7C-g3y^q3@S0S@T;tbE!w`Ciw$FUP<S;oEu0i# z*ka|YwYWE?fWoUMt(Zvul4%V;(cZg>id%dJMTZCTTk-xV^)zRE#d2M7lw4=gTT}>z z@B2jyr{pqd35nPCxJmQy)z$awMfx8YRwO=cfQQ%{v}nicEH;S3QTYB7@Q_IGkdQ3g zDpC)MP741(@o4d}_to85w|jfUr#aJiQTTgZv~ZeG!xo_fjm3s>ITT*0juy_K@H*0E z*?ezh>04mKhrYN+uVc_3c-g;Wn@_A4kL@9bIwTWVX9{w|wzntG7N0U{sF2vxu#nq> zVHza7GTP*to@OVK?~(SN5k2pduf@a_f~NK!Y8J)l?MM&Xmx^SHZ3z!NMdP(S85z^Q ziHga-M<NjsJ^gdnVj`s=For4`7VtH{G9lHIq+fa;h0=%gTcmumHOu=ZiV*@61RjG4 z0<XXXfj%%nAdZ>^PR}bNFZ2LR5U8WXqBOt+0U=2dFhSrpm>{4FCJ5|Yi$R$~V9XLU zEHE%^0Mu@h4);3+MMlO3MaDsbB4c)gB9puj7QfwRkL@T<KirCp%QYl0!u>pUpE@i& zY;f?DOG{eR1-;vCpm+O6$)0&j{*cqMl0bfBOhpKstz-`j^ch-T*2r>{@VukrA5w}< z9vbjeOdIWPHBzK;GCURX{r;-osn`<S@dMlu^ky$`BXelI*fcBqQ*W9ia?uCzQxd%@ zVVw^;%0od%`GMAI<Jeu$Q62_5$`J;qR=&Ec`(ylRqv`{oqkPdN%W=8UAqL#y<9H5k z`EGEk-L7q{NG4)%>L&ki)#sN`+GyW|WF*MQKX!xFmeqr=WA9&Ebi9$BWSQ&7+?iE6 zC!GKaccjItcd9=htm!ZbJy^8M$OjGdPe22GC}^NRD)XF`Nye>yvjq+GDxiTL1{&yP zUV#RB6K<zIr|DvTEAjz@7IKz{i{f6KC_HYO7S8<HpoPWl;i7jv7Yg4qLJMbad&NB1 zySGw(!h)4U#UUR8SPKJKOP6_?pMabC*ZHkr(j}g)gbV;t6#&sg08ym5x5?54mKGk} z#BW71{l+uXEEngns0~FYOn~ck!SynkEJn;)uXSMQ+}Tz_y3&DWscw7q7@s)y)C=RB z3X|bjIV`ssWAoF;yI_RNw7EDTc>`&dzai!+4aTcj6%F7{!2lPsST<4y8U;9<CrI8( z_u!=E)g&!RGnlR7)L9kF7VQegvCPmY@Op3u39;xL5M<IfygcQ{mzPwF26{e#SuBPc zI!HTL1L=@Nx!et;44C%f$qmSR0`i4`d?X-GO057tx)mh91IE1&vL|Wjo4En`Mg>6r z3n1S{t-$Ng8zeNZaX@Iv1>^+*c}Iim?rypbGAEoLmX`Lp<6?9)=-HWps;SMIUe(e` z(AT#%Ha0Xw*VGMUQg&J&rTzP4czJ@I_W6(0<*cpwDvE^F1os=_GWW^IZ#{nB4Y3gQ z%AprxAtUoUY)WWKYmzE5n&?puZ5FruB5f%W^;o|!@u$tUD@xRu?#5N5rkV5LTICS) zR^#1DWXnMc_oMqVt)8dFOAkxct|{8tobu7C6izn5>q6*E??O@Sg*qy%rru3;ix$0k z>rHibRxPRt!h%&kQwh}^=UnkT*Ho_CEAl-vFuX41cbMkxbx|yO?&W8!LtlKC@6R6} zogY&}S*DG5;?KTWI@pXB&zVf66}^^}G+ya~9&dE@J`V9Y7WrGaZGrz5(mv?PVJ+z? zW-aN(Z~fNuj`dqF9&2AuDQjOZVe11=1?vN`Rh#9af!iJRDXW%W%s%2in0@T8F#Gs^ z)9hnkrrF1yS+kD=t&BSIMT%0TKbABOA75&c3J2JRK5HMu+_aX&h+0e5^I5;e$XLIv z=eG96NLu^W3t1mv<gE|tIi-V0N(Wqc9eZ8q9s6CR9s68hjsq_6wO$vtwSE`HwUjic zB1;F1xb-8m!@32yd~C%_rh`xA<Q<?2Uh&41s!f7RVZK_^xJ|JvV}U+`e6pO4<gpQZ zen07_?yZ3F-mHLe++4tTKQ>_e{aL_xUv$8DPk+F;`lyUPxoYI{{b&xaU9#w+dQhUD z14{I*i?cEc^o6x2%cT`3%3-{ZjTl~oK`aB8EOloAd!zN?lA@A|L%h*_arDsxanfqN zaWJ+1IJjD09NVAg{a*zK6S=f7k|A(^_qF)BOtz_FcgLr_WURZH!`cnrf+?A~nMO8; zQg$;~Y-N?pTxn&NWZ2ltv41!q6#rUiDZ5fYuTx)x)Ld5<RQ`8+*Ln@lz;;YB7q6z0 zx4gJG)3b~XmX}gNL)h`+7ojoKqbs_6mzu<*tA7OX)K@R>evu^?z`!HPst1yZwk6(n zlaLEyIC{w3dS4JROEh%zhVa32hNf3hU-4{rH$SbHz^|Tdt&?w-;a^btGELZ^Ay*|c z*Gl!VzKk`8Hg|4^mL)WKd&rvFB1Ra@lE{ds*X_b7T8wN};0%Npg2Z5`NVrZ*DdI@- zcEWh@tbH{+w0~3FwjHj*yCJ^B+|eZF<d^xYMrh*aTM;h=8g_f-&-R(gpL62rMWt}R zKr>qfHafHX0b=QI8sQY?!VBz(E*4B_cYn1o2C}^phqgffJhVP)snE)2_hs_bLUX+H zWAai*i#_$r^nCdGv4=&SpK?~VwDX1Oh>jezx@UxFyP>K(h(#EqH~@9)-X&rdukThH z{_XRne^>wmX}q-h{s5g2BogfQsbtg<K@w7lPZq|;6Dsi;?pSRC9DiUbpcTURRQXg+ znu-6|#ymaa*Mi@+Xni+UTL8nx4i@`?SlU)IoFdc0maCMGkg-WDz1VhH>i-6Ry#uWf zz5U541AbNQ@~Lrj{J2A}8KOUa!T}ecQ`)+jGYxs{f&IOZ`bTiR)Dm#OKisd?&{p9G zy;*)R;J~wRia<SEE;f2XhB_cx|1||}>bvP>j8>ch?~Xuo-1cSK?SR{RY>6+DnwKt+ zSS-cDS)Zkz*9mFur2d?0@FN)8BK(1v6o{vto`h2nOl?(;fS9fVG1-Re$Z#(-R`>;0 z9ybg~AkO4x9J<L6(QZ@BwDme@vCn>)7!|-!*=nsAE{n@FpNS7d+n$x(*c>>9%?7-7 z9hqBqCK2<VPI!WhrLDaqEg>rDFwyr;rB;$X_TWw}K5W#NX|EN|)j8%cjK*rqaNrL< zn`jMBmh=d<UJf<%77=Y@TDq}9{1`SZvg*+WB4$i`cXTx$hDwmkZMc#s8)NbF0eTjD zkWs9a--;#AD8nb8V&lyU@EItq_KE6WX%}mO!H|C!hrg_}jOpAHGH-@oK&uBxiKok+ zhf^@>*{YDx6J970OFMdo>o9VT%2xQ9mw^`!LY%pcIdrRm7yjhX>xk$Ff{zkz(C(^j z?$v9h8M49RMgLo63(>Y{ClEFthK&ZS@(mI5FMS|vD<EuILPiC#G%^dwrN?hmOc|}n z<;V1^5U!%ODQ@=`Zr{Hlj;!XLn3n`Nmw5YE$gbWV0+un`#M7&4;fE)k-DmScm@9W_ z3CWhk(o6o~2|)TZKmM3DaUn)FKP|VzL*X0Z--_TW@J;ch2Dp9ghWKKo&_vz2u-8L0 z?D#(oA0?~qi6h$HGYmf@@Fp!a9L`$XUiAkgbBU$xOv4jq5w<i(gYO(%5NsPAJG**l z#Ux**UuI~IhX6dqaQi#}o=mXFx2D@slDEH4oYm!ICo-7Dj6$pN>%`N`fcCyaIE9S9 zt%@$-q#=NgZ@A8hCJ@a9_CTgUD?buTF7pPT+=GpmDZ*zI14;AZPZ%D1oLQh@PAh+{ zkPld6JJGgyS9g#QA4ZW3Am<jb^dtT71T!mJ`*eVuk|1?#NU>H@Blh6b1bu~lTl`Te zoXc@j+_4!>v*z6JTj4X-*R1xh0dg0@z_P*O)`+(2OS;e8gfUk}FJnAZtZ4}^@}X|S zc%tkv(`QnWO>n^j>mxH@DU7k?W;OU^DS(|Se1;!Z+e`$1uwANk@LI0$cgbwX%`P^Z zbe?GN(p1FL4v&CjFx_YI0+=hsWNw2QM9gB%-Tm=`7|1e!_hy||(iQ9hQ#n3N2}{mY zjeo&`jb{SbL1t<DOk6|AYES;<;K+w#ms!~y5e%FGps|l=+oz(N#0rk#FonAHP7yKt z)O7P&U9!8VW3@j8&n|Nz&a|f;x@i&7+EWg_=D<oW0I{@Pa&$P!hu%WOg7)$g>sm=a z9=457i-m9m8$d@rJTwoWLmd$<5AKlw2o|t;ZN%$#`n>6@Piw_d^#En%erpLf>~keq zYccjkTV-5p88+(trPjol3D1!vnY*EEO-@w$#wTP29Fe~H$-WgHYO*12TLM=x0RV1- z+fM@k*USaOKO3*ttN1C(88cwC{5aZ-8Fo=ycDm(oWIbbh_OrJIy|M_|Y6d6FOGzz$ zv0~F|b1gcv#(R7iN0grJN9w}vEGgx1skkU2R}%>Fv=9xn+Wgjw%qlm8G(cp%K3Xx` zmkDEzcI4vde5;@C$X=+`m@rl{d*9isG}gHV{t;@v-Q_J1#N8=tS`SMitH!@3+TOJf zKMb_6y_!cyNERlhx({F=_8IDibL-&x=%|c#6ahrifPy2wH<ZP0=E1psY>Tgj!)e%t z#B=vFhf^#q^L}Lt{<Y@>JzG|3dNZ^R@wB~9_~9w=kk!CLx&jZm1O(O6&8tnd@Y}2z zz7OD(QKyyf0N_-MPo@KKs=#L$V<qLD|Fz~?yY>$qHa)d}&zs#(4=}N1%>zW)f!hVE z-EZk8kr%*lPy(WnfM{1Y@BD<^r`2+}LgL1!^<?-}*p~Q87@X?}tP%yM$pfz3St*tb z>znaMs~#9j)k`ARX|Tc)oAAR8OWRQEmp_&32x0kvmD&LJ>p&|X1Fh5_(W&rjEzwH) zj6G;A#)k!C$y@92FO<5B9ER^9c*(~eWo4Ice%k5jeduBIDAt^K8tEABciPe&Gz|1| z0_Y`hG>;6z6Iu|q_8xSEs1HCdg{ba!>!-8D`E52nKwrt<5Pwt%=c3;bckG0JJkv|x zR43EaP63*9g$({daIQgUH#6rHKfM?b?svz^R^bRZvo_$&bi*lH5w=`_Xa+lwlg^zF zWz*H!D<4e-6kr>l%IexTbV`_Xyw5Zo1~odAsBZZ(?E^+A&VUtn&Xn{})Lag=KE}wi zr`7aG1X}Z1HhR4Jx!-UYU||~yFc1bz)vzz|^iDQe^{fnoS)<Q1U@sP~PzyquO88Y^ zIaeCsT)>X56~SphO-vAd+DHV?S?oflfi?73xYgq=ZM#=A)&i`GGbMNi?7@ivS`n<l z(*Vs;=F8+|fflpb6kl}RJ%3@a`N`(HdD4~2eWr43m{KJfQ#JMlM`avSB{mBASGhd? zD%W@YzskkC{R#OJjws#wWS<2OT?5Kh3s+eK%9RPXPu~_tqJVM*1Lb<?r+9A6;CauF zgVdP8>w%wGj4|3%)pv8p#I9tE#UwV@#NY9;u%a%XR%63-iMiSKr|K%d$LUj8@)I>Q zhZC^mO>mu&aR=PvUB5CTY_)#wfXmdWkTIg0dI}|Efatwd8E0OOjUs-j<uk$$CQccF z)%gJEEhfVeu&qzk0)CG@)Da{*6Apvc2(_JYhhYx{?~Xz6=xWr~a89*szK9XSuhuPP zMMFfd*Hj;iAp)mAUXouRRBz}9lk3$LY@@#2+o5DGYX#URg$S~mDjB6ikXTJS4Bkhm zS%C;35W$;s{?p=TtD9-?^m1!Z&M4~?@w^FQP<ZP8?*eQ_cBR&dwb$d3Q(ZsUf<Ugx z$erahq%rvEyD4shX0!L*l(0ZwiR)GHG>;A`!oNUa<IM~3QKw7W-zt1FOXG7S*R_pv zM`@>swE<D}%D9X-*o@dpt&E%4gV!lMYcK23ciDzm>L-S3duOn|Cz@z@nct?DCJ-}l z+Q8;1&Vc?4Y&-~DqU>FMwho)*4hT)14jUo52P(-j3$ZUYE8{Xtu~8C#d8j{ihvlz? zeWYJJX%g0o(Zc$+mTM)EfxxE@AEs{?Erd^|^kWh;K#OttW{O%36DoY~R0EsLF?GrU zNIsiF?EqF<PxbBSBXDJxI^LVN*tE!L>_wjMye_mWOGCt>myCt9V)A`A8y<$-vq<Mn zic9Lme{zb3f4nHiC%2v5;bPkmUn>MA?V@!$7_KszcgP3?1pvudj!nK&8Mje~&7d?w zk39rj4Xp0XTg$nnS(fHAVvCR^A1}e{A%fyRm6)$@e5$_U`<VU;R<i0JM3zGs{G;r> z?+WPr-ZJNkeL|UR{xg@{E9~X)H(gttB;|0&f-P#2Soj5ZvH3>jNVQMjU)fjrZDIgJ z1^qVbK@^1dW5Q^oIkfzk>Q&KVaUhU<@Li#iN-uYow0xwjZi~qJB^TU}V|!|ubGicV zShGbv%?JN@hs<~#b8qvl_ef@?R?Pm=Hoe29w=SA(0cfx#f+T0kVemExF^cgSbzldP z=FKyaBk@-e11tA4tFU2rD#=dG{HP?17$gvWkO@`vGv)f;$6`l&1Cn=m{4HkF7=_`2 zL!XeTaD?Jy$-o1|Sv+tLo``7j35Q-i;634AIUF*7WzIFi*<<VIOGoTqZH4ew!fhkA zl>4jTb~#&i{grTJ{L-f!AFEh}k9P)Abp_XR6tKR88Z9&edq4n@1}m1F09=Fn|27c9 zSjk|@remwb%8}(4TOqJ2__yROWmqMADQwH`s0xm(+xYa5EuBy;8>91|$g8lvEk#;r zJocdFjaGgfmb|3^pS%xVx(uK3(-&-;fVp4>=0eF&@oqW%Tj`c^rzxVBVye%|6oHfK zE4i~?y~Wb`A1*tA15JyqOG_`o+arSH!RAH<K_WlqFc^wZo1btPMj&|Sscb}aOYlrh zP70kSxud3)WHL3_WW&lhnF?$M4N%9u(MzQ#L$wbL^N*g+ZQr;tr!{<OoR?+1TZet# zRTG_V#(|7UqxLuFG5X%1SHPBkebOoA-c@=s$w#sGxYD7Lj+ysp(k<T>);8AmrgaS& z#^9&eTdE3q6w#O-25SFP1035e?j0Wk+?6tJ7H<n2m5m0$?H}$OPqi0cR$u86gm)97 zSVuGotCo;p@^jZK=j3S5?(2}4_*Bo{80thOp2eu~=ebE=mW#&R>UtRqVWY_EC`7*o z!M;5zl=p#*ZBdj8uZGML7i2&?-4jR4;h#^Yywf?$P3Ct3o8aCNN8s2bxOevJqpmt? z$~;Ps;&RlIaZHS&S%cP-<}lb%Y7WzEU1wR-A~=LcDbQ;Y)@dN5^C!h&A1zdHRJVCp z_9LTcJTAo8g9q<$9ASFh3lql{6QdA6GzrUjQK3Jy2ts7sEPHx5s-!4q&Q2O{i(#+u zEQ%c)zspKzlytMGh@3_{BJ=(_bP}oSZ(Th`ZOFYNYL0U(7Bc!SZdt(Acx_9<u;2<i z;Ar$cu2M2d$E<rar52LkKTyBEwk0|aZPnJ=0nEGtX=6#n3~)fQ5d~3K6CcQ|lt*zT zY7oddA=&!ey+6d2_}m=FM|s>#{-ySx<wqv5QXkF27sK4CVY32@FNCQRPmOSpY!u<6 z_AOfJ#tmMGoLedePXY<9Mq@^0A*9u5o`W|a>ecC(S(D$Wu#eG8B761c@ibeapqQc% zCK31b6$Vd9ebmB_%>T*b=12{Ru|j+HN<jv!(mZi=97kaY<sC=*4xve;<G2>Je=8p{ ziH!Q_j>uw|4>jym7e}?ADYI4`Mbk-TM>#9p>)|*qq0H(?e_?@x15hl#jSAJ(kooo| zN=5g9%#s#I)(Zt(C~Ko>0p$EyTIT%)ux5s)G=Kk}_wO>4_mDQR&gf{71IAp3w8dAu zn=|-tis&U>Av$E@8w*n+qF@pld%;1Jz$7phg{0RBZs=W}qtjvV3ovN=y;;h0HdV{s zR==qW$*xVtY?48;N5C%%NcIo#ixQFzOYy|LpYsc3@ITdm@nyIuCQ5c#D98*4SLfdG zGQueiaI<(?;W+XE@O&TxAsmZQA}E4F9<)B&{2)p%CiDJ7faugH9kmq9s3|q<!~)0R z#LWVrt|JY2^-g(5aWsnXQRpo9@3o^Egw^(_kJ_Bb{5Wb@3-@9&o;tCG6Dbj=DYKaM zZ*aE{hrdLeHN#&)a6;OI(lG<nkaM9l&u(!@j1Uk36f#hq>WLHJI7(*tD*}Y@UlB+F ztrbKj`B5L40})UF81OB=(4kJ86}rZ>`#O2e-Wn=d_|s-ayEb=Kcq?YMwb(UIR<i(B z+&JNIOn+$p-J*b5PCgBzftw9%1AkJJDI72hKRcjtzGo92-A+5=c&1$-CUPz7*L>0A zhv@;FdNBhggANvUi*>|1zha{h^gv1Lqe9bxl2$~iq-)67wMN;SJdi=I*6r7~$y3|i ze!VRKfYn-?j=|pmI84KgT0uyMQ#=RVAnL=fJcqR*w?c$^f9&eL_@Z4a0c5Zui@?Ew zR*M<q6b-pqfF5zM1G=xG?0Ge1kP-j3ja~)3YW+dCCHi_>5z+<}XW$CtoF&z>n*$QV zlJ40{1sPxgA`k;`JqNt<R)9|;d1pqsclKJN6grbJqiAZF498;fYiem`amc_9uqklB z*Wka`e&PRnEh|tjI5No{sF%QEm@t605soUGhow>Dy0~55J^M8>N#y%FiPx@JpD!od zT@&Y*e8tVB&HZO<`TLtTm-}Cz8}NMpnY#7dWaiVP{>=P=nwI@l%RgrigDyk0-re^5 z-QH``C*xLCOOMr_%c1_v;|A}wx$OD+{C12M`FU|KYjoVaL)4&x+ROQMl@!wW%Gz<4 z7QAl7AzK^wPNOC6N-#G|gDH;coSUW51_vSC*&Zj%n=MR^%@1ze{j>b_vS9k{#m<++ z5$woqImUhU?SkfMM;|)51&5xxOZ}f+rmh0&sf_39`ZDpaQTTv1^#$?bs&L5j;Y9gc za(lcMI$C}XD>?@8J?hh$IYWclLdY2Y>Fed+sC`s_h?=gz_f>z0*{mp%sj^}8TuSjq zkYMfCm{DIK*ksSa0qVpI{>2P)YTXQXo20G5^WGbQx}$^Ve<-Jwrc&O01)q)w+fGUK zWq#izLt8$_+^}K_e0Q158tCD_@})j2eQRj2t%@-wcsg?){7VEGL;8F$F$@adRbGMJ zjh$aD8B{p4;Ht)bRJ*@&h24yyc^JW_S#un~jm#gQh6QjgCbRRf>>A<3M!DUZm5&a~ z6Wjkx5BdbFef`I^1q+riawE{=c#zq-q#3>_`hXrD`JJsMzMaElWWRyyN%_=UBU81n zN_{dc4I?YYYG08MIhK00d&FoNe(xAV&Zl>Ty_4!xf9y96ULASx(f5u3GRYp;KwyVQ zftP5F;_6KH97a>?${_!H`~Dk&)}w=RuIxsvfyd9&Sk<kM2hXQ(*dlO?a^@{Ljm+Tf z*K1PNoz%Y)S3Rz%jc<>8>DBTm_d{KLJD%FV#V!H7dcD;OOi=YlgTV@0mFka1i<K*` zZyd2!X_x^i$T=|4-JHNo0O(Ug27uAPiJu1<seiR_T{%_YdXgKe=eP2uQ7vylVQ<>< zYPFV(TQWFNZF`@V^6tZpKqdbb_vZhYer_ZNv#gdN2LEr2bG-Y%2ivT`NL7D`AB4sR zlhd@0dIB^C*f^KY1_6!}IFR|d)Gz|iV)7Si>CYZOm%zgn|9kl0aU++=22&t==Mz@& z=1*%<7<`l3^?%0UkZ*;o`UwgaOo8?bqlxXJa(n&e{{b$+G`{EBwl)$#FBQ|n?Rw3~ zGd7d+X$FrsdY1DzgUdU=m6I~#mej;57XVy3W|S5}str7vBt%^s?Kw;iEQ#A+mS;an zXva9M2xBNK6Wisc2g%yh!512Y`9Wf~f5zfg`-4p)1-X8nA2)D`3?;T_|8&Uy3%PS8 zG1$9miTi0OPc3n<r(f~^L9Td^HSgv8YUZFqUfldTYVhi_S6Q3hrZ~1^5b@aHu2BBp zz<`@&-wX$NxU;R#8Yr@WPi!xDS~+Y|vj(sJ4!pWwQv0*%!EI_7`yj5Q%dbi`E#>x2 zpC2Pxr2g-o@h~?&|6_c-4#(=a<~OgEV$;vmJWOotw!PDk#~o3u9=|J(_MR?NuiyXS zsk;JSh@D@yOgYb?3+%paAc3d_;mICYj4|$tDG0yJaa3q-79V{agki_knAP8D$8~D@ z%-=p(W&5)*4+s!@OidCLk|uNo``!LjpXFqEd3|yTm?>_iq4q!dgjM{KG^h64VhP4| z|Hob-ut2f%PHzXV_8aB5&Um7)P#-b!A@h}}VT?kH$sE*)i~@@pNNQciKd##r#shr> z8_StWN2_?l+@64{{yb35L}%~)$~fOr0)A#r@iXY(X;U0bq{eIf;^t1uAS)Z-Z1|vp z;~#@1#YR;&(Q~x21manPn%_($hJpGh5U7+BH7pQ>Hb9~GRdJA{n&6goB|^?tS$muI z$CivL*_gnt;c3D?pG&753%%K4pwzNm;Z!3csRDQ=WQAWSmfi3>L+fk<FdGGf3b5Gu zb<7}$tUmgls^Zw<cy=09agKOiWTV4t%xnV)TW5jEa64+MOpGfAKbaT?jMhEpE9=*l z#+_bzoy=E`)-f?KS4TfpP@UsL#^_8RZa#bQ)_MiD4V2Slh0XPU%2@@J(+&9WGT=Zs z7sG0RV}>ujU<Hnue=*AaKk{k+kry;t$NVKPHX`1aafmXu(QE6(-1vI<Vm&JA;~DO+ zI0EP|QN676vGem|oX2K--uc8p32Z_|lqsH`D!X(H2vocs)dZm(u)N+7b)nau!v>IB zLZ@W^38cLXQ)~QPzDPFa4v;#fxuak|GTqq5Oaks`0p#%yh?!X(&1TBZuMA&HkqhDV zMlW+7%kX;V7jxd;e=(_z-lRUt;6>(FP{T5SUHw3vc=7-z_L@6KbiC7K%FBz;X(F|} zl)S|PvyeKHC%RL>7;|9~s{gTt;3U<)y*p?Pk=v=SeR#Z_^6>r-jQI*YTlGi1{)!?q z@Eq2_b9g|4cV1yeb%6^@#$>8<v()S0#4LGoFnxc?*N^-qZ&$O$GHrIt#o29V;z+{8 zl69u6_9B7Ta7smR*R-YQYD(Mu<?6!Ei+8R8!3Za=<7(XZ!zWF*CH=jR(O;faZ7n6R zMt`k%R%~S1_<3ydtJ%UVVJt^6{jrePuTW!I@=qmrTSO4pNsopfw=JwYJo2gN*d1-T zz<14@KQY!f#E&kxEl-P7J$#jg0OQ~%EEB#beE<G?jK<OY?TtV1+rhGZV^1p?j?RUi zY){agQl!XP{mk6Y`jU8CZtjNV4U6X^O?hPF^S|bpLoJxKSxFa0m10x^H@r_S^8TR+ z0lj^_Q&|Oq!;6|nlKg^R-{0MFo;$TXcShq|Zz#Z5Ge-MZ$Xb%^IndtgFIsZ!#Z0}0 z7Pp>MC8cJ!sfT@t7k(ls{kB=sd->g$uBFN12{M~wM<-XZ?5~#-UoXutX;4i!_yH`x zQ)I@Y*71z@ecp_@_|f;$=#l(1g2fxwW(~im{=Nr~ABVK{9)WY5;%@AY5QaAnB>TRA z<FKSj8^lq~8p)9+N7>hT+K(T1f-h|j|2Tb_d()9Pa@3DiOf#ApKXYu$96g%J>8dEH zX#Bu3Gf`sryv#D@F~|{1ySVuv;yzqid-k*3wRS#20vzQHbZxhOm=IWScJtcap369G zdp>dg&^5pk5nOghdW!W)b5m1u_DSYpTi!LJ4;qJcT`6n|ZOzlQ)|!SxEGcrsbgg*# zl;B~8R`ZTHdE3RGLDY7iN58u3X3ybnbyX?;dg4*{W;8m#$-nujZ^OpZgFg#x#_CRF zD#6w|&b1#>;-25Kjp31MDXyQ*sgoBLuGy@E{=lB$A0efYQaU?sb*`Hm6O*%3y+|qH zt)0i?FS86y>w7dIZPjOAx;8EzVt-}3r|Ey5{(6GVY!{q3_;p;F*)(cNq4PwlM8!pw zO*Xz7l_2a_Csmez5m+~u6#CUAwDI+yt}6bilo6#|9<?bpi*Q)+KFFm7e~D~8kLdX0 zs1>?znHDEm5R@sm_3^<^mmb0~*5`@l1abk_a*SP&{<7<#eoEN=c>xB@v}?;|f5E~g zRMIkQIy>qMD;obeB^=&$!UbP8A9wM=?RjzGUE9{=4q7D>Cj~Ai{h1YKhiA=dB$mIN zHrI=zR|<Q^T*4GEy=LLE@igW5fbbygf6GTz!%4KMod?y45;BCwGWdyf7>`U_!w}J{ zaaf*c(=OJM7}@xL%kdAwgF^W9^7V-*7=_1@#fTCZ1;;YHz}5Mb1p5F*+tD45eYyY` z4e^$^Mgbc;WYVeO-p5YE0uDps8)7;IViUw!qFn5l(H9}zowFC~g1=ZDp_2~j_Y@q- zCf9#l4o`#y6H&B^jJ+TwN(kf|i&DRoVDRi74Cz*i9XN#&#oQwDJJl~>dq}*suU&9u zl=#M;asky{h~!$yJ({z%I?utF)36@ui@lj!z9;tz;1|SOVmF^RywE>=%OoL7Nz?zk zHn_|(Jaj9|=85}H`*f+RmzBYvYBG+VexjJ%{#f%wVx!Il=2BJa|N4-0hHcXR)0<0u zKkA+8VZ+x8=Uu^<pP|m~=O}ZDsm#W=&Hh=JGw+(;m6h#eeUXuSJM(<!;^#_3T{dg5 zkNe`2n4>e1j?11ZXj$Al-bRS?VjE~huMuuN8>RTZdh{phdE}l#natg{GhII~>Rc!* zo_wDut1Ve<x-EA$>6gJJI3dXpeRM|IaoMDYso<&k^Ram(x-`-IL!3(R1-|xvoN+@_ zRjn5IQ1$iFh;O{C{-J3KzI8%MveS5B?$hj77vAqjyb`ouYh53rp{9V;XvbxTNke1P zL&MNz=I;rttaVcFvZQcRrVO|3mp=AuZdftTZC`F(JV{+TbgY@4EE})R*50vVpTpmf zwi|!9mG$xK#S^RP#cvBO)%e0LFY}}x@bu5M3aXuMhALJ39<tvC1vN8A#7h!aYn^rY z8^xvXCsKYtOv2J%D%{Vyp~=F^360@W3A)gjX^`X6oHvT^IezKYTF98R{c^XpG$X9a zyBGn(<Cc?!LSRFj-)e=Fe++UiH4E8!eBfM+;pq5-oaryN^nIIQzWQ>|9v&yZ_^S7# zq__SGj6wB>xAh9!0!2M(hK4L}+eLMKZDD;!>tO=5leKa0;x@S1Z^q}Jk_WMYbwc(> zJ$15ac+)z8rTP`)`yupQl@Gd$Oz9w};1ef(XXS(82va(ZjJt1U?tcGjX&m?F@w@s$ z)E%(&xLPL}g@!)v<e~jhdPgmVh1q#WYW-CfNAtVeX%3u@oekQ<1K<hMJ#_;1CVwVu z%=?jZg7_BgPzR<Ye((o>@;V`!U*m%KsQBj&nJ>J+CyApp2WtP$%J^B&od5@>7>YWf z<%U0L4)W6-4e_IMrs)u~{ULFVDjRcNyQyUB%l7RGP1DRQDbv`r*6i9!OEa-vYxT5z zaZ|^&gGKe3yR6M?^w~{33p;M1ABDz-k~%(ZSrXDMT_#iC4SBH7Z7=xU&HRv7^N>b0 z+x(=S>m6f_uw(j*8sSyk&TtLP6)N3nuRA+N7~A#GQfPZ=aoYH9qGjm2HiKtdoNoS~ z#$Z&NQ+e(O7ijZLSO3?dtT|@6&)ZoR2Dr|`jz3<<(Ip0$QRYxtW{ce9i>#L?s)k}@ zQfZib`n8$+k`AS^I<3Rwsk2{Phn!MMiRfJg9>tb&rn?9@W|mT?3l6Ou0Q;W(N3O&A z3#DruSxfZ|mxWhrYTM4YBj@AyrcR679t9m_vsQl)E)^vHG~?Em$5|I@Ocmn?p!j%3 zi*t$-c>gKC47%XP30(PN(GzVS^{u-sc~2at+N{aAVm?2vu<AClF}>WUS0n#<IEuAa z{{Cr|bj9y5SEojpoZW*|&t7ohp45)<eU|9X$`|-#Q@UNo-<6z-k=DlCw%@8=Mv*gm z{ET;f>D&2v`oZPmQFmZfXXBrmGetjl9>uW|mAfE`JXJD)M^+x3YM`$)9=d$gbZ_Z+ zDsErK@#U8=zIL}tL``IL_uY-{iFY?B&@Daji<vq)w?)Ks>0$N`i{fAabFstXen1A_ z|DozTqnZlVXfGfjMG%lsMGZ}aAWb?bN&uxwC-mOCH0eq;6e)%vReF;idNV`<Bp@Qa zccdyHD4_Vpd)HfUy&s%}#hf{r3E!S??{CjJq+I>bwJss({s45NT8MF<HtjjizHJJT zw&aPtm-!=1y=uVr?bN2C9-K=AzIH1O&L#SEO_WJFSH$Ag?mgf4;kBero|PdVW>s88 z3<8NIAPAIx_V!V0PwHfmZ-3tc|4WWPUk=@Y)oEkt&Utrz9$>kccy`5~VoB1XPFmd< z%J7DfXKqYRJ^qm~yNpgakI24V1}E%-ygTf`#yD42{sxMs^<F6dffq?T(01_bROeMF zubx-Sr!g(^Om8<2^z#)tqS;9$FH6{kCN)WQ5Ds_aJlwv9?9Df|CDEbi1~iB&=;+lQ zP0x;L3!_|FZM(d6*1ry>kIoK{2X1AuHMdUhiLf=hVmH&B+Dn499DlO1xlZ^l(rz7V zx82D5`Lzi=&VAF(|8Gj$V8bzLqWM%ZU}AU@%&eO9^~CVL)Lr&>__lKM?7eqmlFgaS z6q_-26CR<XHSzmrT3=zk%=VJfz2c%{WsIO~A);M5YM)gS(&T!_A-053Qho1s_q{q? z-R`|IZ#ule=3(ZxyrNOgCzcADU%Gy`_$ge4SBgLdy<B*?af9gdM{ryw<h^W$c!l_? zxu2q=0n7LIK-vfOA(`=y*+AMSA3Eg~t{7fU-8K`h9A1639a3E(y!3YaVRfbOO3bzm zr~+U$hsYg1=F2v4>gEvK$Ajjc=Cmg3JMI^L$TWEJF;ih>%=+}&=VRs$y_ogNoePKg z$1~Q#Y4@1FrxcA+pwMLku~fQpgB7?h@80_Udg>>vt-pC->_?KU&vKySM@3&xPx3sQ z5D1e`i~r_o@Kz&4+fO*az)|J(c8CWkYoN9thUu8&G{-aL)cvS#xNu`_vnTBN9w+PP z^j;osr8UaIi;SPrf_mgt*rmL>8Ed{$+6g)u*23!!x`Q|OYF~eT`--)=9KAV{uNf#Q zk*784dF#5g&{4WfsBdWdpO1xP4T3$nVsGf<X$OaYnae7;$A75sWi61+cvm6LV&tdT zYrrD%(C-#EuqIghkI%0^@3OH;4|H1>ys6@NW5p=@IMmX%Xn*fiXmfs-=X0^(e6Y43 z-B0k%Jn-Y9H`qK<14A`nIaKyzxK9UZYu-4o&wYhAZ2LLAM5N&MQ^-?gdmZ|~K=At6 z&gl9}>&#N`)=xp{fr>3HQN2i`bg$DbW?p%Vu7W7-#oe&dlSkZt>NEL|;*-0kV-?-U zwdFd94zFjVR!;~X!odqE=O11Z)!B1qINY}KI#YbUHL<@A#eSY%7+tu^^IJk9xtH-S z&+hl2lT0P@toKP#3Q6iyn`ofxKapOGi{hqJm)>|C#Y9IfeaHL3f1|Hn8_N|8D5)xz zq@9<uULDII$Lr=_WRwA6y*rIIrPVm>)la5fP0WvD1a_?KUM@^X3`Vnr9#q?Z#S z(ikn(r<Mh&+Oq-m6reiK29hWhRcwgBa@<`Y6h1TFFwtO>k}B0kjrvWc0^3bX&zTUg z$K(N*Q8aKFDMcn`T3Rt}Y)!c3ZbFP>Wq^yuKk^uDU=`{BnTkjTE}8`3qUr4E(*Q1- zSwC0Fv00GMPXKFPanJJ*P{EgEnTpT^&I8-XL}16TonV=Y@@u<yG(7{TMM+Ov6|kID z#@Iv9^G$`FU7gGGjDpC<-dAS2Uo{q;PH#$?1eAQM54mlfp~@&J7eI9`pW@Y%{67J} zkx??3hl;=BYVGu=zdY8l8I1m!uT4`~cSWCK9crM~4Vo#n)9=)#+_ZQ&#h+lQ3d!A? zmTW;8#t<vK3dCd!tYR7u=l2v)Nm7@#c@<?_0>tFE5t=Kx!q%~DkHl*}q<a%PcM1CT zZ@uoHzlCS|&pSHMhRW+>9BvN*r&0rTmHHy-&gYt*vk)CCvNM*c*Cb%dtRfTH^sSgE zb|z^1ZvhuZnVTRL(s~-EG4211eYH+Li*M1{C9I*i3sYQtJT&|Rrquh~;J(}F!r}AK z&S^+y$&V1jVve_vA0bu6)attoWxWd_<PJWBN6GJlzBa(<$(lB;`;+7)2uJb>({Ey_ z+I_z}@}8`}n+{ofM~IaFA&rhzj6D=t^5S}%Xuzey`KNN9J{o1Mp4F;`_aRo{>M2XB zaK9GU@_X028<YJrvnoH^S|ptkKh;H$%QzTT%0NUnq$H7SS+-QGZVtjk=JuhDHnSno z3|E;AZv}ja$C`1^PZ@}hI361Ii?DyU^LE3J7jyL~y?eVU>x2UyJZD%Vy<e*>cXGSr zVXI?d#JMDOkX2z^tmLa8yTT|uM2uy*JdrbZx_`YjQQpHKoDP(C=bE1OT<3_M!#u@x zS~{N97S^@mGQw*!d*eBMWlm$?ZV%?Y-wZqcSxM^>{=WK;qh^i+hF_;)imA+GhiXjd z_>zm^bJBY>3XyO9avuzdeVZIl{#r~k$@r<EXBmDR+jJ)%S3YB*@i;y?-1Sy$rle1% zJ$Lf7<YA_5VFYD&olgHF4!C^%Q=%;gul%W|Bv<SgLwP>jjrXe><)xB7K@Qx>o05k? z)`byyZr2V+RTx6wCy5Zgg-Jg7wbVQvXx3G~B3fB`G2!hnAkm{DOy<5O+OMMc(*2qU zPUY4k_iLg=mva2%#MGgTZAyfv5TnlolwlFUvmuA%$tKXbW#rYvHSXp#$MupUTg)2c z@Vv-hW=(26%*ZG+j2iA95@*(|hD~(4%5}E^PF(pGjFg^hj3dxP4$ub~CM!pEa8d^Z zj~xmQbui^|NP;tNT-ftn&g+_nIy8uWg3=wqR_c48Tr{wiqj)$e#DvGL4h}^b^Ei~l z8Bz8xFMrK|F{T@PFvK!LyiJ@Lk_{jSChjQ__aIazt|@U!ka#w?l&D+NHy<kYL#Whg zF>$>j$QIh>IQ(VguY^Xmo>&MKn|(^$XVB0#C8}=vCiKh^sm$AFg0t>`oADXrYzyE- zk2$CVw+BN5Wpmtgm?>_z17;&RKYi1N!VZaZAOja?n2us^PXMEjg&ctHB0hs&ZBydv zK(CG|Q3u^amr_JTX4i^vQM*d<y~@ZIjruseD&!#3EhRz#LY3)~66Xww&-6%%V(Z?! zxKk{)H6xY5D(n-h9X|VqS%uBxq@iBK6k*b`n-+}^Gx^2&8s4L162+Mc$61@;I8)%* zr**2teKYx+p@qdloJj=iy0}STh%imwT{{L5Bgm~II|flph$xL+O0CW=L-P9-5{LQ= zWe6S8Zn@qV!liDv+@KF3A=ok0n?V=^?HC$#Aykz(u7hblE-QzN@p>;~Zkh~C{dZ%2 zn!Mxs%TqUzuM_Lzrg)I(p?WprLymG)(k3J&+8#H$7>Bja6f#2*u(r`cA%=rct2aUq z4GB=2L?N4rL8x`k6!$GT9G9qT>amTcgLAzSM3}>Vx$d!i08Yz$u5Xty2)n;%*nOiE z8PKiRcq&ydDz3WsrSW7?&rs{nJO3=8cv&n?EexZGC78Nnza|GdNqdX%_DFZOU(Z8L zgKhasUGsDsn;S6~*)=XV99Yx4Lf3XQ>Q4F;d7B(hiYR1u`z4BcCF~qGviX`~lAild zi(GMk2-^+W8=Bl%xFae`f1Pjr9YHndtEdcpXvvy&&lqi0_@6{6gsjXFB~6Kf=$_O) z0(v-xaq;&pGS1<PcO@)?HJj$;d!6a&Uw;PE$b?vNXIw}gvRZNHMM_e$+7-V3Ao+^b zrV#y3@(Jt7xtlzl(fdG+xY#NXp}mqmGB(`Fg_4Id4uuh`lGLX}6^6Xo+Z!|-ydlmX zSAB+F;j2`%jPaR%{f{|Xv+Gmv&K##9_Jt8O?$><!R2UYGVaF{ViLg8l2$iv0%4=Ro zys=9P+8*-QIQROL@S+0}ZGo_6h<yXX!=52|9E1mmV+;rn$CS8ZNc^-_N>t+XP5CYY zM3hja3GtqCW602kt<-&lDw@I=Ylon>W?_tVy--m(SZb{xN7N^fM>mMgxHH5mK)k12 z8InUG2h*M@5o8c58K;!E<>^QlLuqcIRFT7<nKwy?9V<nVne+{mnFhq$$d-hrI6Nx| zJd9e8G%_loSq*myiPLCQ!xp(+y~R?mgg(ZrPQN5#ZR_D?B_Q@H;2|X@JXUS+hYrR( zE4D}+5wxvxyUM`Qprp*};7I?9bHf;CUk#VvFu^%?0e~>(v3m!HD%PnHp-7&&-<Fv- zZG`P##;O1Q-d&GdM#0TIOmVA8@Q{53&r&Y@;l3%)N+jHd3&FGeR5C7#Fnu$XF}Z<~ zmrh$~<**PgpNqh4X2MBxO?lR$;n1*pHKIC_=f|JWp^D}+ix-TJ@cr+5-&|3%3LQ8D z6KOM0e)Ka5oO>Pp$;RZ8Pzt<X*F;$;4Nmki;i<YBj9ZsG_>XvZb$WE~9g~(SR5YI5 z<X6=jc#o_}R8<BXM`40<ONV3m>Qv>2<0;Vt&l`k@VUMc@Haq&sgyZDb3i`Q)<K;K% z`<bfOI1tmlL)G){DEK6u6XBbyWPtg%N&(SLsi18UqkQ3`OWUINAAQlZJ!3916=%CQ zFX2JRUUXyUm@%C-em%_w$X&DJM8*2v5*>%kE-@QAPq%*->TYj7`(a*EL(W$FWNO&| z#Bd<%dg9*k(0xbC<8*VJefY7<vs(?p_e|yKes=}u<t&%npmVq*5YDjoal5Je#9V_{ zIDT%~=lGRFV7zI)R|||LL$3aNiy%#2aQ)?$yU5q`05iCe=+1hzDgKi<0`tLt(nd}Q z*yRWzGrGb52_*#RQk>Akq(SHkTF54e09_Ub(^3735)Bxf5N8*t^<~t5m%c@lmsWpS zniu){OMTq0ClFCf&@y3)TX9mE%gcQ2mXtErL1(|M$1C~e*hB8-4TmHAT@C23k2`yb z;U~yR3m|&crrWK4PqZ-`zTLOFqkG297^J5qzvcK<@$cPea!y4-;U%ArmI%Dj-*wBA zi&8}7ghZ*rh4x2YPOi>^C_=AM`uD)^d80SVoII+xH8@Z}MwSJe285BoTU+oqn{JTZ z^{v4fT%EFTuER@L6miw#PTys$`o~z0GY%P(1LPC31mOdN@_xB&;UB`K)SdVN#?Iho zKjr<qz|u5SAN=!!1*XS(@`FV<epjp_AIFE3b^{A11v0RzIqp;2Zn>GiLnJ;)==*do zImvAOO*>bJvfIE5w06TyYu^(4noKQYSBBVo5K;B@mz@{YCQqNGoV6uQ8ov?H|9hlj zh$xC?hB(^>q0rA!_G&Lpz+)TZ*d`&OwqW7??J$t{<`)i1w<&JTs=Lyd$f*S~o}xq| ztqphHUH5C>qZ1!0Hb2EVN{Tg<Br+zM9Du?vb*4e@Qw|x<x)g#nN9Mg3i6L||x0fOk zAD+zKw#iE*w)Lw3!$cssSt@m=On6ixXN)QSG{iL}q5(p6+6QHD6tdxSK=Oq6)lM#o zyDr?>5=r}9%jwJd)>inD57D-EXyys+plB?K%*N<_yu4z+SOZ^pVp!&F1c&Ta))wyM z$4O{Ve$$BQ9_+VWill{I|7>E-!S4q(-Sg++f@zAH?|F0WFZ=_a=fCwB?N%4s9k?&x zW&E04_^6@B)&la2IP@Yy#^kD)91YaLUWrH)Qv3DG%AH^yMr@p$Qxg|cMbA1thqP!A zNR!J=mc)5!NckL<`c+M?iVDzx53Yob(jX}0+95nVG7mDjiC4shY0Ub-7lao2&Xz+? zQdoa;tyd_HHIrP_mEQgV_U$7K>}OE6bI@6CG?AgJw?fFvB$J#=u)fDJ2y#h?@Zxo` z$<;a@8XJhC61G5tK*nW{;6k_Z`6@5fiqM!r>>}~MHF9pzi~rQcy(BJ*{uB@ApWAVM zWEyMoUF4h2vA_F{ldF4pVry*0&rnm<GeP?r(bDs(EttE*-|5Wm>kfWW4Tk~opXz&- z10gM(U{r-BOTIk5j2W4k-3p4szxTwsj{<sr5EpNK5erw<82Wu(ocAaIyE(6*chUX_ z!$?T7i|_S8wp7)|;Rm_zMHai3_F_^>)Jq?kSe>k4N`>!c(~UtMPimew<VS~^jPxzg ziumo8lW)Cs4uGKFxIxgz4*z~A(8r@ELS9pbvnPix&N*;Si)Y%B=D77%fW0QT&2l(t zSfg4$6H-~eNsY*j<dNSUe#pF1e{a?<ZTf~Cy;%95F1Xpg32wC-V7f8SQWN~4hY8OL zB@#W~3}@{6M6q1Q%MtbXP^z9XQz%>^`1PmK=^eIO8JdTZ_DZ-up}6VS<WxKDdQZrU zcHX(pS0X*uyv9|8joj9}ryn}No(-tbvAD1Kd{E&^6W_a2+Lr7xn=Aa1Z~Vk2h*_ep zNv-Yj+t=jo2{q(fj81d!EW*2gF5V$)2ngd2ePM3n2FfQg`WL$%6ew1ovfl@bLNHN} z?uhGsPp0e>q7+orrySFx$WDOEFFA_aAGrK7qL}>mKjHU9jN|5?4bZ)#(|g_?W!?mA zNtIEdO={9hdQ`D)z!<?tg?9t~DT-VE8A=a#`PkkAs}-AbPL%n?lPTN8s8B<7X{$H? zb1?0Ykl^QlC+H*D>68Km@OyFk8<zF*)O+&m`lw*J0LR`Gq@v75dG!lWsRE8oqyokR z0o=a$gSdGizfx*HH2Jq{RPDf^fLCQa$>dp%h-akByty2A0KAU;%TaX?cS-&LkDg6X zf=;Z7STb-%-KGi#v^OsW)YXA6X&Kbj`Igb|KwTY*<2sDI8f<J+tE2i=L=7uU#=9%} z0IT?im-BmM)T6V<(gih9wiD{o);U*$mG9p=DZ?S&CG}e;MwuI`Puac!1A8KE6%7Uk zSZ`*OEef#S_^ZJhmbXq?a5nFfrmRz<%oS5=@ehI9?6p@<2vsaG%?oEng$EWbtlO=V zs0_-Z=rqQLC|SnXOyKo2{;$<i+#E~t1(*%?Y9qL-fsPRqHMITP%cE{nOtyPUy}NnS zDp%I5|L#@dT$n{Z*XE_|qnrn1UCd7XCXp&3+#_glQq5n_!-cJqpPoj1sgeC;WaaSV z3#p$BuTF9r>9NeMk%+sXvJ?G)Tam?y=t!z5ol8Hp*&EX1z;z#0)1$GfO1|x*oX-_Y zzU`})PeMt~?(-m@;hdbkK|6n=Z-|mpMC?XwW=Hs-k=4dN3#ng_uuifg>2c7Vk%$|h zU*&v;WODW+wR|f2Ba+yJeo8loUCL8xVpOPQzVOdW+ula`iUF6{y-f3OHD6*sc=^EA zgL;hTeMk>O?-5Cs^O;H)skpi&OBvx_<a0CTvQNyB6lM^oe%?qGvujR7Xe3`qD!sIW zv7(i@)Lo*HRmlc9sb3~AE(VbvX9|u)$dF#igpI^yk|v%S=TnIvlJL_GQM&Q1ZGn#v zBCnY*tOtr#@O;HIa`x{j4<7MRN!S!Tu;sffVIAV%JM&+om&wX91BslxtnS5zH%&$$ z@FjJr^6rmnuW{Xon-dxYYVcCoh(-opcSj*tZE91Mic%i<WW=dd<$+IzhKm39v!b*7 z_uA&=!lBF7OP{ybMa$k*ch*%en^Txb{5h3wcp#l!N@r=>c!-&Cd=5bfxO-Obe6{mx znmHL9=o&B_v5=Y8sdQVn<4>}421jx4H;Z$DXI@u)2H)jYC`@e89XG-S9skxhX_}8M z`yvg8FHGFaw2aCu?JM`Fk6oHxRO@s%4H|0s_Z7TJ3)SPO(4#w^oza>1DRXp9_G^Fi zXQJRugJrq99*du}^XI{7?eUmx|LEt6rtU^%jt$JuqMv`hV=6Pviv(R1OX@dsZpY8) zbo!J{x+WjDJ^Hf%&R(qkcXo?CINNg~X4@~iZQr=W$*3%&9-Q7b{qJ-LIK64r*xhVh zr(1e1Cz$<Q0y8m*DZ0-xazWRgOx1C8J!y75=gDcP|7m*GVFrCh_iQIps!75h#lE=Z z<|6vH?yvFbIlb#VN9fzP+ooAEWo0LHNnC>AH@e=>EIz*bWn{JWi-Fyvcx#|?R?B8E zR2;FE%QkT6nD^Wsk4`W&$6Xrl{7CuM)vffecik6RLXPAE#ai*b#z&_+lk1#xmBVg! z`kUVReu{W~7O$s%w^;O9ye)haVcB}-a*Nl6|0X?nzw>2eYzFu1_g4*gUd;1-F2-c% z^@Vqb+VL~kxfeg_--H)6O*Hy7-II`<?3`Wr<+!o%T*tU)&W;}ZDfGh~9n+76D~u&c z_}Ibwe=5?yI*GrL4}vr35t3JeMlI{YIy<Yi3(MD>y{Yu@4IwQ4ja?pep~BR@IOL-f z__K<~C5ztY2$?N%tuzfwcqY%0ScctTMXFtxEK1INQqy=PdYd!1a(Epm=eZTbLf^pl z6E(kE^g!Qz;OBYp6l{c*@$J?a<jt(F<jIUbj9lkA(z`hGlZnl!@ZHL44{Fa*y+3{$ zlHV3_0e0x*x5Y)i6@TpTdV7W~TU|_xcYt#koT`>ayn2c@jNxiZ(=b8vi0{_sJj!-U zWwZD<PHwtcDGx+`)2oz^yc*Xn*TmG^<9$+351HW_Fn%8a9++;HofafR#4merov}34 zP78_UJTN#W|E=Hg*`fMr{5`zS$g+yx!8n<EHgj386o4sio#Yx&fPV9UOp8WhE&Tzv z7PZ7i)B~n2BIT}+g5?<OAo{`3hDyG09@W@t%Yz|CrF;^OO7#OS_Ijm!h8=)YTKQD2 z$!nx1Ehx_yjuel&NIzL)9+(?ajoFqz2t{3%u&R6T2tp;X!W7v@WO2fFg!CvH9g&pY z7fG$T`Nx_evW*BS!)rvIg#f4oMQ|Upd<Og_c3<Uus#pL~7zf3ro~oE5=1X*x04X2j zbDdw>ZZOR!kt1iXf0WN)dWrq0`~ee}(a&rHb|1wXUT4QN<9Kd`G3$;8=6&Ep?0%jb zfDW%Ws1XB#(BIwZno!bd>L1_pr5Wbgp7bypUE20C$QPajP-&j8D0PYbd*_2k9@G*m zl#zXNj853SkRD;jBe>>7C$~;)@wg+U!kB&L0|gY#m}B$<GDB(!yEhNG4S@|l@qy;V z(3Q`I$(~2=GOOB!Eh7iocF4EEEOBv=Z#O*7C&>bMUH)K5L0|;^o>X%R1=fM~kRHAr z53pv`@6$!L?OrRx3rD`?;TefgAiXjzI1(31nmB!TB#P`bf^2x5Ow#11Ro9Cj1h-4u zVu*Y$TVTaE%qLmB#4cu$&%ktvUHoZ2RoWp*R#g_g^ibO=_2}I$hBCr6V2T*Z6Iyww z2;?|oZxo@`!LKPDjgm8PME3sJHofWM6o1O-Z+z1_BbxE#moion$>V0I%t&VfU5?9a zC+7slDkg{BVf?<>qq3Q`rLBk8`KYwhYX57M1%`1uAm0NM_+wy|y}gX40xSJp;DN5Y z+Wr{78YMew=>&ZEtW?1Xz~p!vG`+q2It3&Jx0cb%peY~7HT2@<?KZA}Uhmap)DmR> z=d5N2HZW9eW+X3Xoi0{XkSDv=EKb1+1$rGNM9FHPEbdrm@G_nl3t9Vit4l920naGd zf<a1^rTIE)NLVdS!LI3Q`@p1cw5$d;m)p95DYX_aw4A`;8<ou=Zj@g#lsR#)^|(0c zY=f_>vg?WIOVif&$`j@+;kjvSj(O|K6+g{v!sMvV<ltTi?22Cr%G4jG)e2+TMd;2A z()+^%Br$Wu$<(HGpDt7*Y;n_7pm|-T1l9Dnv|&GS_$<%&o}N0nl!Uh?_PyCB{e@`F z^~tEg^JiX1YI|udNiii8qpqI*jhL>XadQkkS^JRb`=K0%W?*h3RQR^0J1b9*OQDgk zsfu4@g%5u;$0WD39qiPI)|{zCaec-=8wg2h_E9P;)1DF73|KGFUQGF{zmis1?`>Sf zn(^_~fiaY$0WQzq+_THnt(QP(esv%XMrYzSUW6?0lvwvt(Gz|YAUJ5N#;1^O;A>0v zQhe)O!lH+*c{N#fwY8*Evx1qf9-9Lhs3Sh`6aM3?={suO)Ox1)hRZExnzDWGbBc{P zv1u(PO^?gL6u$7}{=0%hk)3uqme5HNj+Ad1pbuS9;Tw1QY}4I{BEgv)V$7@G(`?Kv z0NoDq=t<X<CFVL0E|-^>g^i`-)653FMy*c*GZcuNpj!v76u-uMZ_Xs@6zENZ(%(1H z4!wDf9>^8Yx}Q9UtKK%PbO{oqug@$-mfl6}*K5yw#x0ns%OYAcTpz2d>d~AZIqo`% zGXHIq`(&z#@4njF>zmRpPgp1wkiy)bcgKu~UJo4eXtI_4j^9-<j464+f3h{oHu`MI z(O=qrVQ_U<;mb!&c(M;n^!hLRYTgpE8yg7S{T`E3ag^M5rLO38x{kX(vBA)QR@Z?1 zH2<X>x2+T49?J+|u`6jAK50AallUog&C5}|S-exXZ|jfF3QCAGtiK4&Wi2TiY-f8S z`$}~7`bYHjWE*0Ms;I+aA#20&(}d|ixBJ{8uGyYE2*wS2w+aL#O_R{S5fsl_y7kJN zt%@~S>D2*S89IXG71dS^I*$Gt(-&<~s?hb}gI^Z=oDJ}>a@JVeLy;e?w~!G#^_N@u zXc~TIaDZ}hy~z1r1<X$iN5{}MGl{g_Vq$PtTdPSqaI6m25vgdy+xOqAh$Fd%nlPr) zoGH<SWer&os5t`77wVbUM!aqqKWC4b=P9jxOt~j+6xB-tl;}?qTSILp!@8l4sx&*| zrNT!s&&C^-magx?+^z+dE}x5SwGd}DN$1k<F68F=d-5e3{*nuM8}z1BLvGPpyLwBj zb<5P(Pj0=$lPPfK#~!J6R+rr5Gk*88;gAELF9GXs_t7Qa2Jg*_YA&|6|9&(u-?)m= zHFa&zWM$WAyIWV-BkQ(kej#(pes0(n7}oyhxJ@ccZaTPXGVkPqNy%tolPKBlQ+hIH z3by$}&d0Pi#wd670(PqP!ryMaYvzz8xJEw8<PvM_ENpl)q+q}3;<hF9w<exj!M?Kb zji$nE>a}OjCNL5mC;PKCQm83Vi>`bn_H&h4GgGLp{d}mbCTk+=ug1f=lwLSTt66Od z{&f34`ww&WG(FK6JbIN;O1!b(yf1#v_V>a5!jqt2TUFaOzWYXd(_Ahm8Kn1u)g#}A zuJ2cW+c^8U<L)_j@1BHIlSu1Xb>pPmkTAbyuO`edNAn7AiPNBUMTuF7^Pp{8(RP;U zPWUkG<KiQw_{_IHXoJv<jQ3CG-gmtx`a6i0$!45a90h{ymi+&=TQ-T?y#|{jD}^26 zwi$;x4cdtIP5x*Tl~7-OUphxnCePZI@6yZpBr{G9%K81|<8U*5b0Y6Juh~Q!(Kczq z-oe`sUO6gMQ|)4KMjY>Z)+4VO{aYtAIky<<;e2PKsf2M~t>SRw&$mi#)r9Skd3V9^ zjS}3CZ6O5VI>aDDlFede>*-Tzd7z5=ngn(LRaCqFa-ab6b(fDX_ZcvOkeTrOU<t<A z*9Atj4pH=Dt@DM<C<d^$wL&2={ZOkip@%U8P@5JZ8<l>jb<q?zmpYD&X#V4!5T{D~ zy;C8kpdO!;*s7~RxF;!ic?Q*RZ1T8cvblUtoqB>IpH-|VqdyziPvnhn`{{hIrTSu% zcX&TNu~+Jqw@e{xa!tTQ-^50jMbdkm5i~%$v*Bb#KPcX|-b?xpjjtoHZIug!gr&oK zm`tMNQE(i$2~Hk}vt)IuW?Dd;l{@beBEEP85e~3l_Ev=`Hrq3JsY4uQUc+&kCb*Eh z$S4k*<iPA}^dbQ#en1&!ay^u}br^9M&UF~w4~Sq8(GjXG-PLeXBGKXFX!)kLmzk>F z43O+LV&_yeA>d?&sIX|ud7^LmnZTYQI-WsU-)H;d^L|a5tIJ<;UqS{eC_7n$+y050 z7vb)3$W%3^5U4qVgql+x6D6sQT?$`EOTIF;Dnz$}Lf^ZyD`@7m!*b?#cs}GWH&FJX zKt@#o^&Nr4Rn@6sw-GkN(X9n?4LIwe;kP2Xx;80^oQgondkA{0P5BhUk;z+>_;6$9 zwoOu^jl=A1YZP-b;ZEi2fnag>HLocZ;aH%TjHoD5x?QVXRJnETcCBtwMKrjoFSx2P z?h`c<4Ud99M49l|l*4U6@y_~ScwaLxuPxy1f>ULPWT=|o;~CA_|L*17tu7f%@R~Bv zykYXxCe4OZ@=l-qOB?wK!9GXF<9oO&s;PJA*L$zxr%KT1_pcsL>4ruN+nV_gCQ80M z)N2(Jmy{Chvrn^;2j@Ayv>}}k<Xij8zpIeT+d8h;xuj(irJ{{X0D@iv>tjI}wOTh% zP|!C#t3|3!+KO7%H%sSloj(_X!QrIw-)r=sd}y2C0a>ecZ7zSxn?P}zZ!}B#$Ab~x zg<3r=pZTrkZWp~|s)JMF*Vl{B?1V+{e&$C`SLJ<*(nJ)wjX`Z+LS%L@w~aS{uFWon z@BJ`+`7uoUiLLQk*0-+HeakM-S`jN+8qz+o`&MR3d#Y7tUaQaWxn8lqmOZa%3Ajm5 z*E#>;_3+a1=_T=FCs0LmCkb+XDFK_oqV<yQWP2+wXZKRGa2}o?t^T}!Z;HRwx@HZf zklG%U9xnG*J>iOqlQnBAfvNlVSwnEszhA1O?Wcsl6<mDFle@=yr!@=u#Dh&J2zT>u zVr8D|)MwG?{i``)zy8iODE4@Mz7})QRfY;X3tCCuh^<w!gwcljkKgBb<5YVad}y-1 z`kPkJ4N47SN5o?^hlqeLDW9!>&l-^${UTFV8nw_CPtXx3?GsV368b{QXXDa?)1X2} z4UnXX30R5oQD_WDMF_Cgykz>UF6GVUV%Edjx1IL!#~AcoEJR=))Bm*uu4M$HhMZ5% z=DzE@l(2lF^{LUZ%#_5og-ry-LBix6>KMv%u}YZ{S1sVy($=7OBH{f~Je5PM@{4ix zZBB2KWwbgqznQ-D`U@=ECiAdNsn-XS?)&yoS>9Ilk<|7C!B+KFxlYyMC(7;!)}+&d zC(7o(ORJwK=U{3>e%!6lP`<$<)sZoGsC5|Ed{Jx|?-ZF4cTvXpnWGy#49DUew6F1> z;L};WSjwG|xgI=_S8nZ(FW6>j{J;}^h3TV=P{XA}w15+lR*9*E=B=3KNJ9CG4Go4y zD<8b<F+YO*-2Tsg$n5XWFDO<&9t?%IS!LrZ)so*IS!Qu4dwflu6KM-e6I@sd4D~mq zdXxo0*&>uV$Dtc>5UN;=noJSAPYLEj=k&C;+tzVO-I|S;dnNU^Vh~@(>Q9$tYEu~0 z-<6?39T}B_DB2x?+O|VvW*cu$-Qi{w)m6dSpTG_^gzG#}j<48m<zb`54}Yb9Np;Ll zmESB{Bj=axvbl)=yVRGb`GVcTf0s5?i9=pz%F8ml_weCJ+~$iuc_xYF@_Yg9OGAM| zPQ%<vG+fW;Z->k5=d!f87(ah{0~Pi@rdV#}*GBEL+12sdXO+W$ofgm(pLRCywl13} zr0I8jFo)3wG<y9k$Tb#R_K1b-Cpj&9yn^g^ftN(c{yuoo3GFhbCg@Gyml9HV?da1x z8VVEo*%;SS2`9S47(JX*eDshB9Edfu$%3V~32HbdHU=pn^EdxmG6$8Q@rME$fjmKj zj|RlK)N*|-4_^cy*Ov)x-2HY~zC2nzL)m<1J=p)Z?jFn3Na3oZ`+Zx&10`;X%j{n) zN20=Bl>A_pC``_m=_H4JUH2>GM74dZrmSX1?T;Vz-^dAby_j{K?^w;68!_-vXBps@ z4ens?Q=IV7JSMzPqia_5EJ5tJeeXKC*+kRZKBIh?=2WX-MLSE{SAWk6+H^^SxDoMq z>Y9iE2N|F3qn@=Ydh`oF5H`(i@f_O|6baUvJ;sKV8n}vxcoQ}eDnU{{`=A~>AsTdc zF^OKSG9~{?qQ{C#ClZ81zBc3tfs8Uj6m<Z^$$-enVQx<?TY8?05l$mSvyU{vn`!Wd zij}Yx5ih-N`3%G0RWC`M<-)xbg^gLogy=0(obyWU>Xp_t3UGRBETapl`8l6Tuh(MP zVy_L$lzDw1>At^yMz9#T63o-Qi;`b4!%Jx>9{K!PuY&BCoEIR%W!^_Gr-KKHUthG@ zx(b?74a{q1%+13G=G}iRwmk7Ma`_^B<jlQPw)n5{3i6KU^%LdRiRq=+keHX(EzMk* zujG;^EIaoY=U&pltwzKLT@#_AC*xaJ>am-nM`s6<=y@4Y;(Z<fu-FFJoB6cI*n?aH zHxv=y${<2D30fk0?98s9vjss*6H0!YZjTkQvEm3(voQe4rEshTO!veorOp(YZH~CM z`=h2=;gR`e*505+fGXr9;JITWs2~z1(E!y@9^p+o-)oY@lfGP+6OqS0y~+T5g`&9~ z?r@4fT8`SHF4fSJwynU*=v@;=@BS>+P-fzhO30WK14vPKQK-vXAc|mB`3De%jUu}v z*!ubIGBF1Cw7FUX9|B~6Tk_n~jJH6OQvNA8h9U1Txc>l%BDq_pnLn5q;dlMM)M+OB zgntOjInB(HA9$u*NaU3o*|3=naepRxZwIMPCW(zg=|@;fCA|fjw+C7y+08E?9b3OB z85BR?ncCS2C=KOaik9wbT{)RsH7Lp(4{E(OvsAto!+*W|$~<<y(7gNnjaPCL1oaf5 zoG=D;h=Ne{V$|45;C(tUpBSg7A}y=2R9)9ls$x*#0s2%&ADOUx2#N%u{9_VYk_Vv* z#i(r+!c{zBKF^(>j_9f2m|a23hMyov<2&2s^@uzJOngsr#gq;c4pKK0(mJf%{-jxI zEw)j)d_~*{uNatZnW26jf5q07u&3#k$>)UASF$a+{8q$dB>nLV2aRhIjxX}bS35n@ z1wCwCXpT!l#p<gSg)p9%5*YDPvBfD|H8I5ueip*flE$X@!w0UoZf6#)T!FY+8y7{} zzk@Kiy3!2nK^+o-i3Ou(QVj2V0`oBhP}$MsWJX1BnZ6<=1WWse6S^=V^~N~kDmW1e zV}!V*)IUHboFdkUUka?M0ixXbhbTiS|5~~MM0o=c#rRgxpwECf>Tzy^{^1MW|00Ux zcoXH@F_DH7DG^@xT1)F*MQ=I+trEC50=uvNNEG*r5>AN3>g#+NN^+R=(myOYc`j82 zpkxexdXgBSuy8Q0(&I4zYv)Ox+B$Gyovk~n3_m&!*E_rs=282HkP+i9*M0V_`@-{n zr`t%)I_8}x!BssEHObIvYJvaZ5|lI2u<rLH88yi^Z)&mm%r(e-<d{Ifxc$*>k1SFr zxIWrMO`?+Jx<alWyj%Apo}(tIz9B41JKTeE4ocSjhIdet<Td^w-mMI#T^*c11;S6) zm-l=B6s%*`g9tlu&age(lBNzV^ys0iy$@k0;W4bnm#?Wq82#P;nXP1a`6|V#sRc(L zrlTprJ?Oe9=#uyqT%!<NBf%{wN3;N3<2$%UZ&$}$l&0l&(T5S#WXvsC4eX_<g(~gY z;WGh_?vTv7WkQC`PIrh&ZS|^Iw?w#KLZn>x%1%w?WcTmiJI%CHr(2aW-OsjvxRx$< z6^G+*j}C-B=sw-g@KN12QB^pLM`h7@oD@c9T|5g>uQ8DL8luY~weI$%FR=!XiErU@ zVmi8I#i&T3@no~--0m3_I=h-gZ)C59Hfg<%E{Wq#+fG`mQlV;(@pB3d<(i22t?MFG zp#XWkdhF!oOEiZIBLo6NG${C2Qb22T?$@-|TifM|Cd5a7Lio&NZ@~xIU}9R%DZcv1 z7rp!)JMNa>LN<ODg?1jbdd^JBS%M_Sug7@dQUXCV3|DczmHxV^?)4Q)BRM~3rm3N= zYfaBw&(k;Lyjne`%zb`(sqb^S4{#5k8(F^Ruo%I5eP$VNTjqt)W?*W~WH!w8TRj2| ze0uK<z$ST<Tb8@MgS{u}kTbU`{Ccy(kS_DzQFp_>{pjRq_H?ywDU4pPhA@D|v-KOY zVk&qk-sffhhXhFhv&8+xDUi|O&Jzs!hDJ&IeB`X4`(SmNk9gd|A|mjUjBiz`XHA|K z{X%s?M30@Idz~{wlMBp~+aZsYkTx0Lib)Sno*o^=LXviP$4bnCQe!v^Oj9LDi!~_m z_GtkiEzatg<VTuR=V?pjjYI8=A#9b6{cKh64>o_F&o&IiMu<K;aCxuY?r2WdHv+Q~ zqWtsI`4eBP*4UQ^GRukuwaaKMs)_0NpmxShR=9OJY7Lc^s+xV`>3VLd;AAbmiM->S zJ&E;Fq9MTl77P}7oA~q^fLY-Q@T>jXXE4h8l{fKZsDuL`t@9i}S_uzl>#23-w&L2| z38+mnL^L?`DQ{vZ-jylMr_@y+f8%OcNzCULh?sy7*a_2nXyf0OPg&}9+#pFSo_fkv zQ|<LEC&P8^N}rks>+He%S;&IbTS&|nqUAIN!XS=`%PfXt-C(*KPAQ^B$m}4*wT^GU z$}{gq+h@BiF;2%Od3HBn?p1{e<s6qo+ngA_7$BoO5Jk@>p@p#!83oMkue^CFaR>F0 z1dC3L$dAw1Q6pqr7y<{d*E#|sK!)jOEs9nTKwP6uVTjMX$$`5P<6=Ek5^diOVUTN# zn=XfAg<-n>t|@hv$ZWF1lq4F|fVL)9IjE%QgK1C+&Rzg!h8l&gq(Ve9FlsFY@IeEZ zST*>sZCNeNHC6tQl9ZSAN4H2LmEkc5=Nrc-=8p@a1)ns=NeeH^+17`6_?D<yh+tN4 zJpYBu3ffb~e;NbRmG%Om<@dYdh#w(*kar?n`y^m9=~qYQ{&3uC*4BO%zVcZ>^)R86 z#fW44lcmqkk?ZB~`jspG{=ZwpkzV%Ekm{-t>>Fl8OZ`J+R47PTC!wnm5E)9$ZRxQC z*FH<?pU!Cv-dp>%@@eV;s*SZE4_-k-MBNcQoRiS?C<q%rreCHQ{=u0oC5`}=qWK(v z*;^hZ5R?f*nK%J;NP<xLV$?EA;e8=69|%|pbX0g88r+sf=b<oKB&JsAGt{OWIN%!9 zjH}^;)G#qamz0Jl$QR>cP%2Y9vW)!+Cu`%%X!{%p!wx2HIv<Wzf$2&*ryM*)W@mtJ z7);jm9gdb~KM^#&955kIOk5y8n69ifnOWYuQ=boL_VWV8=Q~-(zANbv@V%I4Mte>H zC!<<#_D_PGD`r0LuUuce7?&J?&GRIG6%Nv%A7Fa^BzIK(FkykKX4Er}+md4QMz?<V zyUAwz|G1C(+$~VL52O8)9MW2LWFj#&S0D6!x+Qbxsk@L7Dc=g<T4prps2!3tA8so# z55TqFM|i(Ee#*v4cmU$JAOplNXD8H3NRW)r#-ImRMTd^MN0L@AX(cv6t}%QTAuv_; zl1Wqz#P5#gncdJ0u@QbG+do>{0OQt;Rg(ep7zFbXc76IC+r4lCZoyAtJGGq!-nfG| zTq39(5~eyrvN7gqfcs*`s+B;P*Q2fdLQ;;C+iug9UO2^Q+Y`ZTucfaUkM!dS(X%p+ z%u>WRb7I<uai@-*SM_O{X&Oa@e!wwa?P@ee_nen2_&{Js&lab0pdNde2nXS)0b|Aa zIRK$6diD)KyJi13cPq^5W|-D<UkGM4dhvdlK07jwrzivjRMtw!77$Rk9$W&LlmkFO zZ~c#e7DWi4t6r`Ss?6i;qXj?;$S#6%1}UI|m5swC4J<L@aVE0}TLT&2W?;{??2!-N z#SI=S_1FQBOONvVt~Wud=O&=`Xb4*`rl0K{{Day*IKys%KOblY8%lGC#Q2if%G?lP zU>TlJU8zs%Dyv;i#i9t*s%B56H!84HlO5jgXE5ym(_+?8S*faXho0K^el1R5y(U@4 zm%>$Pdih{NroWMQDF41s<!E~BF%5=J_cw7iU=KVtc=T4qhw$XZ0`}kSXW;NHyIk|y zU}D4hb<2V?jVmlCzs7u?j>M_I=re;zEDz=){?0ZpOynE}`N9t0g5(eqG{0(a!*eXC zwPj|hWG%)t*yTe-i+UWQC8{i1odVGksY4@G=A2Ud7@0nVuygwib*zMt&)O*qHQSSA zj5fJiPrZw-w8wZAS3yMEF=|tV@Iech*okvWgC+6>nHZEI00a)6&c#}q=hHP`qr<4+ z)Y7>O`PIlZnPi^3#^!&8P)t$1FW8eVyU5&e!ig%`ZutGa`q}ZVNcU=NoZ@F6jDr%M zo-`CY9#LDTc(c({O9*6tlu;kKYAB+3LH2jQHPiXeZ{C2TQ9VpB;($V2>xnhGJlY-w zVQ2s_TnNXS0T^~p5d}a<j<_ZyUk+W-%}a>mxC$8%ZzZv=gLqIE!*RMW8x$C(88V$2 zVOKQ<b$kQax8>$$tTRx-UAgSKmicyZBHi&-CQlI7Za}X@EmzdwFxxqmMdQB7c^nFE zORscAfgJOLQKFzZUo`ZR=GWkQ$(#Cw=06?k)f7<z3zV&huDx&9En$mvujj;c4&#)- z0A7E<AZx-h-PHE9vRuf^Kj^?{dl46J_ZytNpjNc>+OD=+{wi5<A4!;w_R}S)z5#yo zsK@RZotddtMufoE=LibCm|1Mk6|wmr19P{(0Fgz3l&`?C2ls;-9koD`Hp*`$c1EG0 z)eRa0kwx?&B|cG#47809*U1xdNDotFQgKZYQ$Z%wA=VN=y4$gsb`t;NW;g#H)7FPB z=R7rfD%ReSh&oytblfsXSJubz!3ey79;_xw8dkf!A`l77xR%sX<wazUW~!cWfqd!e zc&_Oq^*D9T=h0zY7N%ojZJqWU1&NvMa>}!IUEWwj81J957!22bQ}+nG*}DF2jUoBB zR5hb|a%XOt!<6=K$T;nJ`Q4dtb{x|>weHJJ1gk~hsw*!$@i=#Ekagoyg1vu_GSHXM znIvf<+*Z0Jlp1}F5d)d*BIJ4<+7`}2cm2G~4AE8E8}-<th&l~gbQ~24lenT4V;{N3 z;8er_E0c&!Az6u4I$e@rrXN!VvkD;E-5`Op0m;8}O1%y;fgB|NlLc0};hlRX)|Nf2 zCub!Jg1cZU_9N<csnKyc3%4x|2j#9wx*1K?Yg$Vs>PR~v!ClI<C-E4UAiuP=n8Z|5 zNj0vzg>HrMrEV>nUWBFo%fIX}+Dc4q)#2R=&fi8)JdAI(+PAJT5Ww&${*q_OKfQ9H z{u{FQ_gd`zbfcGlEA=q(4_iBA!_%~{99_Z?nI&wJ@<kiSHPM*ziN7b{t2i#2>gtfy z<6Jb;%v?UGNdB_ZVP@qbqUqB+_B>E<!KxDy<A*SwaABxZL88YIJXNFr&AS8}`%S9h zAH>)g2-l{2iW`d&tSBdIlPWk43bTQ@EN`qJS_G0HY@L|?tzx*6HdsyvKq6wR(C8(8 z9}u9&sr(x0^7b#**s^#&P2Uds5Od~OmwodY)7XfCjyj7Hw=50&k$0gslcHOdeWFXy z@t?jkUL!Ir{w!jotK&?IbCL7v!t)OHs|x^jdd8OALHJ5_lqcsh2fztz0<_$XwX~B^ z8?dxL!l<!=)WixVM&X<yu7i9*;;64|W&+k&l6T#Zm@tI#nG3^bRU~==!SiDjT3iZY zQ)ukp0)s=aF&HHgD2CPzU}omGKCKM~445AXw+hbl21K{7Rl8-(G4Ek=Cbo@A4XIp= z1<LHB^BD-_i6(qb*imr|$vcFF`HH#Ey_*R&$?kiEN@T}s<@$JZswqT`OLTE{{6Atf z>nnfPGq!7tHk;<Q_+Un_*@>UABJK9mM-5IQ0#>wArh^xs`oPKytp@5S1|-t#A_8Dp zUiIl&GXv@<5_ZouwvIS@%j+ePJXB0-Fq@v0&<d0p4iQmDQoiM9Jw@5n=!7(qG+(F{ zb<)m~GI8vK_o`-=VkU=I(pK6>;>agQ>@%2d34k^OWVQg}n#M`+sA7uZHXs|&P@EJ9 z;a(D3>1!gWmp~`&0Sj@5tjCv(RegcCFYPB$%azwT+HSjTRe9m?sPboWNvHW<*mKl? zZ9IYPL~g)blc#k(agCwo&sBZ#T_X^MX9aJqyGO9!&1eYXU?FBZ(Hpp;&C@IogrF3V zVcW3H>VC`sZSH?J1!tUhmHB*B&`uXryRz?M-Lk5X{Km<v)?RR>L6q|{E8!v{eu_mz zG?<LfTDzxcmkym^PLkFjZbj|ixZC%PmEe9odn4}*aI30Xzy(Y}hN9ksa|0QQCdg1k zt;8fR{Wn7~E`7=5tqwAjXbfN&g7TyMNarumWi&)U71J+W03Wu7QPZ6Q@tB#5B4Ihw zbEX0NCqi_NhLtcM5#RFVQ0hO$&U6>e%#w4uQ$BjjaU9fmGb8C`1mPq+p77ys#afJD zs;RI#m-oQGKn{OO(@Xv@kb_{fn~k;E$NvKPlbVM#taUwoje-Bqzd#mUSXu-iIOhq% znJM(&0dYaMYB}@wx!*Ga<74=h!b_NhYZ5k5`J%n#nyeFIk6lJ9vbcA=oh>b{-%0YU zE}=aLJYRh4RuQTb_GhC#FZ^$L>wPYfm`D;^HXZtlnmzuVn&@|Vkm~0n&=rubYB#C{ zR>23kVPaepg$Bayt)vfqjP3{W-wAr@ieRmFvEFJmc@@WnzyWgcE(;=H4rKKLxRxV~ zT5&MWcz@ucRr#+HO>Zzv=v!l4Adpo#V2l>7DThyx2^omBj*)_;Rv?0yPxsjW>_xOZ zPKJ0419E-n!~j<K|EB5VP}^jPOgqpUdAS*VRVCv%cE_-_k7x+~FlduALj#~TFi;4Y zfUdrR$Yfw{xA1VMdI5Me8=SxvyTODuF>%tRaH0u}ao8!v2Z2n`L#$<w6)Z(1s13rV zZ~ASS(fnWK`^~o;Z@t58j#L)2#UJD0zt6%wmhS^8;QZ%e=n?Ytf?u+6cS6#yAzNBk z`+U8iHyw37;}wFBhF$dW2s-`+pN7+PgY&3QynhHG_X(4cLEjll;ms?l$^`F~Uk-0X zCsqyKh&tH5xB0cI_eNCK$_&mdQe8pKYGgv5P+3jdXJE|Xn*8y`UWTMTjgh*uwMohU z5(lT0T4Q879API^h3}eU^Gd^?DG0S%YZAJQDLreAX?meBAsS4aaXy?F0%HWph?o&F zK?W=`69r2z65{MJZc8qxBSgy<nB22MIF1cwqve|7^#qxohOo;Xfo`@y$lXCMRcS|N z)WG=#>!nXaV1PmCTp4`TkWnuYMVvs}?}o^n18rZBo3TMx((4|93r5?Hsofog+LS^> z<r>wd0H=|JiJdv8d@(}4Pz0Pt@LzX7Ca@#wG*Ul5V~0W;y?k%L=H^uY|6fZLDeuAu zeWrWHg>GyMUU~EX8l`;8snb{}&Mr=65h`clU3ZzvIvZ4NWj#UY5CoODEvVU#9*#t9 z9v|1aEdI)z{CILdf7V#A;o{dZL2RddvTDXK4BEO}w}vvYz*V@fXQtwbujQ@V)&#!r zd_r#@RK&d1ZPy!be!(}HdrxnVcn@6^ZEZuylMaVmQMr_09oS<W1JvJ<h<G+e5vrGD zeD*p$cC@tUY!V>oSW@D}l%%}x^|a4uZSva&a)_X$NSF>}t!ymFHL!$;$3YAtY@a|{ zZ_;y3{=NH2Q&!x8taLSJV1f3=b|n7HPIUDLOpUb?KFA6a16h(dAc84j+A~tOxlR?F z7xM$ZW}RGlMG2&Lpcw}Pgq;Kk>#oo(86ZC1bi6mC@+|&J^R=cq{i#r6(=e;T>+RYV z*nQiX!!$--;Q(QZ#~!S=TkRv(82*@sX&0=l55obM3k`j`X9n2*h$)TwT>!E<$lO$p z=V~5X!a>SXfbDch)<`yp=QX`={{^eaK@R@|S=DcBcLFxd$P1z?A9QTiF<$YfOt3cU zG4`j>z$Hb*PjiV-%>wz#wa3m4Xk9~~aPSyN=*CjsCf2q+tdbz13hhy@qCulMNYcC% ztaPi%HTuRQ23i?K$TR%BpmbY&!h&YhtiABidh|=cwMU@#-4M3(#{TI__y?~4vYlwa zc7Fb2J1inG4S?-1i7=FQdbbOWX@l}*dF^@>mI2S4<g6#XY)r^AK(%oGPJZGc4Q(wR z;<!=izGJ(PKhfnLs!#KiuB(jVZ!dzC&e>Y#tEIlouN$xDYAt;TiUZsyXP#zPE_^#b zbcfD9Z~jMk0#5%Oa^C~O<Gv$<IbPZ}Rcavj)4QQGk5ko=+G7~geC~ow$?IZnY~{*0 zao=$UrKlH&`pHJ2A>Hpzl(wdt!dOJAwdh%mN<GV>55F`WyTWK|8f!rXWhELS`U#^J zSOOo^gNfz3mBB0L&`#fteR{dSDf4=cG+#&<Vn<YI)IDL)=gtgY^pH_p|C4XBATs7a zzR?Y@eqtSTn|p;Z)De{9Ht%mkQ1l4pxv^58#}+ghs~9f<@Uo2Yq5?0A_R3JMeh-NT zAe)P~x>~p9!*M|{n_=e^FGFOyIKY4j=w>>EoZnvAhJrv*#{p~fDvXABG>%{sA&8dG z4{4+jPRlAZusNr9@L^jRHP=8K4{hp|R0?{Gi2MlF#}XMAjKIx}Lzj~v0?nBImSXs@ zKa9F*Ag+iu{mLkXDMrNYGq&CY8K-&JDNdo5`vnxr!Z64BU)tO|SDj!VGAijfcAUG- zo=x2>%ldwKn@{dVUlp^2wPxs6;Y^do-f>xlm}KMc+s{5`8T8%p4rv%P-a4olG=4fO zA$fgv;{P!A-SJe%|NgfjnI-3lD3y$ZW6u;JA+on)&#WBEs3bCSj3OPgB70<yBb0T> z>@@5VN2sh2lJ$EXeZRl^{oVV$_woA&bw1~PUhmg@zQ+4~IuEo$MkCmEfafLJ+BOCZ z@WUUwrR&3g9<qcro0%|PXTs`4CLS<52Q?pu7c6XdW=n;oc}`%8zBI?N3sr9M_*38T z)T4u{kEiryI$wnf&uV2lU+D@p*_SG<HqZgRuIs6DrNv8^;`sQ`^jwuCQ6v}|VG(Vv zP-D$k={O1y5^Pv4GB^nQ=y8hUqbD_ytmrfp&}RWeeo~DL88gcS5Sft!^|uPXZ$c2u zEMYkfX*9h>Wy#GCXJ3}Hh;o|m1cWli{#1;NUk7*JyBJ%3IWm|C&UA*drr^h>5*@nE z{phpPu1w<Eks&o^nOz^w+Eua0mRIm4qPXI`j3^}1qv=0YmS}!DYny*}F-kmcCKX>d zZcxvMGH%X3?2;&$>ktQ%oK(?Cr=6RA;{#(~s&~G$PxWm#)Gf=gbgc9+f3%crINX=P zEnRqh1HRE6+v(EIWu$(pTyHr98J8oNaKSFAt>A>q_tL(BL9gN4>YWPBW0_Mum<L2N zR+h@G$V)F&9wb4rgGmJc9f}<wl<Y&AP4Q6d;1FSZvY#gh4WRS;xCzS-o}xoCCq%-y zz^pk$n8Nq-Oj|dc<2alczrF8Csj#)z+9A!xQzug&QoW84pr*K<1W2X+Ym~FbLn_6N zkUP*?(ku;s48tG)z;%&<AHZKO42^Uf4fmzQ(6|lcmN-0UVwy$9nC5sk4GkT27_NR* ztG;mcbh`!Bxf+4{TSpD#j&r(xFR@^i|NU~P#;-VZ;u#CRy7GbzJo!b<!w>M-)2~dz zfX9}HiiWy+?hRGlTd2<U5qiGyvID7oQFozB((h&H1h(_7#j2@9;<t-=PenBWmj1pU z!3wa{4q&NfLuM4fQr&$|#Ko*>ulPN(xGwnUCZv|EMU>RC1d?Kg#D{10F^kJsA3Fr8 zWdo#^M@3|}4`ipkVAN_+h8i34&ksPbB-Iy!(?O7HFSy2fX-7uYntdJl@N&Ega_vIW z@S^%1qi<6w@Ta&n{l)#QA1_*;eO|rwAc=xZ-y@_h7!E97o_N?s+jc=uX2PaZf!2)d z^)v8v&BU9p_&ZQ8a^Ild;kRVm@vRUUSyO?^(Z?oFeY#Et2p^5RufK-5#+esgJ%uF( zPP+X3@||I4ez-3Ivh49dXeEpXrcYU6ZoM3-8q+mD;-S~vgO;zHJ1SvYn<hGZ@Y=xZ zEXXw7XZN9ZkGc?3=sL_>mb$5?x=$7VAzas(fAF!?y<XIso*nwx_cYM)5SRL|?^Q^n zS(pkcA41Qq=_*<enjzS-Q?I=2UN+LSGqlw+Kdo!Lho;}GD4FZsE4@?dMp-Hy5@@k( zmsoL?$l!Ldvv{e&2JS!VW~@9eHc8+P{m{0p*JDM%9g3RSNA;a`0(Zy-ZZoF+kWlR- zQj-XzB_imvMgU7Oks%IdnSuaIBUof3VesZ0n6d%DQbB;Fm*_h0f^}YJ5;u+vkp=7Q zJ8Oq$krl7xOFRRx^s<!N3C;c{5$gg3eg|5epU!3%L#wkw?fWa0E5gv~bkQZ*72wo5 zYzCJq6n*`(Ka;M}X&9r=zJ9mfBXe$1uSc<+j?wM9g?8mD;Ir9I^m4Wbp7~kzpU*!_ zE$QQ3895I|`w2UD$tg1PxW9V(5_JEv@P5Om*eXkhiW}zIN8136E}bgQkHVU}B9+^! zRtN5jMnTv98>l8yM3f6u^YV7-?+W*e7&>XL-ll76m7XiQ=#iTSbkgkb&nw{FEdu#F zqazME{a7#g8uqF3<SU=C@e-CWW$?5vOxR12iQC|5Kb>_b22Trd{FO?h5EKJYgJ~Pr z1km(1Dog4<oF!MXh!#U?k*Cr@2NBRUc11HXI0u|3ufv1s{(=gT3w=$?YLO4a%~~#y z&Q82xL9JK3gQ<+3lW1Cx&WA0jj~OuCUVtoB`kJoARz4&YsdP!Aj}^JZdZ|Q4`2+av zeQ6yNrPhR{0L$`9k*<$TV)~H}+00rrp~;cVg3_;imk24GJ(`vW;#5WZp(4km+)$ff z7W@))te-$Cpt+oy5qF&9FZ47~w7A0Z*}+cHf(L%tC|Vqn*Auv!?>;**#%-+9{_1v8 z?`!vqU9Lv%=0~26h+OvS)N1GQQ9D&`u^i$am*e*If?XuVXL_T3bZgw}^VaTP?gfV# zZN@6aas5?@e8eG$VkLssf*O#GsyDO<LN=-b*{F!M7z<>hThQ<F#T;rYv!sB|;mILZ z=<!Y6YPehnwW@@DPkx@Y)_V@Ms`C5o{!pvZzUg_k@B28xYrIt6bY;eRwcWPw2OPDH zW<eEHzB7UNd=*V=^!wt*FYl6j+Va~Rg*O0tE>K5JST>j}0JJ-)U<<m_jegZ^|9QuK zc5*+v2g<D`&$ERg)UF8X>hpfHN0F5`%&ne|c&d1H<}%|{qb^K@rVZ7wria=PgaJO< zn6X0Fh>dJzP~$BM(B^bI`T+MM*73L4r~MwE@#kM^5BF_vv-AQqg-2c%^L9L|ITTPK z@=IPf@RY!Z@r?CMqt>5itOcPkX$w`yxx<Me^S}|bwOAFj8}QIUm<w3GYWF?s!DLwz zPk>kgQtD8YgEGL)BZ-N(_B|<)vexT6sM-4Ce!D4Bgh~M5=ItNjaGX@>MVCY96kza+ zzgCh+7LoBDX7)FG&*nt3P(9mzUf~q#07$&NNe{+0Lb><ZnQ(~URiN8IVvp!l3J7Wn z+n_ZU65zn9Pb5ad^w-ZrD=x!->b{0~$C>wlD{Kvv%5U7QU<uQwz|ALStXj@CvI`Wr zS*`9N!&5Vr`;|#`uPQf7N#@-H1}MpV_+9ux{ivUZcB*Q%a_F|_lM_X>E;VPJTO1Va zglLjIORHGffZ?3EZCL@se}bX9o_2Q(s_XB2tI{%J+-Ct9%P@=JKdp`jLOd!6E!e2^ z<bKOiMDA}wZkZ8oThSRWxK8A6->&EY<JIPtzObZauzbkXRiS89h~BlLq!2olPgz6- zD%DKVAt6JHLB+Zp+RWT3hL;5&Ib6oPv=V&(b$RRGWt-b(U+18}TMVf@7aLQ;==wYH zny+un={kkb7~R~^0veztou%mP=O$zb(4s?U%-Hb|hFzH=EJXR<BWlgye`sAM_!ZHZ zGr1~Fd}zHZF0rD}_?mzo<P#=!ztdcC60H31<5|O;ZJ@2&avE)W$R$?fQe^y&nLYT? zoMINL0Q<{&3!M)$8E$uqXN6gsnM^w~iJHIhZM`TvG&AzFZd}X#qNK}c)sEjw{CKBf zxM@m&OCl8K!qS*N0T_bf+~@8}y^5i#=VX<%d@;+uoH2-IPoO8uZx`2Az;8#MHY1Ws z-7lW8rk^~}Dkfxow)K!^SK@tjR*OJEd3ST)B8A%!rTziN>-x`5KVzY}QK{yiq0%~g zdye8XBlnzU=<1+3k-ZDziUnP#1o~{Y3ljvUkan|7&5vj8Ub4tCSMae4bJ?1nW+x;& zq_TvSnwhl1X3YK{6kGXjFhix$0}7}cWkCg|KG1T8&O|s1(=fCTvsIdm(0Wxcjbs{` zdd&>s2E0z<r7ZV=C2SSyw%3_TjUp2zp>7Kerl%}y0+sJfp~2+E78_DSSq^{QCUJ}> zlpR3v<U<w@jt@=ITxJC9Wjbk?qHASBkH~z?J{u>d>NC<SIQcaR(=BH7kC!&a*vh9Y zI+D2k8@q1n>J^1WyR78dWTxSng0IjYmvBr>qfs7qQBj9{wq@4VFuraGBT#Nu{fgSZ z8~kZd9Qz?iNrn9{E417Fx%#|BeK|fl&dKekpP}-r8=F4@xBmn>FMoNn_|;=n;q7+% zryJcVznirSpA>z1yY+MZi^=d>@9x^_u8H@T-Cy0+3a_nSUEloiC2)JurEG-9uF_@Z z^P<A*p>I>uX%cmZH9A*HqWoC!qT0=36Y8p_zp}QrdGWcYjABhk*7A4+dldqAiw#ZQ zIOw*u6<vR`t@`QKpPjZtbd@54q$V*)eEiK?y4-6DZ|p90z7M>+JNxC{&Lr=2MZoIf zn;q$s*`IuY3g&Y2o|WpiyKA%3yIX6!+f%%bl{n6w7mN3{gcWudJjN#RCbteZXT+SX zfq|0Y(y#q4^Z|g?U{2yTm8RzV`|97AL{Os#crwfzzTRX=3>G?R7#LYf-+K+??`PR2 zZu?N)xXT)S49eJI&|lbR_Vri)%d{`};+;puyH9xUZLDs-2;5lUd~qeryX_5!-iWTk z*8JwQU~x^*y|sZHrr&hyo5CI<e|D;0>^3IF2<u9(t_N;*UyS*3^GC~fJF9#5Pwv7b z@y7R@#lX2=&DsoFp;;65CT3b84lPS1QQtp_wN$<f8M8_HSTJ9(`*U^o+}HQrM+R!T zZCqtGdHcMkwcT32E0l`n?6mNtZZW5Ly|i-}f8xGC^Pz@|y>jx0)Jo~p5+8N%;=P?2 zVGq{r=t=a8@Q>RoGjD60egw27sLQq7__DQ}awo-iXltH&>`>SH3iq8K3IW@159?oS z;&5f_KEI_PxAbEmK{ma4>jy3-;pCSC9{E=Hwo3naN)Rg6IvE35cK>v8a=3r@3>U}r zMQfISU>berr6OI{eI?v{!RFKU)~7Flzg10HC1rl`_Idu)E}XigYS?RAFg$8aT2lBB z78IC`K5)LuZg};}Tlbwun*-}dSe4)2Fa34Va`xSqx4SzxzT8_G4a9QkJ_uj+xM#y~ zWT(Y!q5AIO)K^lPi!eT1P%@>p-MaH@n{$ChieUD(bpEBk-Hq8RVXm)rHx7?}j27&_ zm9fn9x~69O{13YIeeNiH>gMX^+ghJ5+skr`6@P}m+?#UOSRG8qU_XvuBe7XhKbtDN zSIc>C=b87(Poa#xg~soz<K<v@$$-&%VOgkx-bU;0u2srzGqJCFw?%GyC4sZX;KoX` zwnIwitAyS>{f#k?pTF?}tLws%n(I5p=J`^*$<0HOoI737c&5(AA1jkR*o>*$9n!a^ z$l4=rn_l#Dzec4Mp4JQPPU^{4o5h)g-{ARksJi*1XAXCBWyc}y-If#2w<B(e-&ZJQ zO4)5`b=zQ0DOuHa>!?yFWgFgYnV$R2Y+fSHq1HL-sc$T|@Q2xTV4JtEYz9<h?5B## z2wi95rcKh%(}Mb^!W>#NO1k_x@KoAn@_8qh6K?GESzD3V)~KI#`YImBihQ$WtPEHU z4A>65_dVZhGUdzpZL(gww!-db`@r3$8LRW#a2-$H$jpO4vf6M0P5Jd??vFW7JS#v+ zw^joWe{<9sA>E!6ek57}%e)QT=G^_2c&IsMcS<pAA~4{wLyHwBLUg(K2##&FpPD;r zXZC?!>(;6wo89i8v{Y<hfKKD@$-rC2f^ECYMTA(JCaX8*E44e6cYdV2b3?dTj@)7; zj}_(JT}}+q5A@gU`2O|+&t#Vs-1P8Oc+*g%V)9Ymn+wyt**CWw26)Hh_dOrd3-bB1 zRBKgmyPAV1(Ps<poGIU);LYZ|!Df0szF@ItOfM+VXMRz(e6skk#&)Ol+k0P9rQhof zh?v`Sa)feC!vLxFM96V8m5H2||Daw*JRw!qVs;>LD~`SB>cX@_oGJ!?VLI+B&2et+ znv#vq;g#WHg{?`>FS};)G#J^X-nZL--g<``Pg+@&ZWMo!+Uf1)4eL!xQK2u$uNF3W zFe`s^s`d!lIDi#P3>QrZNc3zEM;#AH#5RV@&IFiv_RMCbF!*;N8Bayl%$A18ozke8 zFO4!k)mihsG?-n|apalotY~wR*^K5&4?XLGqH-Xo*`E!Om&Hfy{`?k6uRfxGdqX56 z=ZN?1-z90AKW_`8bLyX~rY<M4M_q(FChSmc7x5paOH=Jm3CR4BB`XkQ)mMBZzpd5q zzDP@-{pqqkqcJePD)QolC5?+e%DIJ3(e622@=r}td`__A1*Xr2`N1p~mNTrslU2{W zEI(o=|EHT-{<p|f{Soo84Uw5wN9e{r7mrEY=oeFD&<Ho2yi4aUqH2?XMO1a^$=GH$ ziHak%5{o=LBtpfr`BTMJCW6~vF5<a^uL!b8IL4;Y&{178J?-3jSJ8VKz81Ydqr22I zF0JUouh*EEa8-m9htYtSnvJx&p3L<9CYnv8)?kijt7WM78Xu;_{MV?MBI)5$aBCE^ zgiT?Zhx{4mR%b=;E3nYVjK0xEi{KMJw=Jr=<p_#4&9_6*vxpdz0?)1!q0B}CsbyCu zqMp*u6zUMHYrfSwgr_kLQ$-H~sxea3uR5GuYD!AI7R=)I%lYfVc=zpl-k&|~{OUV( zR~Vlg4|r<Xbee{8_3&|co7qUu>&Z-IHnH)-Ty~MCV#>GLsn=;t{Rg9K_??@Oiuyuy z$yjw#YGg1A=BM-A!nmj+gKE7{TesYRT}VUN0<HOL6vqd{i9{h<-kU};QyH%tqK?qc z)EN`TpTSZO)0o1kqGiuHHz_LWGXh;!q||_5mbq1D^1`^eBEyY3A>MAe-zGNAT%qVA z$QZvg&#r(_<^@!$m+?fD9PP}A5n&t;3st5u6(5ZDN9Cq0(j_lrNKsC~(-)8x;}NR* zzSJ``E{U_ZWZlOaQMBwl0&Yo}o-LO{?RfZ7MJy-cv9wN6hB8i3O;i~wU(nugh63%} zC8)^kNSiFCOp5vrXDFB}tn*dHeW_JxTv)%~l64(xyhzLLBj7fe<=LVYYUjh3T4FH~ z?@sIF1`9<tQKi5_TnD3Bg`B%Y6q&<dq06MG#^7oCV-?nEs@}fT(ljmu-)_m?7;8k* zvf~BZOtU>(^g`|Mu#m|_yb7(8pn;52P!rV(EW~~=+61!r^NP%Uw8>>xNKw7P(}l25 zmMWbuwF2W(-bC}`+X<1=C+`-OOe8hob7)mBz_?E-eBTE`%Rkq%^+2fif&j)-``75Q zCF!AWaBCe>Vj?;XRZP|G$L-vsp-5**pNIY|!TZZMz?m>vd1LGijdFkst8lJEY8sOr zRWuuybCa{8{uP>JQ9V*BQ!ooX=Z{+hUc--+TF{)WBfo5#7&x;r>o%>DoYR<9o5rVq zE|zp7D{NNf2d8O=Na>Z-73%E2bO%W0HX6dAT(|i-KEYV~0&UJseVL4cCRq!F&^N(9 z9&C``SS#8cqDdCfA;s?tcKmf*BIiXKRlvoetp@j%1=khk>Pq<*iR%v}Y1xf{X~6xf zp>{^VG|WW2127G^AJIe=2~0CT7(F29+{L5FECozcBt@+P(?E=`R8s_;BM(Xnf(aI- zSr`p?s@rs`gmMXivRh7sc+=*j8p=#YHOb~6g=Dz@c!2e`+=W3QpvjmNFB|OG#UkN^ zPoomQID}D@L*-6{^iO$F&EIbd+om;NLvfTEPb6NX<()H<aY$%llSB3lrh7K}eDLkW zDsl}SjFtrp)K%1vIh>3&A*Ct@vvB-!_NJQ8lCF|6CRzGLWMC9)i%TY+H{ok(RYyRI zRZTS22rbC9TNy&Vi$IFkK#CaBLnk;A15%7gLp=g1o^$R|h9fb46*a*=hC0p2;?61B zp@)BN-(zME6L4b#<<beY3j=ir<x-?|q6g)=-$b=NJNu^!-eG$A962sc^f=Wu!fEFY ze?{>gnq+SyQn2_b_oB4bmD?XMinFt~YT)O~lJxQ>{G%~Douae=9hvF+CYnXiu>#Ll z_E2w20nE><ms>unUOq<|Osa>$B$V^jBZ}g$>5@NPBLx>xmV33gocZ2z<}XWZN}KR1 zw5p)(jzLW{D@d&*aDbRl?`b}a2sl8{_J_St{XZsQla+=NIM{6><lG~sNQb`-JC)MV zY1Z7^>Ge>C97I*BfebmMNwf{A<*Y9=mDt3l3TLohdN$es_y5kui(ey!<OOGIGD+B# zrO7@yh)(?W0TlF%A_H~3P=bK-Kc{Ra9=@V&bJLZvDQ`lhAbJLJJ$nv?()C5YF=sq{ z0$fyLKrqi7Qo`X;8e1(@_aL`(W6z%<UGMXLb|KUZ{i>gbrEh$ZRb+6Ys!U~)AXlV` z<{Z2xgL3YmQ525>Uhp_KioR{eyUIrqel#Zv$ZHbjn&UO(y9nQ!Q|aUdWvt%*$px_( z=rqUviSd0RHSns$CZP$RK&x5@fugdB<_5yYFtUkl5z*sc?Ab^S`?FExVx&3YtpoQq z1ZU43m#}-0CVPhpJupexpQ@q-w`|!MMNeyvN5Iej-AR0O$kgU~O?v`l7P1ih`n5hO z>Ur?=uVWSCp}zIqXI6^R!sILyIu*Ukn!?m+&3X7aL=`6zmuPvT^kp0ho7n6SJ#4Q$ z8~K1NcSSA_AWNSV!Uk*yvXawe^{LQ_WC~eoiVR$J6t-_8rGDuTd^DbTC{Nq=%Sa|Y zp$T;n*<+ID*~1@7X9R2ygRZ(JDKR~mhn`8op(Ks%!NG1eKIg{&cm!tCclgKjJs=2K z;Z9roTHmIVF_g=PpQBu9B4mm-M-WcV<Tc4^AcRc7#hCIsx7=2=lRBJSqN`)fPq!Bc z(GUn%!3^DF)51dc7BaWIEoe;g;CHJ@_iVWZMJ`mTr{P4r0Id_oNM<IkiRyU0+b4{o z`RTLAL_r<=gLz<N${{9=t(>Ym3DiN8LYI(Vy>n68vvrE2sf6ZacF9z36O$?;Mz+wi z=}f3TBOIu_G?BVZdwh;LpgNUVf?Sv;>I9mCcJ4qaifhp(dn=KGCn;7lkNjNHHtSUr z+~*MA5LWazs9H=Uo}%UbWgwFUo~9nz^F70}Q2?0ks>n5V_{544Da1E8yY9He<byO> zPB>F`Azur8pK!e$<!7CoNm1G;#CmO;PK!{k1#o}n6CtLwIb8-ac41AjpO8X{Jbyg= zg`8W&0W;Ai|GZ3!Zv)J9OkyTIjq1n+aDOTMxi&b8`*W{o3e%-EzXF<|1b1xE^3sDQ z<TkM>gC-PuHl7Be>=n6qXyCRGQV30Ob`)qrYMQJa6`EDNtV-uoswVxfbesGAZ~Qm= zU_=Vy&Vw{2cEBru3mpIA6+=?0JBVpr&h7hR^TT`cQQv2qL*(T(zt1;E^~!gdPBsTu zt`<Ckt;rpfoGVmFyEbGU(G*6cHD>{*tTT~FMa$b|EaULJiOn0?lLV}C1FD1+xqRqO zlwT!<JPpn+JSt&VlP24F5N+~<qMzCO<R#rWbQXc_RZ2F^UZLntKv?;nUB^P1SpZ?F zO+<y#&Ikg+dh@N8UEts?Zv{oWKAPkbBT~F%@N4a~G}!`J>pNww3yKWhdoCs%K%c>Y z*QJSv+q7+S`Z6}fO{hEIVqSUn2!+!5;NF-|A3lLqA|*}+^Hk6zdufuQGJ>Z$m@39g zR8!P%gr|kggN9Z}U$RjS3`K7vV!jo4YI24$-xip2WTbThZO934q8fz=I1CYx-?@uL z(c%q@gu_Fy8LDo!bIy&ID7yKY=7*#Ao~oPDvODs-C4rvb47JNfrHX)_qiCHpjbxla z&zWH%i-XbMAe6T4$Y1*n9obEqf1Ch&T{mx`3|14I7PhpvlnpI=7{42vw9fd$QrfmC z@Shb;s0>68D;V<OP`a=qzcv?y%rCcCRHiMwq`K8Fm|JVFIEtkEA!MTCTTjt*hP~*( z{$nrLWR#>=H{mU5Rbf-v;Y~E12rbim&(>q1-Yf!`5;cIwmq`yBgInn#I;5qc4jk<M zChV-Gph)+J5*?~^bei|?MTeWA44)8Hnuc(1IehmPQY$gjv*U88IG{gq%ZcF4G4WAQ zWnr*nZBk+lSTcB+DzM~(-AO3t#;Bl5bz5<UG4^rAW{YB{?qOdQon{9`bi%=C0-tji zl_GNwU9y)JDXKhpIu)c;@x`X|B<GcpHJdIpCl5fQ4hBv~fJQx%oGh4E&5j*%Q_9Wl zw-q`=*0pq+%@xr%php9EQ$Uf~k~SF*yGG3baAmF-&--GdvHs`5NSD{>UDi*%;WQR^ z6F&}yvvF?wzTX&c4qm@Cpm{_4uCpIz(J5)<_2=2=V%4`;J};NP(B_f{^SAtK{z!?* z=rq}4Dzsnk``MDISkBOg;qp=b<IN$@w=X7sYz}7|4M_ad9ZqF2Tawga*(dxasonDP zrYTPuc6C#4y0kRdto85-Q8QAaZZHqbk~xH=u{DDtK@8JTpvBMJL!5oSAu^qJg!wt7 zO{GV~Pi=@e1qZ5AP0p6oEQz;-hc&L=!w<{XACyeZ|1#+HX(ls`i5Gy7kh4-s8mjGJ zw+z2?50fGtWB+9D$Q;{O)ibtfN9+zk^7r<LKBR&f#YepVK=S8%5+BH8@%H!hsrQY* zKJ@dG!6&NdTp*=sF;cWU1rF*GDSk89afDf7rYMcdcZ<=S@wbTW+aq>s8zMHvNA%Zz zi`Z2k@%Gs$v37d<qb>5}*3wMi*3Z$mJ6oGKo+S?-e9)>sA#XRCZzWJ1dB|>mYjo7U zNykFySj$`6^1yh03v{dz(6K(i<8-wFq1oGr{UnV(8wS-{&B%}m7+<%dljiK->lS7h z9=W!%rcKA%{0rNAgzHZ0(^R_y9Y5tW1v!=Y$#!bQn~WA=1&d1jS*~ghH|g?H7tR=x zxQ_C6M%|A{WD^lB-{0EXZ&#`A561zDVQ%PJ1ETI}cFpW(eM@uinY;vg?V8OB$xQlT zrH-<TnP+`M47z$AUmZZ|p=y=+?X=aV<{0vV1A=5sZ&PbtegWso*hOfz_w!^0!pzd) z#MB@d%uz|67V6u%FUs+F0_9t-6%wD)78XBcpr(?_n3p(s=BWs8rrvazL2KRPjwDV! zD!TpHG7gw;R^G?#4Nd5ngPI*5?zhXZh{(Fb@mEapbkRW5eNn+USgg$`Jl^J-nrUB{ zLCfpMI8#nN8g?aq2Rk(fH`=_^?lV)*56gB)`F>!bKFeBq&e|3a(*R}n)lE-{u)(Qg zCx-?^VO(}i9YJTUqNbIgHofMRj=iN;!+SP!gqcZiA>uG<{c=eBowG_E7nxwOhmXCq zFRRt)N*(20zkD$2&e{E~7n$}=K7V*0t9`kFz<bsj-X^jT@i@CCq(&0v>`pi93pvKn zp_+d`F4H`m_b}oNQXG|ej3?ZnBmQwm;t4(3PfGdLw@+&oU4U`ClT?~r522{fCW6X< z3kPmCe2t<>3@-gBk)ZAbb79#a3hUdbO!@@n4lnM^vqfPBU6qfo5>Dx%q?Pz59o42r zX!C-HK@^TKJ~nqhB8gdq#v8`REF0{*;FvKTa8EaRT5s+d%!)ppKpl5@5gI??uV!i) zV$jm_7&nO2qXC&ZXsbDZOj85S7?vo2p47ugDW{0&5t!b!X~?_))4Of^o~)d+rhR_( z6K3J!QIW6*Vi%^G7TX_-rP880zdy#wFzbmrDf3TY)Ur~K<V8(R9^jw3tcFbGD4w*J zMLe`_fqOP(VYE#Z2LDYO>?`0{&eDC9%$aN6>KpNM*S#$^DqyRGyWE-d#;{d1Y&G1V zD;l=SriZGAt@^-LY4U<=VXHlGqIwXPJ1#;q1IsDFIcb=b(}1n|*O_#=3F)k+oC{4~ zJa?;TdXmI#P5<mb>oWGG6cZ;*d;~O{tD{Sduip1$iO1RtPWLqyM2N}Y<<Gk?)mJ`* zA#o*bwBBv!*bf$wsUBvCn}+K|DdR$`{5R5pxACYaE#Vp0Ped`InsXnQMbYOyWCGz{ z$UGJnYS2M?+`)QGPxgUwzO~NjV0=4RHVP~ov@hhoIvb}5+aze8TLU60He2>IJw0pl zf3Q9GQj@tTnuh5<s)v7a28lhJX&V!6pk%IAbC6w+O;wqHMokULfq#)YJx)?M+W1f- zNJ{kh0iFq^27(x$wO9`@aS)iub<LajN2h_nuNRhsDZPi#3kyHv;D1!FX#bJC#5-r6 zdP-;NEri4uuqkzPODN}$JD%3UAAlaC0t^s><5__LLKYD=?E|gd0{gSaOC`FIv+OVo zDAcT9WMcKWS+&MC09?zAQb(`(8KgH)<}oeM<-EroGHiMtmP~q7ZBuF_Oz9gIka8~^ zUk52CfLN}M(~1dEM-`kskUjpAUnE)iatceB-7==TZ9=7i^*MFalxf4|3k->gywFjW zu^!pFU@dkEdaV!cqX@+h>}2y*TA0wbj4(W^78(D*%pRuoW}dQ82|smaq+W*s5kpZF z^@FF-F@?dODcFtRUsI0*Q-{HyNMN>2145A0SULg|097oa)iCpKht~6Oj>Up(UCj^} z!<RLtl2&xh7m!c93S3;^a0GRfgH^-jIUwpHv~=aH^?YE0u;+gJAd?8y@GVb4@5+aX z87f_F=wpu1{(##W*v!6a!tsjaW8KktaO*}@eq#Kvp6mYB5;&w)04LYT2i<LjfX5s6 z)j?g^oWR*?!r80mrytl2zRX~Oep%u*vvY8>3UtcYW}z#V0|Wou$tgNH2Gl8cba)Ll zJJ7igpxb&>1iuZN6t=d7&U;fii0lN2Y(LKo7G^#_HkPKs1U1ZvQBDwkIy;ri!lqjB zP8N=&p5abr*P@Kh>4>7LQ;MMJZq{H@1Wos+ASn)z)PKE*q3z#J*j<B32)+*^7_-7q zn^>HBO{D~k_`q!_Vld*94KD06+?jGr1O>s%?ncAcIdEa4aQQ>1*=0czeCZks%}Rw@ zQh`b<2inWgRaZnKV*1KQAvC=lY|lM&_eIg>kEiE4KmLk(jrP2M{L?|?yWPjxYhylg z(Pw18wbBP&4^CJ$R#J7$?IM}EzN+jqb3K{F7Mot#hncqMZCbCsf5qxTF{k#IX~*f# z9?{}~@A1JWwNQf#@i=#_yulywVQ4KHH=nEaPxOzmKl@mwyFHq=Ir%}czL%fzn@+h^ zcv!&sgKIyI4rVCZRx_e&9e2Jj?rd=iA_6xy1GmE8ZsjZp9~w4VHE--Q4BU=ud7J!< z(@T)GMyffEN!Dm9-md$RtQ7rwQ4ztmcO(vGWiL0jx~jmZ+~#FePZdP?HouoyTgPx8 z@GAWMhNn6ChOE@xZqc9IZSQ6{m|u9gZ4j~ppCVJr4UH6jp4t4KKOPXnz35fwmtxnQ z!ohAi!|M0c?yEyg#BtfG3-66g%TdFT>{5=7;);$^4EB!RmmH<`{W4wef9e!aoV8io z@#)R+(ap$?x;OP%>(%i!viPp{Qd^%G$#O5Z#3VcGD>1R(WqGov2E-~CzkT1~FB80z zcJiXWmtbP5U3(^n0ME3E_?fn_CD}u*Q>^gCGvovg^Lsr;*Nqnk56pjKdt%r4jYH$g zlnGXBSYhs)Y^+`L632lyuPBagQS7-keDmNb^YU}%{>|jB{gGmkf?a~8r_1phyRT6@ z8<D=F;qTJ}1409vgCDr={IO^Xe4tRG?A+*+&OIDr<c2gWS8;B3Ob@!I#Kj)UBX!u_ z^o20t>!lqXIeZ@t+C-qjK3b>tKbTyMIpIs;lyfb74_8Jqruz`jd=`>krZS#;&*>80 zdokVTB<gd~nb4ut44qmZgiC+4(t+yGD;_l0Mi4_@TvndlZ#dZ3rdbD`*xCEWL|DjH z&CLZ~Q}Io-IBw?Y-fgu@ZL#xer@@p+<%CE`HNs%8V!KOj1w-z^NUzJmRwyH?hV5*) zwK1eogzI-|8~KkV4j)p0l>p&u3K>_7bA7|-@w-swr#kj)2Dycrh+gcUb}c5H?ehr# zA_tFd)f-}#uV9I;M9E@=be#c*U%JdP21`yN<8y51B@>9TBu{nc@t34`ROt~bO6ENd zL)}r@WyXEiq92tz{F-k4K6q*{g~N$YC@GI%izg=!;@GG87`qHPl5%83t+1WJbIx;) z?W(r(J`zHR_cp4Nmx<iW2;(SYjJOuI*@PHmg;2d`l**bxaHuf3LsTyHkvpLpF;kL( z&9tp08@ct5;O6tqOD%i_7&&x>MZ8R~<i}+E1KStH{--N!;s&&W+LgHULwK@j-IMUw zeG8g{<|J>f%g(*Wf2eJ@Ng)Tn!f90TRS9?zeP{{>ifoa?p@p`!ctf{@a@;)5sIcDt zg<r|ZXjjDXLL*GsRV?u;QBnXQEojU^D3)0cC%0TAqJ4=&0&6(x;SaxS$-!R`30wID zatt|n7zdL<j3WjdHR&=~3v8!k>00tdC3YV=hPh)W22m2F%Fdl@nziQIMYL!_96H1I zQ~PxyRSt;J0E1P+c4LVQZ3yZZ{uoURT1He6+xfc}#3&S*Q<Z^D^<$Jf(Z(;dp@Jp8 z$GNuhd9c2c5xI)B&m^}TCZZK0DvdG6T&pvdR6&RmjK&x-O)OD|D5;E)rZ?hX&6E+- z!P?#@;};8-EBi4BRi$RC^q>_bu9*;?9$I(VC)a%iNY!&sZ>{eOu;_goHFoWiYkBYL z!he`$5bP_-I76JP5x<8?x=aZMYoA1J(IcWY$}X1GcF#nb)SirvBzg~gAgE#6m54|> zM1Pm@h=_LSweL7CZv?NSfJYK{pXHKi;b=^25?OZ6IW?VN|C)@uj&sfC_egv>aOE;F zRvpJ|{MpM)C^F#9^b=CpBc%hJAy=dxx+j|mS2$Q?kG?WZD4UsI=zN_BeADlvAyTy= z6FBrSSOaV~6OkbtNzI6g;V?**Nh3JCHM!GHwPY=KVjU$kP(ZMMM8=KcTzya;19>tf z%2@kaa?2f1{FWhso=A!ijj)aEMDAjwah)D!MGxCdM+}<5alJ?IKIixFKig;Nt>xTo znjR#s#FZ1uqebH`n*@3_VwX<!sLbJ)N8F|%w(%s9yBcXcqKEO;$2Kz%gJyAD{RrM7 zevb|A&3YqW_7P<YJ%K&<Mp<8HV57)!A8-O!P(^Hc1e*|YgEo#!fnST>ctlLIu$~-5 z;ixi&qcWGV?bbx(14KW)!HCEu?D(CvGw}YU6mp9(5p7lWK5N0Tb(5^-{9D<1{5k2J zMS8@S(5fv^`&se~MVRP&9iaBtvA3!sZu<%r>0!$ButZv-WG7NuP@lsjU#9Fb*0z+4 zR{^8llolio)qcstQEV-U9JhfJm_`*P6%cG<$PI49SW_IcII_CysB7`>(|sPQlQ$`f zrlpS&GsF@RM9C*eX-z$j#9SFMMXYTd8IJ{Tu?XJcr<U{hE7Cjm^oV{Xb4!Pz%@<ic zmZrX(-)rV9zK>qMZGdfLB65c#jX8jMI@soY#Gq{)*Cv8@jo)LCt4giil)^b*0il5` zf_)AdcM|7XCEziTEmLBMwT~pXI1<q*6iGe=NfLCijT%I5VTADoW6X*swppJT#Eav4 zgycPi^6=*aNt!t~qtb((C~@(I@Wjx$%L1!h7Z0RUt%L9K_)(ul7|$lhvEu}a1d3|1 z2^0C`1|%`I6UUr@sKy{%g*TKh48*k3;pln<sw#z9Fj#$TH=4*$jig@Si`mMT0m<OM z9G?M)%4=k&I%z>+(U`s)yAGBZK$JX!kiGy8HFF^53NeBgXZ{>l**}83qujixbl@#b zrSBzYatsMST(Idu`aUZ1TBbR8X0TwMk$6OjPM#LMajwEPhm>sb*P$?-7!;5w)pqXO zx!dR?1)-(w%f56f>QFq#F*B@*b2n2ugKFrZ7@BB~<H<5-DyHn;jN=kEl;_jrxS>o# ziW657=%IZj%Udx~M{1x-kBwBCKPONDck7NP8_P=7^@#rDX?r)!!My0@=Kp0kxnm)w zT0n`eXzhjI`RyQCjf2O#3^8KbSmIrxBprB10}hi+nKBcsZ3G#grLkO@05>pJ+j%5` z*ZPJR5Hd|C*k+NF4RO%8Vl33<FfEWN)xvh(F7-)KZ5f*XIehwgbIjXloQ4#tXrPeb z7)cI$|KsVwH+(U74O4$-6Q*hhW?Zu)&>uxCoUOx1?=;gROqI+XorVIwF&s66@A|r2 z|EQh`M|Xu^h%Jj?TR~11#j)Qr;`ptCwJ#*M$Pm$!#37AC5uhV7ir7X7IZqJAnnoCL z6>PHsQ7TC2nA^%D+muVl;e)UFtnLu4m#pS^be1?q59SZ>=)eQ~RWt^r*V1tA`wiWg zbN@cc-)AWfV4OiY^iUX0H2v}9-@4A7+DSgXbr(B9!`p^e+}P^n#^m{`PzI&Z={Ny- zfufC<goy%jgEUbplE2CZmdvo7mx{qr`>y2Lva2D7FXs<j(IZMd;jc=E7qf8gf`)G1 zdA97D#36Sv<dKCd`b4P|{;COhF$d><!O(35UerMjhrs(_BN@2L;m7=+vklUvvS}-2 z4RaatmE85ZD{3d_aXKjmpyUgFDNh2H*Wp*e0FNP2Dv!TP-LUjpKF(dw(CtaSZHfVM zI5YX0;ONO4{VhYa>V_9orT^_Sz!=Kg;R7@I`^K*2lVxB3>q6wPSGWVi2-PY9tvMZ7 z806=1*qwlq@YHbrS_QNa@m1=QpFpklQ78m2HR&Gm_tXEe@_!=?SfB?i_%~FO8zhLa z-@!j2s=E-b)j%}GN;RmCV+=4}>R6%!QPLP8jWOgPWXpJ2V98-*e2T^jh4QM0*C-r9 zx;)7TnnH&%;rmkBhF^j2^sO81p-?p+L=FzeX`Dh8`4tkTBgkPnxC8GIs?P<q>U2hy z^$P31#!&D=F=BY;f$ip99k&-paPupQr2!!K_teC-jkE!IPDJ$_0{rG4T^2Yx9|57k z41#SjIXM8wz6L->heI}31`EK=1|Y+i5UDL82~T6^ug^&>oJ!^kPD7(hK9`*vKkVsK z4@yX;m|#C5)`*-$_G{{li0ERi<H+yqi4iEAdA3kzzonOEVQWn5^}SeIM6eGd<C1W$ zjwp{rfDEcw`yz771tNL{Kuk<zC3wwC7s5|Q(;*WC^)RI-*zRLQ1`Q-N9u*^KoNAIz zaL6*b(@ldtCy|OcA;T@<W#&wdBH_y^dj6_oPtSk+)pLg%MvF1k?{OM$_=<k#6Q)zh zVT-r}2R_q9(Ho?)W)d7qATFPvc=K>RA=Z3?eIgk*fpfiQF!J*Wx#2J|HWtU6fvApR zaV_>kS5=*iwrhbH_`94OX9f5Ww9{qHb!6=14P(&I|I`u5%>YLb89Vl_L>=;kAFsr+ zr8D^f3IB*f;OJih=l2La#rJPi{XZ8nEF9%ATpIV#GsOHf!?v>%kvEY2b^8C;DE?L9 zPmTN+i}{yj{u&dKqK3KuPPv%UrScp*zkx`#D359g@f8tr3Da@puud?-ZY?tS3?6~M zqz-Q6fd(f27WR3P?-v84{a0%K(@6F{u&^Es%lqa(?fAb@MR~tTEf}j>$$z0P;n!%| zr|lYS??-xft!_*A8=BqEE2Xn&E6*6_cIPU&Uq-qx=j*WR5CzIN405A$l-$pEA9riM zzC-emLb_z+>7;0e4q5Ymp4Lm3!qZmf8RRl#DY^3?UAV48<f+_Ht_?EC?aokg=RmrA z$|WUZmDpS97&MQbNaB-NvcFe^)}C>qD>6;E5gN8Cdr0c5v$*rUxq-vU8Q0RbH{E+j zm(~h*pBjNzdOx%Nj1q&6;OOK54rda`<>X{x9Qzx7#=H6)8$~jr)<NU=AUcRabw}UZ zw2hpGAWUK~!iZho1H{b$h>baZ>tet15+j&!=32P*dNQc^x^Vztab!ZOE(U9a?Up4n z%pj?S_+l^ysf0|zR2dk7KsrNl=%KO0(RIjVKi-O|fNY@0ID`eW=f38piBxX|glY;1 z<mcpM1%&j7F^6B8%(4!a981Qx+0JwKw>+qQ`@`wZEijWQoQ4r!kx3rG@hLg17k9uF zq1wl<Rj5BwCNIYf&d1`o>*7wn@biq#f;Z&2Yd8TZfug~e14W_8;mQ)*S{6uznsD=G zCWZCWVFT<ZJZKq4j-9AMOJG%<JByQixV{yE4-@~k0Gfa1*F$n}EKURPChIGLV-z{; z1(Nz6<cb9{q7a1rO4s5pk{d^@R*}aw4KQNhFYSqv`Uq)FLyp9388Hj2E%?hM+j-<2 z;)8kT)GUH^6**28&>??OQVzl94Y^@IF*X#(9EqqF1lt|tt$^DL8uq2fK2Tx~52@nl zf$-xVK?=?q6ua$P7m&#NzXYCV%XfV17`l42fwRIb6ixnL)!zKd-}nP?l#hb7VnEE0 zyvnYQNX{UoF*+QCTp0)e<T5f|U}c%&@IUhyg8k7}{!{(;Vq-oj*maM%?IOZ>5jpM- zPGCm|<86g)K0pi#2LOrSJteT03V2yiLQ4*g?m0@xzldOKSe3E1;Aj4dU=vMlFeAp^ z!ZFVxtKHtkv_fQKCp%CuDaE^{AQIe+Fr_NkZX+VYFoL>DAZAV{bs&%6kN}ExtyEe9 z#796&c&R#*jnmO@9l+&R$=z`PHr#<EW5G`@pD><Cj+?{@Xz>+om|~lSaR=;>s;wxk zC`cO=A#E+Dgdt{-p&mxebPtm210>hyNGy^OQ^wk6lkuh?!_5|o3||qfW5{tee-VB$ z!6t~@phS#S0U08z1(`sG!W9$uNeznWvC1IB&?=60iVX8e!47+Dx`^Q;jK`AW+HeBT z`HKAW2@^@=25(~QaU8QSvbxSJvAEv8hLXh;Q?i)fSFr8oMC2l(zs`8%ry_PdgZvI` z?>)}^&BIFL!lRU|wSbb|zC{Ub08cONuFIb<V#_7iJhqji|Li@CO&ef5Nc1`Pu(H39 zlDS$e4K!R#k5vfaS)g<Ge@uzky6J1z6Cg8e8}=ts9Y-WU;K!<CyB&xO#t7;n{uqpY zDxrul6=qT!kV(n;{PeMn=)XCeE@q{G9QFl4eb1O9DPjICxZi034^z_atLORPd_(+P z<W3ylONwB}M4SMgugEl?U;{h$BE}+d%mT>j!eg$*vZ%GNFny&1IiXj24qr<}4tWVt z&`s~4z$_@plResuh*ZO%2*#LFWo)+=k)akr?E{LSo61^9aCivO(QGd|@(ZzM6YL3O z94E4WD|0VHR3pafLUcq{M}c%qcu%>hPQJ&{-P6U08DWVsM9E1Iwa#AlrH-`)ZAU@& zH5)4BcJ#ympG29uvu!yEkETS#Ye+K@wD}eAK;eVMW?*a=_5SCW(tYp6$mo)k#s61W zxkIEcUGvaGzW?yg*yyrWGYo2zMuBzIhXi8iVWas32a~m$&#<aK2Mt4c$RS6%=q{$@ zM1jvdy;K3x-);tu%Oq!X=MBpBvg?Nq#q)m-12CIGTge2`6yne&Bpjg7Vb=~Fx|u9I z5cX*+ebkNr^A-JcsU+IUMhGzwbp(+v`gwcV@Es-dop;CGn6E>T?%M)grN6|m@14n9 zos=t~Ln8d29d*;CaNr9dSiMwoXS7H}544i*3Jf5UGZ@lkhv<_tpnE)YaOf?=EJc*t z#T5%6%TIx2-#-73SwX%`sWG-w9x|It;4Tw~iwWcR|K<x2jsJTp0ns=OobSPZ`{IAT zkFs3o&_QrtI_XkDw3RdlaC_}vdAcw=S49CVT0tHc)WMWNs<}E9?CQbiLCBNw)WCkN zBDb6*qTdR=@AqKN^&9k&*x`X>tMv;qVZ;!F)y8&n;@B4i7%%8>_~*(j0S2|(qkU-J z`R-ZDgE=^j=Ky|-2-BhDums$JVuY$CfM4B_Wg|dLI@ogq|4No~XPsuP+}M~yaUmE_ zz#e6rh~sm{7_UoMq9Rc;93jnN#3A!i#>)UpP9)<eAuw`MoYIAK!gwY*P9Hp+K#^<) zVWN=SAWMv0!7(4-OTLSL^HJ2En@E*_Ou#^tHpF&I5*hT34>N+7OM<|!jP2|~ZVb8l zJVbvi(Ra2^AieWQkGQC0zUDY&akPhm1yy9^K>6c5Bgny7I1N0i$fSti2>W`9J5Yd7 zH4@M&)Ez0K6an`@{VWs#jWK14SmG6;WC}vs4Km7j@DPzL?{Umli0ZqLyc01?Ruxc^ z*>hk5LTqWzGi}qz$%;7kLjrph*?bvMO>C#<(wqJ+<{=R)XX_x+JEimp6(w^P3b0?x zAO*kJbMfps1nW9-91Tu@2O=xjeHpm{0V&qnZn5~yzec*b+t2j_RFR3C_y3cc8Al;p z7Xj3AjQ$H?{%_eHC6B!d#+VU$sP%C4mY~E^Bne+iQLg&GdF<vMd|pHSf5PYg*`NGh z_}Td12x2c1U>womsL7MTY5*)A#E^hB>Ta=N@0AW%g<N^_kBCj7s{1dfpL09(9fIWL zzj*t9LdK9@=Rtb?ZxAuoI+Fa(h#29HGp~X)D3hN8>IW@HL2YZnWA#26`1ees;OGA* zWdx(_rRe-Ugv%3`J%yh{{A-5tPlj_Ofht_XcHRX0GT4Jspz&K*8@Z1oj3Himt7DrT zh(Q84E@LEb5z3?HjhGdDlx-}OkO0W4PF{m}g)km5!g#A<n~QM=-lAf*ie#|hZ7%Mu zIA;CNB=#RDM`&@A%ieeJcUuQN-GWnbl^nwAFxn6GS^h-G5bpz>P3xmBFX)u!?G$qZ z_zzbep-Nv%dJG*6MR1loK8Mi*s0#Z85^hw<b?vbGfPh4awzK_PQp>({H1!IV@r5o< z7wXY7=~UrRb7C^X8aQ{0rZcpK9@07-or*}7;jWmv3B@E;S*Z(9Ogh*0?h^;|dnhK2 zJsO=FPvW5KS$q3uj5r>@6G&6%i<U8TZkI?$ehTgDIyxi*wu>jdb4!nqRWhG0>R`cm z30ak#bXZyDEy-|uLyDtP5@4ZyWqPi{rj*ohEIl?$iMcbRO7Q)0jHpy#?nwuM5SBuO zS=m)*qD#7D@p8AT>Y!_SkhBuljZmI38h8H}iytK?mtT=Y<l7oTIJlU-H8nd*9lR8L z68t&;Sn2sbT`%43T^CwDw0fg)OmfURom)A?h#qMsuIb$DnI6O$dgb1+WY#kk4)$-5 zSLLVZsxsURb`JW)!xbT7SDcCOm0a&Jx!Bq73KMVl-!u*xI*R)2sFp6JRP>Govm|Tf zDSc^Lo7DYC$^9<E<x_?Z`>D{OIlj+VRMVwoA&BYbc1LdnyT19l>EGPXao~$r)ZO=@ zqNl$+H9NFs=ex0{x~uV{Xl!Af!oOj<bSY6<v_E$RxssGDt;9Y?TN$C7do4=I-4@}( zZ1=`Gg>@y|hdDzhg*9}jh3_-Z70Mg_^%=@bD^$ZRi3%0}>of57MBxFRRt|UDt`GnE zYp!h}Qq9S$B7(xSH(?T4yIcL6EFU3k|Mw62OGE2Ux!(FzhWAErZG>oGum^?I|B1q` z;~1<wuuK$K_Rno)kdh^p*!yT13Ykxs3d5dCX<-i*ucwt_7>;|>V>*DoyIeqT#<i&J zfq=$u%Ddh^Uxfb*?Ebi8C4A!Z+mB<_k#KPFUpV#ue*Cm~BdpLk3QSRy{?}a$i5NLs zr;^_JrAN>xnfD2Gvba&0EZ5Pux;vk{e(~k1l7`gbR|9+%j?l&ER&qCEa`^<MH=~fD ziZ}}Q?kZGAh^nTrdROfITCr&7X?+^_#|j#{cYZ(Go!|W<9JrgXySBUYDRA*kU&*a{ zdaB{R-S2_hD_^E2sMqAO#_#K2Wjvoneys1pD3>+yP=CMbvtzQ;@h#Y#ic}JNj(zP8 zliYdj^I7BZ`d78&vdB^TE<NY7CQ|kH&pbO;Galcfl2g$I588&qgK<vhv#jy@R~_ZD zY-99Yrq5^DJlEg9^6Z!?JgAgYA^4F!$1#VIq4wtCxxO=Hs^Kk`C(YLK`ps|V1QE4R zCS^O?yTdPbRdw%Kze=F(^Ut%dPvDpG%X7>~I3eYaDjC#>MO$9wFq_H5H_!g^;Wzzp z;l(rN7@7A@yrCJE{Ib(oxJk==*@>*MCziCS%X(ic5{^05efH`b)IxTq&Kqnu$j)rc zZF!Dqa38NTz<$t>OsM<pIj9jNV2Q)5>XDNZ%!=fO^BiluFH04AUbW8BKU<I*XEGm; zmn^&a<<09eR=3s}3RArD>TlS*`lZ*%FZ{G{O^<j&xVLasulc+%Ro@*{S))cow57}7 zSGOEEwti%A(6&5*w#qNhz950W%0JJsHsOSiAFAY&My!S9)wwmj&Qnfuh9f@r-u1BD zsW&KjuK_(C18jqaBz67gpQ9Q<`<4y*H>dkA33DIv&vPj8zT7v0t+H+|)}q;(j(;bX za}F<;<q#@3THPG>&UiFH*)132vS@B=S7-3EJmKoSB|V~vaBtL#UbCMt6^|cE?1M&x zx}{6f9FS+TM;<PLHv4v-eR2YS_MN<G-<pE;Hx54i8bNm~aa$8vEdj!n7d+Q0a1%YQ z)#XHG;Gf&lI}Pqf^#)5V8j{*TWS2(Jj3ut_rye;g!OT*2IB#0jN!#^zJ1U4tD<bOV zRoi%dvn!n+1MbXM-t8Tg9vFL<wf2U%)~iAFrOv?X#)BvPJ3sZh1B4|n{L~vyPdNLi zF5Yi03(w0A684`h9Oz-uel}BHP81h@dSP9UNGIG|_e-x?K$yz%4r;koBcj^U#ec>v z2j|=4Q&vvAvqxd01~(^A*sdY@0w^SE1QlE2?yl&OYZJ^4xqSu_>p{eKJ+F>u=%0O& z8uxoH9xq&Wvs#@ozW8;m@iK*nVeQ_QWwV;XgSWC1=FWf8@Ok!~ySC?7oQ2cv_9F3m z`~D=#%l?R))h4IBDdLl^v+wt}EYn~Y9+X(*X1A`lXD&=|3t83s>MP8>a68YTs$9X4 z!<X`?x77JO>!O6K)Bbt3ZxUQs{PJwl6ZS{eAx!78T8;@<3f`*H@1_AR%6yM+K;>N4 zlH<m<qR>tb-#ykbJXW`S-_Ll}d>)H_!Cq%j@<D?;rOu#qP(#wZ?sG}6Mv%KD&Tai4 zbUvFmC~Mj{BWF&(6lXEx-!9}{XaA`#!R`KP+_e(RGNwKaspi}5VJ5<Zh*{v_(>;}l zN|@{D)9`Va<*qGTjk6ep4ZGFb8&_GD3788HK7B=bc~DETAoXL(5GqJgE28k$RoiF! zW__I>N2|^gQ9cgngrVA?)mL=~=SPWudNjBfK^To1lAIunc8#F-AdDqFazTRGn|9Zl z1$_VYJ<<76L??Rb-p93t`zOC;;S??N+2*ps&REjYuj<(+CLC+7|Gb>Bh54}%|7^jH zSI&IvE7(8!3K&;r0{;~-u8M>ct$rxac7NV^H|(HBgn*?>&5t>4N%2&!GMjAu{pG30 z{HL~jj}<@rxO@e_ur}<fg(3(6txW4h3A79Td0&qR8;-2&bsqq2{G~S@3))y8??=wU z=k)mS*Gz0ZeujSTpEq8UaMjW;5A@x|=$%EquifHRVJahkRN0V5grud5sla=d(UWhb zpY?k<Mc(RJxwWnVuIvH0vfFu%Pr#MkL6s0SVqaNabz9Nv^l_5w9r0SL2piU-8Nput zYMgWKp7+(xMNmhk#mAtI34S>gbtEfW^2^L+#c^5Y{{~sV1)V8O*gsi^U|Y;;IVfDI zd9zBtU1%m8SlF&X^`zcFjHrRsuQw3y)?oNlk4T)zdZ#JO?Fde!s{9$hkDS8i?Xe~e zDsOo3y#{in-k`iegMqFdVe&QWovko8-Y?G~ul$+InoO2WvHt$e)MJB-@h$suDmdb^ zYERxy(x=6DX03TdJ%3G9hbw#y%DOd>=CBA+W6g9ttAR&YMd~)Hv{AzcU9EB>=l{_4 z7GP0*QM{-@2t!B>DJba(LxYqe1Bir!GIV!$mmsNh4KS2AB8+r*w@8g50+N!_p@4wE z+y38u_kQ=j_xwJb?=XjR_Fj9fwa@ykb$%<k@ju1N0bU*Wnz;0P+5rRnKCPD35$V@> zf!gon_XrD2JzoGSDEZ%+Z_-Iu0}3re((gfm(2~-bpg?Fns!24)D%rm^24O&GL*6Rg zd^iGYDK6n|SkyYwE~8FyW_fBh+e`mdsK`NM6RKAWM{DSzGT?>NoN-kdeu@)y^ieT= zg<_Y^NuFFmr_K5=p|i(j&DUN%K*A<B(aA56g_FDJ%<D)yiJ$0>J2(XjV0b++xYA)? zp#(0t2T9tWt>HQY<MO$`so^#X6APBe|IvoJMhW!lC%T6NS0gjWcON<I*E-I`|MO<U z2l?e>il{-U8pG!XjaxfEgWhRtc>8$D&hjCBjZQ)!#`hY2<|nHf7d33<X98ty*vRh? z6HqJ*fVp+6!tVp)Js1MU%L8&lolXL&Gr*gd8yB5`6>SfI397v)@`4G^s73*^I!LL; zY03B)N93?R2K(XKt0d!lj4S!kpMec6<wxeW(*6M|S81#Qwt_)lAiWmg)Fac8BrWbL z%e5$Km+UX@#%a#BnA;{^_r=uEs@rkoxhu@`S<G#|h09>?YV#{x1$!5fZ@Hi#UNuS1 zScMF1UN>!|Q`Ox6xiopOdASv*sE7lXR-L}3GNejw&`LYGn*Y&TfXf>w)0%c`&OL5v z=I#+_bM`-f?kteH1tw%HFun!0ryv1B3eY|ruNox}EC?t<X8lOz_q`EV$T2bsc<RMG zl>WnkFSDOppS6Lgbw?5G!qQDT0fnY<=_){}%yZK1zXcSUg{5DcXr*lgJJ(Zz+8K9X z6JU9_9Nnf>34K~L55P=V{R>w^(jCi=G!`fY6nFe!ZWF5TcChc8NBxrbuKP2rO8BZ( z)4fNPPN`MX1I(kpl~w{+kFo$4(ywr(1{g&ec(TbrT^j^XHb2lkD!7`G<oxEmvse`A z$fx|Hou6&1`JrnEH1B<sjv)eCV7nUT6%cCyH;hYXcL5G%EkEtpz3%7E&xdP{WYPwK zu5U(1pkTu~7*M6lZPonMt4eMHRtyLaY#l_ts&&;xz-C9JWi4%DrbmK5jX-@`EN!#| zwEnK<XU?~Nb^Sl~Yp{C|uDy0_07}e-Q{V?m+zW&2)JL1xdgk@YA7;fnSNU@4jDhtS zxK!~ez-*zDVaP&;O?0M7w)q6midZ)l%Ni7QUiKGZ4VT*Fe*dM(6SMFjVd)2dm(j^= zIT}JUFtP6JFM?lTA$O2bWZo*~i70)djX2c4f(wf@Y-mE=dM(@!3Cq|-M@u3LGq%x@ zdPtHXPZi5Z6!lon7vZNa*_pUgUkBd;dDHBmqL03}sA)WHGd>{h3#*M|O=q|(yXHCs zXJLdQ*&4!QFuLk&^WA(D)2alQ-~=qm52-xl6TSU2Yq<}`SRULnaxE-dLvRwtC7-P! z^cCg}byewh#SsK~sx0&1I3G*&B9r`=G}Z93<~AYEkuZjBbg~e#@Xr+N=;Q}FvJ#X` zf{}re$t+MZsR%|EB_*o%<&L1<tB<s|s8L=O9x0@=>CJT;&nljlRveF)og-lq3+Uu9 zWTC_|I+GA-rwc^Qj#Ge2b5#O=p?cT{n=1P^tif!J3g9nzvo$Ke!2UmW%*{AdrTpdV z971u4{Dt>TVGYN0WhijfZFHsx@IssD4oRHC2w1>Q7@Yq8r#MtK%B42aNQ%IF^S>I- zatipF=FheoFXh&m9chE~anb;jsqZW3&{<Fvx`d8y1x2B2=*V*<35%=B@>>-3D`4ol zF4@Vvr%GFVu01e9r5p|SAsAhMj)q4UjC?eQ+V=<gqbiQmSTcX5c20s$=P+SXZy^pv zIwzICoP)YIC!N0<hGKds#kDs8OBzKg2fC>Y>a@Dqm#yPnjR39vE%y6fPqy$_D;$tn zW!w6*JtH79t&#vF>`yn0>o`YazXK*lne%1uBP^sJ871SUvRH`Hf3qHk5`OgJ!(m&n znCiJ3?)b2H3g{1*LnF{1FozQ)37@NqB^E^;ll`SiceY{d#pXuZ+#7GG?z`Nfk!z|s z8dYE-gE<=DT~l)29BSPa^hbNJ`rrXMc1}X9{*Zs%Rq0hnxs*cC*q!}m3b>Ef&o;}$ zYX8PrpOT>Gyjw4N?Tb*P1(NxeO{ja;68Y8zD5fJRu8L7u(m7HY2yjrY)lH>ugRQa} zY!0+6-YNrBI87EWm0=;AD2tEELO6<@Cns3~Y%OCz-D1c7shbE;6|l8<APaSu(3!PB zRo2iQ{x}7339ib|FnHGQzCy-w`EG(+*J!+61EmwvdzA*e=V|ihdx?A}w6<$b2Uqri zvTN=>t_&<dChA@pSb#_rld}|;>mV#?8mSDP8V1WZq>9eEzG}C+ZSn180YioS-9aZe zBMTeW(3!H?UtH&5A;dt|o+^tKDE;`KK<%CaLxr%6t6STISRi4Npn{kNStz-Vjzl3z zvb|N7V^P#LIbYn7z#O)Lp-y7uO>q=SMpB&KoA@fFh#l4EYvY64Z8TnTV0lwNT-`9* zdBI`-7NtTYnQvZ!vX2B$4j)k0y0fVnchEfvNV^kd;7Vfrmpp@EU;o`T2?=9dK}Rc% z1mjjKQA}?nxc0unl5CL5GCnGUkk&O#!!Q2?n2v<`uAq}AkcGZLfb~c_Um(B$oWes1 zu6-cDTp+;468UbMTh~lHUk8rg*?;;fZ8z)UG(jKzKZ}~#E~tY{&$r1qHbFVVupqQ# zpwiMSK1qv_yIEnd(n>MXY2bk6`k=cS-*)$+0M<-7KBQOjF?0o^i?J9CC0n8#8m%-n zj_305D8Zyy3~#Y8Tdy#H8e_}H@lorbs&-KDyyJoeLb0stF4!L}FZqpSr1Hm;_>erw z$MGu|i8mI5QDoC>5*Zeqg)FMbHC;FE{>*Ag@$5mNisPf)i=EL+?5j&$;d!pnf#YU< z^sniQQ}qRO@cDgP|NhN4(~ZzAsD72IZ7&ilCLJ#BNY6CMEn1~zYYxEG;l}Tk)ylS= zkFi|L;o5;MsSd-$Sn^$vTPFE0x6Dzq;#KBN+e)p!r(rt)!P1RJe3PO1zNcxs5QYC} zfsIl^7_hF|_m5r2(j9|J;u!qDd{{GrrhWc&vmJ4HfBRyJsQC)YaIbhVSvs268C0|7 zPx33#5j%r~woY~f_hftoRXyP}L*b<LlU}+!x{285GkevG9RkrD7IZv)FlR=A$@plJ zXbAT`egH*-lu{-vnz`WKKdAjgxzb4Vt}`S5WPE}dBmFF^G^pXb*~=A1r$eS;C<1Eu zuJk7DPCcB=@^2%lGXmMQP=RPwQ2g}geS>x9txj?X9WfMCmuP3}zH6{5G@TYphw%d( z-ZEk_Iu+EJ!kl$WB`O}fS(YyLNcF-uMfjUvxP~o;o<ysHf<#PlgLV93<Ww|AHNo_V zILd8+te0*K6vCh!ao2i7V9^{YbUfTJ=Zw1z(mz0{%!h{M#Kq|DXovW_&Jsluu4-<} z4U0V_y>K3pvZmGz^kV2(wCc#+x+wjM?VD?$7FWm6P0nptv6q~fmiP!{=TO<8hSVy- zbove`+xh<~LR^jA+{u}L-(Z=yn5i?>|9rMJT>zAmJ~RAf=+-UL8-k1GQ2)Pk$efdN zgRi0?tatev%NrIcd&Q1Hp@0_4A0xNr`@K;nBF;_62l1u>K48fj@*7qQ79*LXuTRh^ zmBEmPS~Kp76|K_gbo7QAhVIWRbJS@kvO%e>#PoL7&Mf0Q31Lk)bKO2{fKMc(uKtHo zub3>%nE@*ysNrUbUhJ{$g<B9zPlII+HK9$9)iMOx|6$)=@-SLrqI>aV&$9o#Y3;3? zo|~tg2-KR{Q*>LX{x4CR5N+`AM&0(gv0JBfFP96L7f6}vyLA_2g<fZ-Qxd<6EE$#X znhwZW%&d)O{&tt5M4Lq~U!qdOZIHCHt~H&PUl*h^3m2ogq8*^T&Y)1R;+dOe$YRgm z*lFkP5!8&JjvGive{}4P(u+2*CaoivmUkQQ1glCrp{6w>sOV-|wfNDjH;Uu_S&-3q z^C#JRH47Mg@)Cj<d81SkR`Uam@36xri6CffBI>dS$F28&At3w!(bmM$rH6krgnoB@ zV!QAb|M4m|>KtT)R_vRnjj@CQ;c37`D^+cWkyvu+aH2X$oFh%<<h>dz)v|3$N2vb( z(c>~PmvACuNL&kbrmtV#uIu_meY(BzU1#4ii9I#9#X{2nd5{7BR~eSH*wGyu(EQ_i zZ`4_6vzxKof^lD~3HJ=$Ox&;Y>DuGwtod;0-+ML7@%ZRzgo885f5mX}w#!ZU9x*Ij z$D>wckPb_j9+759tuIs(%(i>ZZQFp4WQ!mQqGn>eBPyg}JNUtHdq`N{wvz$NB?~G2 zqi8#<izQzQC+dU5sol)P+^w<FDD$>vyjNrX8lTyT;0O!nCOUH1JyyFO4h`I$XY+5j zI_0ieEXHRFAebLQ$OEaFPC)&QobBM5;r0zs(_*T6ncL&v{`Wgyjd=<_GY-KleuKP( zo=J~Kv{Ku4FcX}m3$HOv#V4mCc(reo>haf@W#BtBut{79nwW?>zE9)vFCzdvf1@-* zKvY=W*4+7$O>Lv;Y;^c}HYUey?16vUVrWJ*v-RD0HJxlsn%mEd6cimji`ERV%!P)< zk0iZODUAI)so5HauKI4njJ@PGbi^aD_-x>|@Rt<FK|cP^Z9BQJT<H<ohE)0<TDIL( z*pO}n$E$E|3Q)<OSe!F_1c6WT)L53|qaPp~ydh4LT%sT!X!#1?<Bf$+yVq7vX=4d@ z&t$Xw+kd~{`O58r759TU`NBo_RBV^?@jVbMeAJ`XVl@LFjYBxRxe+G=&Gh|R-a0QK zORK;4$adfbR@@m<+6by=%bsP`f^+~)<{vHF!5ko-26)Xv8a_D-!RvgZw2{B&M<%{Q z3!B7?ppiY=9dDg4y+jw^y2=p_jiuEW1{n%lte6ET_~R4Zi@|@?#YVXy4EomWl{yHp zbTkp))To&<_(X;BO)gLBPZ5wv5;!ABTRUOJ9Ux8&oT7ryY%O2ndyX$&@OUb9)EhRR zJq8O+rGKDj+slI$V~Y?E1Qh_&vRcO%6`)wyy#3i(;2>C`Fa(5%6wU}LiYxG$cM!~x zq~v5YOpN?r<DIeIp8szDIw)@Tjg*FM=UpsUUW9fmmHw}4e546bHPX0PnoI^zm5(T^ z!y$n@j{M8GT*9?mp!&a5Y`aylA@m52(FpE0AkmUpyj`ds4sD^)2UU5!#8_`yQl~$h zqI-I_%Q5&K9jtfc!1&SE-SbTUcCMen_fhpYetP{Xgl(@1R;)N&yadXm%OP5&ZEJ2+ zR;OM@uU{c&JMb7wmlgia5&FGC(blvW|4|$(E(dX%N-Ew?k&b|FQR`P3d@#CoqjuB+ zOP&%zRB$6sjm|#331LvMW`DLE8|2jd!o2_=s)tauhLmDBYOKrfk$#Blzakz(Z#?B2 z8b2CPL_nYrxE_0rc_BX23c*Z4Qp>B2P2xb%D1lO#L2$+r0)o5&2d-xkjn5oIFpu0I z&!%IN<Pmi<wjGQxbjoe<T`He9<h>l$>GAjZY%*D(+Np>`8@j9XTH(GLx~X();Rwnk zx!h-AQOroY#=@BG@p=GLO#eU8(0_s23@n5#hx%jy7Da`$V+7}%=YfdnJB-UBTVuZ( zWgi5tql+WxljIVfgGG@5H049gEv^)B&J>Ib1){2PnAjKy_-0`tjL0Yu$Sr=JzesPr zbb|R4&sqo&zVU?}d{wTSko~1G>;ZyZfaT_=vzG%bcRN4rKC&w3vNYWhd6Y~(Xj~OF zr7A8SFko#XFm+{{E9+gWWnN9X;~Q^{`<elT<{jcZ<7B@z%oEjGr*(+_2UdoxI(N-V zx32>c{;TwB(yg>pEBQUn0<~Li8a@yJVIKj6tv&r7g>T{N+jPgBgJg0O<0_INRq;r_ z0c#<isUY7ORWY6xv;+*bI~Rd6j?VT@-hOV=qUrHXmAtxz7PFoIkwt)O6~MG_QXCU9 zck@FDjqm9J6jIT+2%xf&T*jn)0ENs*rygwmTGgdor&J2C;OPKZrGCL&>lKF<%k)#^ zhO^zF#<0JDnB$&?X<j;eR?F9_DdoCpnaQ2^p|6bZ`7P&1_Zt`aE#^n!jH6`$Al(Qc zbBTeYxOCyei^r#*RFfu<RX!(i>GpH}u!9j*_->Bn?gX&_UEptx0UiOdz@y}0X5%Wq zZdGv?iNdV=mK*1UjvW*{wPc>jPI0Ian(yBvz)TngxJLX7SF%$ajn?X8I$L~V_6Tv4 ziuI_ichfNM&)c-kKfR-kJf(a2n{v$QXOWdL&i}sVpZy=FaQOhGC<aR5q0+qzU`a2P z<u@p50Cugk@z>>zOk!0#)o|z`pn;CKuR}m{3~>ajKy&6{Q6Lu9`=>cEAn*n8xGD&I zzrw@@0aOe$kPbk_AR&+{CE#_LxJ3z)C!I_nhjN%qPHkKzhbWi}sO(feBE9clXquR= zLIjlIb-I0|KTL2)HR*@&AyGS9j^*YlfHyf>G=;yY(&e>iihNfM5dx_SK9q~?Nw0EU zS*7tkhPC|Ued8j`LVjkHu{~xvzr#{MvEYNn2cNt%O&qNnfCN2plQ*#=?XAr7!~n}l zL|qHZp=Mk}_dLVZkd5^|WP1g2V@fzQKs%zTkcEsODtZo35%69mh>B#Tdyia<wtl&l zpd5F-qqk>}l`p1Ybq?Uyj=0JAZQAMboMg-fI!*SgfLj2r><k1j04#U~0vG@m^n(Cq z5#52pO|E31wl>sK=Z4P(vNiU;z_`kR)W5^T02a6R4Hn{qjB4>wSquZX*M_;$IS-CD z5Coj`IL$y1aNfs>1_CTI?0Y~!yX7Rn9=UNfj^BFYPvHQ`+(0LTmq90Y(3!W8cHj-s z4t|`1H$W!(VekO2%DkXl6e-C4Ex$zF1G&G|L=+Q%IRO-qlm~)bRK5?*UpE|WwyVm3 zGENi7YS<GeIs~#|1t|9F>|_kchDkO}K9`+<5&i<u%sh+^lC4pVhmpr*Q|oS_KT?B~ zlvKV|2EX@L0Uwo4QXCpAdG9^k*Ajr>{imNpV$;z@iR;hiOGYr8m|j@=eQ7Dytx9 zY(v>YLC}arT?5zB+d}tHYx&pz5%5wO5XNcp`KSz&;zYs4OjAK#E+-j;(`mI$la;L_ zFv3j``**_Vm_h7603*-Jp`Khse|(1HjFil`YT);Fy!?p{%>|?Fg3)p0Xo!4*k>}-@ zPb?!VRowyH4&rBBqpeBSHFW4cfE*Uk(NO?$SVl(<AW75!5>^BtE6w#6er8P|w<(ct zUVyT<mdyXrg1SbZP2C8D&xNZo{FZ<Ar3pp){}-goc&QB1fSUwup+l9Bm76G>rVPNO zU*JUl{y=x!!YKrKsVw^7YG%fI<F}guR5A?XGRV=W9EOQa=X|N?hK1xHqqf{t%<J#9 z3OH;5n={4{97%Fj0h<GOwIr~)xL3gI1DjLI)^Gzh*AJq8U~@FcsBGY^K@twRX|A-y z2$mKIR1&9|{k$Eu{o-V)1jRHW$>ll+OM)Pk4Lwx`xs0}KTfi`Rk+8-MbhHDquyF?+ z$qf7efYZ`Y)b(2HFAkUEQP<j~xDK*VTSIH;wC1KsA)iqgA@C8)ZK$m+fTF(wy0?t( zu*NCW0jOgf2A}q<v~X@lk$OwyTjEgnye0Fk>jA(b!Bqhey%$L3EfDr!HQK^RgT;~u zh{P5;ngbvb;GJd*0AKm2EQh10dBDAESJ9|zxEyM~E!wPN&q|KXLKNv6$^6Ael)W*) z<MUD1$^jm~jqYK_)wGQF#^?F~1KdR?Qv$^ACpuFdX$SIs9rQSbHYu+CVHkYgt8&Ta z<tdqSvxV7Pls$ki%qmdq^4ZD0HB0K3XStU=yyGSp>#~=}!Kc9&`)rpNY?qxIl))zg zm#JAk7r%r5o&;-uzF<q=`N;-vVryObYy0`^^WaEA+HAs{cTmmN`OnlXrOVCWi_5L6 zt@MFBXP?`9ca+MdWWNV=woA#LjtczA{FMj3=|1@!9Mn0n`*&UT;v~4@bo5dj5AG8} zUVZw(^t`0~V(`%=LbighIy65cm2aTL+|61nM%Y0Yqh~5-oph4HwQBWExIWm9YxA_w z+(~x4WE~edeom`9uAY>7j@JFG4j;UHKzGE?vWp9~xC~NMJm%jTE-_WN=4uwHRzDoF z_qMj4aLXX9vw=>fWeoFY)H<%4=ns4jsv4aRDw3R0Cx3McbFIi_Cq5k@iVm`$Iu`gn z5qz;h3@zQv3++9(Z1$Da;xjs)D3`fdx!iekxqI13(aSbj$u|+2gHZJ*DV=QHa+&^> z+pauyt0L>tiurPwRDAe!Q}*<*{OEcZc+(tXG4PUww{3K&V$~p?+{B|Mp_GLq*F!Dv zQ{~Fi(1li7>_=_meU!DBto1iRnd**;A$EBYRkDE+E7y5$-lqCh`FWM-omM~DUoN{_ z?TeIZIjIhvv{<f`2yHcLeUaz3-2~W>eFVo+ICmeZ(+Sr+Dc9~n=`$goV9Wm(tfRKs zzmt9D5l?7wIx!`^TZTz@=v2XS6^Cn=K<(K?|5w$BXeEex`uwIsRUfYzV9hn-#W*}1 zb+ct{OqJu4@+G;`R%~+<&UL>x*TVWkhtD_pntdD+@S&{;)gvGhftuBq_{iLFqQ^Jl zVrerOxN59GwNo-&QrmI3(i%u%+JkKCqfnJde@_{Uf%mb6)$TV83nXaA#AdwJ02K~Z zqth3DYTN6H6%z>;AEIT#aES^V*qX;b%M$xVnEp4}qIZ(Tp>JjK|144ZcH}%fSZNw` zDYdyXE5%*2(11^-0f8`hmyfIFM-9G%5t~$vpuw#!e|Pss)-5Cxo@js2#pD^aEKj-$ z_IF@eI9_?Eu?$qM*vg6@Ip?eg@5i+o*qlvFdG3A_!xBzJq|MyacSG3@!$D9SLF7#m zw*}3d<fyT70rT^a)XpBQ{GVkuLhh3NJ*g}PWk(hjJ`N`FglA_Hj7>h9;rP%LgsSn4 zQeRljYAQZ*0&(3X{P7&=(-xZV2cVc|AcTdNVpZ4UZhvIABvJe_gJh<~qHa9A|4hi6 zCNQ3m4P2(#XEPojY7&w57X++6STUan@fjK>@S^K-8@}f{7ETGo1Qcx1BVNqJy=JrX ze-=uaI!D6m&)71yX8q9?ol6&91v|4$9ACMqu}78guMg`Zz7y9!J6i_YFpJo(Hu&(u z7gDRf8`#OE4p<E`ErzREnBT0~E;+=Dx31cDgOBDT3y0lrXcniDO%t2xRs#@AlY!Xn ziEXbbR!lxzoQ#f%fm>AA&~{M?3zr4eU{vD$(FxTeB`0W$?lqR{CZK?8SZE*KpSD|l zdA@tUDQ1bz<8-*p=gh?aSlj8-=dwZf8i#b2?eAPl&E5l_%Z&az<QVf%8~RvjWnHJz z95gyqHYZZ;a6Gj2>48YIGdTPX4v&VmqFZ+r(`Q{WtXFgen_Vck_K4GNz;*A{Sc2Gf z1>wLyJY4&u3g1D8O)5svOoZ1N?w&om+dMBkJW!A5ondiU1u7=n-x12f0r61d166Qt zvfjjB;x6dtZz<mgS|N?TxT){@%y!@omhM%=Hx{bzt^kF^;6J)yqi7HY$W;h`W2!~( zHjBf~^5mXFd<ZC4`}@XX(K#M|d|G)1A1h>mAdkzdj|~dc!E3A#_zC-e_=5|AxeY>2 z_Op3)TU7X&t$89ot@DKr#tNJ#0yI_v&`UJ3FpsP;G$dLqo5x2{O4bE}LbG}``BRfX za1!=>bL%{9T^SI`gO5UdvJHaw4W!iXPR);ed<QQ!X#zpx5?<FbT#p#22;|iy18UpP z!o0IK%IFKOXcix(1PCj3{#|j`ozHi^ePqrhqksgC;jgib#7Ac!9IQ#4FmO>pC0olf ze2)wkejO-W>|mvNV7wR&AgjPwm}<l)4FIx=Wk6QJ21H8!snXQ-QYxU`iixYn6ue_A zgy0PVL2(Q|vJ!Ef8NAL;`qYv7dle`E97ts0jRaH{eEpF>B#DBCgbq;gQB;z3vHwlc zz@2aM`+oyEB7p-}v-}bteS&a+-f+^rD_Zfy*0K`cLyd*2f&n>#0R_a1NxM5v0`?4@ z_@r4$?xW?&s``Hef&)k4_uqgrg~cX92N0^<B&C?UUy=O(y?hl*b9y=9W<2a~Fu_P+ zBW$rYIU+N{iR2zgxGm*ci13q0Q`UR8y+6rnyuyBba&?0`Aazoj>p8We%2umSwAR*P zcK2L>%T&j4)XmDd7maV$SR6}g@4t*AJg|(tDQeU3maw}oBV68k`kq3dh1n1bgl1JA zb5I(=IIo8h8SM%^d8+H35h<?Twk1q%x2orrF-QM(R*aC`PY2NS0yblVXUBE054<67 z89e~6B!_M1eeoZOozTZ*Rf5)UBS^0EdHNtAA`hzs*W@9Phqhf+gQC+2A1AS2B~5B$ zgyb0R4*|2F{b%%B=kz<aU7T_#ZqiI4IehkhXcMmf>16AW0mFWNgitk~+Mh0j&sZJ4 z^_BrfWUMQcamjeg1zm1n(=V>qkx?0E!jId)L|j#ZlS!JJ^_W2{DD*I{_%8HyXp;{; z#N$X$cQ0%}c)7-m3P%>RE<V&s&YbqePPb3F+a1ZE?b_n@<@uD=cL%eMH<bcq9n?q5 zA#bl96OuOqHclfsi=7*pt_FCt0U&Rq6<v#bOE}@wwexhc>$MTIPo`S%M_~j^oo~q3 z(QJeR0$~+5o3d)MHtQI-exsGXZnng)@#Q6PXygpN=;E8VLOy`Rmc#awliX}p#aqey zgz$#X4ZR9h>Fd#@h#o751wR=0=Pon^YMos#xRxD3n2@=qH+NKKz~EY%VdJ$V&HJHQ ziF9KJ7TVMd<aT*~PNV*k=Iiz)f!CR=-0X$=v-(SB7ix+|`{J8!y<M0=D7Rsi;L5uQ zMtZ&>Nf)!uM(&aeIO^B(Apcn6e<OVHKmO`}UWDe2m`&Y=@B={27xh*$0kE{%7cU$I z*O5A3+F++I^?n`&Lz~WXBmURnKQ*Zn{ih~Q&i~Xzn}n%C1D{A2+T^1uXORXdCpGbC zu25?}z)hU=mJs69btj82cGA{thzLUS%>Yw1(iK|^ZMts;foR_c;?p-Z>-hWM;6A*) zszg98$!FVhN6vzRG}9H3=$(gJZvhfLVmZjs_i*`DJ%-OtW@_mXBstK6>>9zP@CeC% zIG@C~aI18?govxnS$^7pox*~Te3HxdBN7m50`kGuw<ObqPXRYypSGMw-3|Q;zgT4n z%XF{=NQi~EE@rPa0yYgFnzS|>F%D2649KhiQv=<NEJQ|&25S)bmn)%>hSZ{q?*J<? zAVd|g{Uixki2)(%V|?fsumN)D<C1zo>zoJ@2e_J^g;}3H#6w$8x1x#!h#dyG-t^y~ zx<iRrs706GzNI22h?^3y?RqGO3IZgb|AU0qoQ7h(O7PuS7bXSDon0Zg(h$Me4;Inh ztTTz5uURbxPKNn9RJ|cgPAzI$@%D~9AoK==n*RvBA(_H}sWB;ZH5Tx2*F2T5d>27Q z!xziwV%8-ALG|flg!;O&)!}>$605xzj~hcSdn#U94ga$uX~^}qT0zUQ2r3W0*pt4l z@F{3Ppp#jj4s<op&a9I!#p<I*H+vcbw0g24Tro1APn6Fh#7y!RIWJ~HV!dHY_=TBS z$66enF5<pqobzMl*{vHJ1)u|^Y-ke+_1EFVx6aXkh?Mg~7N^`SpI9b=+mzMmkoFz( zf(RiIJ~fOpHtU{rViqrC)>7}Mb@4xO(L<Z2?Eh&M;AgEs;S+C#J`MzQ<KA*KbtIWp zTKHIa=&B>M#x&*W<ZxPqa~+>&wG}oC4nY;@VT8uJvSCoDnlo@cHJ`g)k`K!i^N+d9 z%|6h0=A%yabykbO>zGb%Hb)VUJ|-CU)xl@`5Wsz>xml{_x?X!h`$}pB&Eg_p#qc3r zE3*+5pr4{<CyD;wT3!$0zK*TtRQRjSQi(3qy}eTp2sdH2L*#NaWq@$=DL%G1bkz_L zZdN^&SdNOIN`S}uS(<gpLr|=`7`OSZ>;Ncq#_69&tdxuZnu_qy0&1ERN(3CUWy4#l zZh|;QKwy7U4n+jX6ncWs4gsv@6(p}>>jllTBZMsAY77=;pKws?Ky$MZTL|Q_7_ggD zz;T$6`A^>mXy9Jb0dliPI=~;$eRX<C=p}6`Hw)E$R!<E5>J&-{|6}=1Sz=k<AmTM8 zU##DJS2*Jl1~vxwbP0hrr9XnqN}JN885Mkn62<-}eC-=d6^8gkE6_QBPSiT;ElCXM z9KdPYhmiAt-q07E=VlT9()F4O+DBF|XoiV^$-{>jz{pG?5Tbu0%LH6%KK}`yPwsl# zP0?i!IgW=kqSgg(N$zv&X1W{UV;z9J03rS-f)v+}^>w6F9`M)<3$w2K(1M{l!6gTX zM_X0J!eEp@TUcsB=^#xe--3onu6t1voa|?n;QO(z*D26GU?o2qB4EHuB<#&bsKCuL zhvfM)hdB5dpdND-;U1Cs*<yT4v1XDua$bpX(-jW;M@!j*JYW0c>`GamjWRLnjN)+6 zrdD)>vw#(LS`|1ujsN42`&VLu+A0+r6ZBVz8Obj5>Kq4|hYM9?qtA`*8D<4Ax;*JP z5B}W8^~?iWlzH{c!N&#u&<74fW`}qE?ag{<AQr3!n7xl(=vPo{nF_(Rwg|#wwbh;3 zPRpG+7P6R=iv9Y`Piv#Zk@+nyx_tqWD=iMXozmpm5B+z<M;ZeUX6!h19{6(Kw=VGC zQLDl`t@C+GOF?EMM-cV7)p(~hKF?-J$gDLuOc%*`H6xy(G$YKnT41)*Xp0HB$F%w; zDzcI1otZP`ghcy+MW1c=3`JRz;UUc8ZN$~<d}JqJV@u$Rotn_SHm(!P#-}#rVAjV9 z@$fd#ttfsTcW}M$NlN2%E#s=VQ)J_VEHmdpYs_>dICP9`Jl79PW#jh-!~E-9nFlU9 zWgZrBbrVjeXJgi*53%^Bi>dhDh1P;vm(&QZMMn^(KTW$c&kU`ct-v@%ayg3eS;d$! zW|0q0S?YH7MLoD^U7Fx3z9g`pqG%U<vj6f|nEzj;{&t4BloWjez*x830Hx}$c@3!k zx~Sk9fa>q_1KsFsemJQVadC8bc1mir1h3x^;{Xj(^^LoVdwR$+|J8xnlNb$x70p~) z#`Iv#1e&nvV7B@@{#El9*N*(uK2oyMR8Cl!ANi_vIVUJjtq3tnKj5}`)lVB(9<!uf z4s|}X|M9;*7+(RFybp?<SGhh3z$j~P_`bE*c=5b(N9gK=4EJ(Tzk=aD1~bT-;yPfV z!SAouN0=}mxhq7t=IMsmaiDTjkHIwSa{_+Bl{zS6ea*+lLd8yUuA<AMw~8H%S}7Zl zVU`4vKYbPm>j1T$309h4sr{UR>q4+<(3b_0FmN(sLHcm4mqfBu5!6SSLv51;O=8e~ z`j&w>#gTe_+99oXf4_*JVqP*9agWpI!dYo5rYsQReri3B6QZUTgh)0Ya9ic{Tb3MR zvc*_Y75el%mQ7Hqv6|NzK%%s84Q_{|O2BpK1UhnQy>sj={ladVU!*)9d*<9Aes$ho z?W18r6lO_CXdXz*F+us{yM=Ju0VZ4EL4oNvSH0{+x+Z(7LD2jX^!L($YovkxUb->a ziu$yCn(rKcy}(lQ1-!^D&eSAtS7xOV{A_{X_EqbFf}w2*kz~nmTjljzI__bz55dro z^gPQVaCU3JW-A{Pz7Beijj>x4K_yY3m28Q^l!{@oVh58}N>O3Mq{THTSVu%cl<tC% z(60yFW^el6x2y><?)s~ZNVB5$>iCf#?Lm7?(2iXrCY%nm$DCld$bd?!g7%p26sF1o z#nqx;;<^={7WJ*%N+4<27P?a}!@XG0-!rp^3EyN*aUZr2E&~^%OMo$y7efyebl{7X zP@x3SA@YObr|WRx9fu?j^_b9R6*T;_+r7>z0V!=GDlHFBOg{nHAtvz-D~-^kg*lO* zTGyilWyS>|Mz#R85hXA*EEpOCoc-ZA`zj5b8g$wg2A$`V^p|7t?@Sx0t)>sJ!>`Th zh!rwbu*Ks0&g1*T!NjP*#D=6<Q}*im-^={U_Uc0=4EQYy5hi%K&6u;^i7*({Z^$o+ zFc{EphyWk``VCg#qnE>xvcmC5hN;ZALq63<@(?wCV`WJFGrMIKlqv;i0O)K-0N3bq zND@NEWLN3~+R;=}zuUZYZYBqqfMlLP?u1-n)Ils`@|s$Di)rQ)KECFrTzjg$sD?C^ z&nYyEO?RJmxFwKCt_o2pJ>Xt_-OtH#gh9)*rnuoP+$nt3I)f_bx~qz%^>yIpXs8fJ z?Gpyj$<Gxo9BG#%0y_Dn+)Fq>%;OY0D1q|kw+&lds{;yWln~Vb6t4aO_m8yx_cOmS zvCOO~9<vstW$TOK|9cNrBwX0rHtAO@lyExrZgZ1P?Tv<a_8MMZ>H%sUumqCzBg||5 zgsAo3nD8_CTIEKdAwCbd*W&wWXISsW(75Y?RZWHp(bqm{c&qry4=xN^P<v>{go>!3 zjb;tNsul;J#@}yj81G?T{$izZAF*H`0Sm#Hpe(U11jEU2=S^F<M@H2*f1xF#b5S&# z8gIoGLjiRt#zCLcaGZT58p@bn`?2x8VrMVbTlSgpseB}iRZw@u2zef$Pta1V_)%J4 zp`}!DNF-HJa#J02<^v6$E#U5~cF*^oY2UL<EYa+FG++TbRPR+T!`PJH>|>WD*`65h zwJoezT|8RWGG3WhxKk7pSPH%BP;)~MIEj03b8Y*i3PcQg1$1m4W49@U5~^A%BI;CF zD-{ng!^u33$ud@X%Z$vNqj~~<ol>2HlwvVll)9Xx&tDV(H`_r4M^FIA^(5wh?|*(G z7ZciA#eN6Em7sguPc40!Bqte{jh0yoUzY6W5|H5bJ$Y`Ouza;y@lKJta6k{d{*=Ai zASTgBUt#MjyY(w5$-F~Sl~GKrlm4<JXoecoaF)tu?meK=$j9lU{A9;HaVGzEqe1aO z;EYD<OsOUqmtYnb<>bF{1vQo^c5uNF{Ggw!Tny%yK4&&yFfD;H+5q1S`nkgNk`m*R zl^hdgtXr2!HaXSxc>VgA20XM?jVo044lGpbm#-SVyE%?alh-F;`O3ch5=wPe`}zd* z&K(7;qlJonWN`Naz&iR=oAW*D;B`uJe|DnGkA`JfKj)~#J--nu(B>9m9Hg6Z&#!~_ z`Rk49PdI&oz;}utZQ<;XL3dr?WvKMulL0T250&JtxvR_1OD(K-@LD;!Ukxo|7P<^u z;u>Y(@f*<{fb`uk4pPwL_Uq697oz!8uTL;E&TbhGrJA>;5;2GgFRy)a0(^o%?Z=FK z#ZG!4a#hX*T|U1d_5m8G%A2Z32eBu~YeOk53(aOLvU9=JKJ)2kmu)V&bLXdRQ|**E zDe}Ouoe|c{sr_<_qxjR~pxTK|f%ANu%enH_(^C`w1Gjo5LbAQW#E{2?vaGI&>W%dN zxulF=W|!{YLKj^Ym;c_GFAr`vcDZQdnUE%=X!ty})Gl>V8WGTbq_22(yfaoFT>fxo zYiqwaK3A*EN8S0HleEEzt<2}ow2twm(Phw!`JV$hBdngDHG6}>fu%eyKJ8bo&8_oK z;5`-|zu3%AH`yIeY0l9gm<cdGSgQ><%nuT1Y_!l%f8MG((M)O3^obiEQ>686n02Yn z1pM3?4hdab6C5jI8#R;v<vWV=ZtW*1`GrZWHQGM>sa6|kbW3+ktL!H|_RLP(XZ+XL z>I;*A3@zxfhp*KyJpTi2QOa926s;|Ov2Ue*3&#w~3v6%fZ^oO{>>0G4H8lT7CL+=O z%T5wd^+E0$)cf#Hdb~+pRB^L3zJ%L7VT8#271ON3)w~VZnNhj1%Wwbc*2US@^PtvA zW6i6S8<4jy>LWk`5(bz0^L<RW^eB(=Hl~f)er+}emFaI<yy+(hTobxuG#s)aCN%`6 zfUWjxaThQ4kXRSG$^)Ju{-_h#mkao~_|_ih8ZYU#J`B=asXD1C{yVxYuqZ3ocj4NI z=>wzMjh`2-Y|dyu__8UpC91S>Ox!0nde=ATadlQ$4fG&<m633T@r7M*gG}D~ut<^X zSu88~{_d!)eQVuWUD@j$A`*#1cED)q8Agy@Nbfy=L?@IVb&dOeqV(7I+@u&?o8!LX z!|}a_w!sOBg#q<Fw$0q2BG-=UQ<e2K)*D7%%WsH`9t2J8SJ(ST2ppf5Hj7*y`Yky3 zc`fX;d)=&Ty7hbGm%Tdj@3!B9iU#o=+~(~?SKcSZZX-1m&xm%ul!hg$dfjwuyrsER za(4FXwyD#t@S)PM*OFR${?Fn(@}(ZpHSk#93ePSId%ffREKVfv#Y_9_La&>``4sHj zI-Oai@;+L`AFds<Y7y_Ote0QT?5mf)y)-D(b<(-@H|6L~QCLFR_4<Lo(zn`e3D3{a ztzYC*Ulfa}_7{g~%5_vq-H_BLrc(MYmpWcp^jGU9-iUaoOpBO`qd27aZE)^E_u0U= zj#4}687Xsz?-b*5A1|a{Pfk!2h3M2%J|k}_qCm8Pk%^Ut489fHi~DrZn7SXb@mgzP zu6y(Lvi>avLnq?#4z^lw?%($+Rip@TiJq7C3-@fv1K%D7l*S6w#ZP|vg8&mO4}0yg z{g%ns`0UDf$FI%XmU6cgwj9X~Z36dq+c*B4<Qn_sU*-n1PsN<CC|@+T#`~cAT?nsA zgEMs++w?;WU|Y`38wv%f-L;`F*MWe_DA?=JJYPspk72U)Y$c8XcES2NN&$8Wg<-EN zgLz*Cwbk_38j1Zb0YbtmfvMr8UNabz&+4zaXkEI#tJ>CMGrm>%V3T9xwx#8*2A^GT zFo_C^nMf=JVrN3Gr@($8cj&b4TZfz2-ceDs*3F7i3Pjzv5QFM}({TAWjTkVv=eHF2 zz%+=;Z26bYgD?I1W!nzhFOOyac3)n9rh1)#_R7D1ZylZW;LrJZf?-##{QIH1LUP5@ z%EQja*+j_1$KA};)ykdU*WA)VOOx=*_3a%oo4d6F=WmZFuU^Sfz4|Hg4hnVCR;yR) ziiu1IJ>_2S^}zirCOO82KL~Ytji&3I7?diWvLhY~OvHZX2=~;ZvDDgIRR|VCPjW?5 zITGwqR+*>md+8}CG{l=uYCnT^-nN>EprU3WoFSkfET!b@A6+|o_5O=6Pxa}8Ro-VO z0=07&t{D?=R?Z(gtURpW8ZG<&sinAXt@gq-nCf@YyouJ_55d8;^zzcP4WB>fjW05$ z^~a6Y(&9eLc$Ng#DoosUNK%*je3!rFM9FJj%jLyN+2Gl9+mOR8?iIY0e#vf8`Ow)J zdq76((A4n}-!AWN1<U13vcSIA0?!GaH-+B0**?WAr~dr5-gZmsl+Ftsr?bH+?X`%B z+<EP7ftHJzZ+M<Vykf;|ugv$$5i1Xca~uEuYA*XDusMC2mQh|x$-|a5adgOMVlw`U zPL@D+&p`9+x{=1&_4WyGeaX9#{S%dGZwM3y5xkJ!2k$5Nu+LPKy4Ih4lwU8}dt$hH zQCBpxM$bC0HQ`NBl*7--_#m9*n1|O$WexipX>3bx455K?akAe_=8}C9=y|VfnY#ZQ z*-&bHi8hmE4>c+Kazx-yaG{5uL@7y0>ux%Xj2Y_I$A53{cNR7zmjp&x>n|~(s%q?d zRfN4dP4ALJog-9#wD4cOBk;AbA%VBjm!5Z)MWad5cV6p9(pO9u@A|Fxdn*21q~-6u zUUu=?F{%4<J;?LulK(86xu>EZK~nx+NvS0{zA{|r3oM7)Sh@R2*C6(J;+}%OWzQg1 zJ$_H&RFO*H)i(v1ByXza6+CMq&lh-*Op^HizCtFi+kB~OUKY;lX311h>c|{Yp3%!i zu^RIri9hL;uaKznR+OhLL4c&O%X{f8o-e%9j^hoFQ<66Ge`>~x8KlPg%h0~5tIO-2 zN-bbYB7OAaiu;w9G|PR~k)O;TAu%WJe)qmBT>DV5suW`#sg=B>^7}af)q}`|J2ZJJ zSY*M?8MdJq+&jI*x0+52#k~iQ`QJoaUkypIOpVo-VaOz;TX|BY@)+SO=>7BV%8hHp zH#yUoFT*Is{THx(6ueS+%#XsK>;)AlKrghk9%t!$FSGxup^#?}l@Zs}7mn2DWG<0A zpv%!8|Mln5_vJ4cuwNg1iYA8@n6A6$@IMxmVa(+93JzV264l$7qw!;ZFoKk!ZDlv{ zy{peMkK|>vqf|_X(HlowV`T&sN3->PVVQlFi}^pbKX$2pmhGSxP@HfC|23=32Jj-< zSkjug^AgkFsdMFx$bT--IOgJgSdtk!<7I)SS}XmTO6z$Y@GJ2i(dE)<25N8de)v<O ztG6I;Q^7PbY5x8JL%=a}ws*(xSyHL6QeCmK&&+n98tJU*3wgo%?}lRXS-+Pep^&be z_qu(Sx~YRRN;zyfIo7HLgRW#f!Ob7{>b>TlB)Q}W%TR16vVQZM_Do$nBtDr{NoY=v zmD!C@J9f)ABD@*o>dMmp<4VMpt^{)DuS;c|O?ikE)(-g+#lDeekxnM7FSJeg1#JwN z(<N`b&Uy<?K1v@va2k%PeNvq*8It%z{4mwxw%)3g)O~&b6o&_KW|9{V+u>wtyklz& z#%sBLi9^!`e7P<OOVLsJu|s-{7x3=7%Y$pb4%pk1QVIRdtmq2zrO!3kx7EKdmD$a7 zfrhqrHX~gXqL`eKz*Lt#vR?-|^xq@xiXhjkzY9L~>U=H2vuSfelpyG_CQ}jE^*iJE zRs&JDlPh__Ycd~$oZ64wy4JjKBe=FypC#K@E7orJZ10Bw+IVAV6J0VbwU{sbNz1dJ zgmfQ5NCT$?xc9EN-V!W6tr6$uJ3G_-^CM{NqII4i=&cHQ{F46Ag2aeIIFpX3u$-Ds zBBws&c|2z^G25f2=R;!2wyp9?Fr}LkFF#PZ{3b0V>ND>gnk2Id!LDp_4r>r~_r>Kb zb`L(B*hEKtP<<b@-%VMdce)oh_gJ#ewB+;go|MC5j6;c#K^fz>57#MJHJ!hHU{qw# z|8m9r)qz(V5_p7E3&yOZR%_k7PjPfqlu{o*0kFz8P$YaSo0{&qUP((xj?mN}DI47- zvgBBvVQMjhl}*o1^A9A<&l&i{yP51_JD4UIc&$X#uVX{y`<@^lTk{e@Hf78{Y*{`g z1<2u0;{Df8zJ~;P8?_bGd~1DPmS{D6!y_a1nO>|y_rl{lSKhw&G||~mGk(n+VTfFg z@Kok`-)|JWBg}%!*<J4VS+LN=!IH$kYR^Kx7Pi#ooFDeti|wc8gB=x(Fy^RHw|5LP z9~DMjA*JpfO}$e5xxvntPD{E*CdOwWX9?=;VuPF;LV@XLuE!P)?b{wqgJ*+I;=Y89 z$W4=FW|rSG-`X}#?=!5KtR5-zK6OqTY-#^%6Xc=A7G#k-+7fv&IMh`-w6v>d=5rnt zGyg3`;X#mK)xkL8`M#=7OmFCe^+@j4*Xn83stSXX>BUM`)f4@n+#Np;)tF20I0ov) z5P8}8{h0f%f9x}Nl-fwy@~b*v`bX8xnf%W4uo?P`Hh0$VP8VsnF6#o@LcYJxvvFQo z<Chto@y@b2v~mtR3uL}jB#zP5pPu`~F<4K~ID?CrxILle;<z~miigu#>gdx9a?{(% zJTlJqB%ieH6Z|L=kQtP@NYmGmJaY}!UfHSMAvbO>u8p^tdv51`=5BCvt1mkz1mibt zP;aD_ldiQ>R-bOwxNT7SZM1qLeqMOmH#h8w@ZT+Bud=)mVV3^-sff**ozbEp3+OGc zIX{EOR`MSQgKN`+74h*>^R5P3Ym(bj>BsdehT6e9n{g2<e+JV3EKa)T;QsKtIbusE z|8U;=O(FYdughuU@3u_$ev181R>!ZWn;oMEjfZCA!IHQK#osJ3zcL0ZMu;0l4<l@9 zU$HgT&8<$@U9LR*>qX$D7nhqltskuML0x9XG^4=#Slr`eJa^pY<gb`7%U7fNb2BsF zHG|c;jSly}aC@Dp3D^U>8&7*E>hA;w{TSCWsx|VHktNC3;&nNbEB~&;U+)-0AyR%= zet5KI(y+}N{3EA6$3X8qt*pr~NJFI`pXg%rVyb@ob4c#)2l3Pwj2C{9M!IWW1c%?8 z?ChoGi7#$5uGU`2mEY^0@0v*8t=XXn4qkJb%4%-gPEljx%F7>g4Q9M(D-te0N55$n zt@X+sGt?;^mg-0*Ju4c`LwAl$ZvDml%#4GJa=%Hih-M3`H0I`gTGNXdKF}J$lrjuD z#;IXW;1afj<N8q_U|(V@4-WYzyYW1#HHY?%tPvRrBicfsL#d=kob{%GtGS?OWb}tE ziwc)JgK3+AwQY*rycRZz2L_hhB@uN`XvC9!f3m`=sv<JY`MBpkx@udMyub5w-@x*N z12<?FNu5jNG%KV0nDT0vXs=CDtuc31;JSWPS(+_JkaBdnfW_jVp*gSiyTwgaJ>{^r zcq9J|wsFND{7;Px?#GW)zI!kj;Nm2l(B9s1eZPLz5&jHvLakWS-YG|UY;)rD#-Pqi zD_7^zDR;#&7~!RMJ2Uf#cJrr^^-o3XMekI8#*>V6Ep@{KXmS-0pJ7`n*Xs-WZ2mG* z<?i>twi}vzclQb%{^`>Yf2Qi(n~V=-uSi~b7QsuUG~SuY@E&&x?ag}go%b{M!=<b# zyQQ}!!qzE4w)XFqX+OLYSN`ctk`bHStrxrPXcF~kQRMOHMF5eA&;6)(>p2|)I^;J( ztJxMD#-d06L|V7s9#ISIlPEbRSFBuqbWSGujMC!$r;&nBBgJkxRsqeMv0>pmXx&0L z_>8@wbjX5nlzCvE_(L_jgflbDr;qff1z9_<ce3xY{}neu-IjE6a@^*OmTS5}HSeD! z3LZUv<Wz{2xdo;cl0ErY^-%n=CpEY5y<uFjXfCCU*#pkVobIOu!ndu5rmI9osF+An zeHP0+hLj4JpN?uKG>_bWl=(#E;S0-CY@uCdV@qd70uds^`_xHzMSlvt!p{;(8G7I7 z43izIdH;>U!N3<nkqFZbw7(PWjcB3i2vVP?B`dq0h;25hCL)VZCmHGmUklbh*QMjh z<mmAPO&u9&Iln}5J6h$w#XCn_k-K9uGD;R|y1p@CNnBpqdY<$k>Ng_B6YZYe<;h}E z?wMCevQrQ2bscW3?vi=uU4kHqd0%FwD{mf+0N3&x14$?7z|Wq5%IooK+70M2>!hFb zqPh!-vZ4>3SJ|aJYRUPQSNDmvcI@WUQA*GJ3h27u4WWabX}g6B;?BzP2i%;=oO*rr zV;X7;M4jV*yqTg|-z^i(oH-qGCV%4r4`PL`OYa@uIzM}m?TnPcII4+t=`~z`eNE@c zZu7Rh*R}g9=I=i~IlGIMODp3^(zm4-vHY;b>a#6fr}gXeKy=7R%a{G$Q*qU?_u2Er zZQ%v<UniEz+~$>QNtZ0KzC4K7zfmKBNlK}gif!faO$7Df-2>$JKMsZ*#j#kCavg`_ z@6BYp6(^k3AD;5ekx*q$<aW@1wIgnv@A|ZH`q#-M^#;|&>20Q&_FpHG+h>6RsX=Lt z>Vp#}T2{aN={J?%XRi8T<FYS!55yV2{dl;MFc>^PSU<lqFa9RXI^9NZe8o?vu_f7@ z$%`ryOLoNMWH?8G;;RXZjd~AzC#<VljVTp@+w^2UmoceGEOE_a^?8w$2HUq>N_isa z84Km+vvx+!`;7bGa;$uf#wYfLccnJ!ziOvmPNz9;3|i?OQ|~T2E!mtw`bE;Me9tr5 zOV8%!dfz|ioKrTD1cBMS0fyL#V>#z<3}wRkWpmMxN!zcDQ8=syk^IGvM_BfXn{?Cs zkM1IA`{I&MEv1c<A3EbiV>yW|QpFeJj=Kp-0`l(`D{}B!u@94kMGR6!KhIyC2r&xc z_bPjK9KxSZeDmc^f~3{Q!n>_2%Icz!((5q?&z5~Zz8W!=iAJLvUio0^Xvd^`sCFof z2*Nu$hFq8jJ$aPIsLZbPyBX@d+<8LW<Hq8%X`e%7l(@4j5x@C4wL!KkPJ`CzUE|Xi zs-8FD<nBv#&G)AvPST%c!d-90>_$~u^D?L#OjE4~8WvZ~H`$#<kkN^<t3ACYK_W+Z zJ2mgxBQgq=#NEfmlY!N7JM_YqrhEkEQtE=}4F5tyA+ZlPSNb80a806sMg=C8-+`$j z{1dTS?B=P<4b(4a<rEU`_$CT53in;(T8$tZ54yua7p9S;!L8|g?Wy$NN0{@_Op!^3 z=Fj)|)%(n?wjv2t2KD+zW@$BkU(pC-QMlSmgM5$4wEYoEq{g!UL^S5s>iQG%CN6J` ziZ5qoFGV$R0*&4i^H)*fRP4`G;$0P}(ysTse1fM)dCl^`^2*Own@t*&>`Duv>d}S7 z(~<Nnv!BSEX{+|Jgl}wFoK!5uiy6P!YJ?HK`N_qZLG_$|h&#uwQf=~eS68VkEXRj~ zRzGC3Zb)F}h#}2Ix|Cx5J?ai!xW>y+*H<Mp;nJ2Fw;wKz(V5<elq|PZ3{2yi#M-5E zz8;le>7;+xCb&i?7uZ*D&-|It0z>GZSf!gEH17$nk;~pJmq|qA_fYiM3@u(E<-30~ zS4j0b&rQPB7Q2j)Ke6fzf*Bp-go`4^dbi0svvo=y1@>_fKDx=tb2HZsb`{;&nVlXB ze#dtsaDljr^=5A6r>jEJYjn(4lF6R6J{o7xzhY0Pab@G_HXYqQBMw3GXq(1<^!Dw@ zoJwBLmt*`c{})}~7@SKKWgFXezSy>H+qkiv+~~%(ZQHi(<i@t`8@$X+&AfV5^L{i= zSNEx1r)zih+Gm}$G<i;{nFPIa?qWgG1eImeEuelnma_oj+2p#3rP^mn0omlLrHSBl z9jQS=)7j)XapgnKHSZFUzl=lc&3;&B{b|Xf8WA6>4106kOvV2hDJ3&u`kVStAS^vZ z=sr@*Rn(KkVOIL1_L;3{hNoD$6oRH?Fayh--$}WPlxzOsIcRCG{Nc2{)Eso3;Sfk= z7f7X=u5SaSR6eIGaz5#yq5@xk9%W!D>2ENT*)fNdd-pr!ckjPY?0pvX<h`bnozf0A zldxG$wvgY2+k6p3{0D@;IIHvM^lZ6V4c+T|c7y4Is1PgP)7t7Z_A%Tw|599eV~Ei` zzKsk<%>G`w9{8bj4WRQPTWx6eqh{OL;M9PudZLVrda0%bpvfvh2{CW!WC;PQ{vmx2 ziWSYSQ*mpt9B8Sg;$Z<MU0nLnW#lQ8Vf<<CQ2Hm40YghbiLgXr1B1;H+cb)mY-}<S zH<{FFy#F~Q7|0Qci>_j`J@9~{1_KBI4IuI=!OYx|FW#ccQMpI}5eAuft{0>Su=I|9 z&ZbYY@qF9o&26Fa0d!b-SN#zVbCamPhn#l9-QW=1ac@v1OPF$3N1QJq0O<w%^Ie}! z592}CId#BsU8ao?RUh$HT?#nv#BF4_zcR7i3*<_6DN1Cq-HGF5)pEy1j2xtGnYT{P zg8Io9Z%~aHZbeyS%Rt7_HjUEOSum%n;UU1rA0NYa%YRZM#Fh~kJmIWW0b`0W*HT>+ zSIfVARBsVqGsaPMH(VYYdQn*6UaAN+IspVNtM3`Lw6=nU#h=1+E9<+Wil&NEh;oAn z0lE)$lVp{_VJ9r_x5I(z{Hz^nfX{geT?7D1W$z2fB7g?bW|7~v9yBKmTnpea46LxU z)dMoV)3fGBq3wU@0G?pW>HuQyk>a1YVFc%1@EoMG9FpML>qpVBMk^@uZ=<An#*unA z{FUZGn}LcE82S>e%svxp$Zl*EUf%z(Y4V)TEYb+IBM=1SXZ2=yBP3@YaIIwzD;(o+ z)&L<alo}vRS+ESGADg-_7HuaT%#V=D4hO8O<ZS_Oce5yM!Y?i##$;UpZa3V*hjbVK z4(J1tHGp9YrVuVxFF>PUjbJp55CRS`EYs%O3l~gAt!-B-SPi1k_E7Z_W7-QJA(3$> zCgYvAE>V^mG7nQGy328h3Mxs<B(dN%(*!HJ^PUJ9v$j)1ilz`<bPi<A!OGOy@lzMz zZ~Y85vEo)KST%kQe>X#K8&9O1ppJz$51Rl@uxuMvEnDaKL=CM(Bga*7f#C5cYzq)t zY=5b|w^2UQ3U47~a8^HRHvhHpMyd=~z5jf>DxU<;COTV9)!Df2p}gjzro_Pm$jB*? zlhI&{I4c7?+d5+5Dn}AAGcz$`hXM-30s;bc(#?UilCekg17UE9l9e@7m38oz#0nM> zVwS{$2m&?)U?D*Tf&?tk%7X3j;tcqA3i;e9tP)WKCygbcC5Tu#MwKc|{7rwY%7H}N z;n%@oL98M){(uUNg=c;lV)xm-`i?DLfQQ!M@-U^SA7*@Zi%ynd@4u19$AF1a&r77X zJs&J}(t~;|#^re{q;Fhc1ULmIl7LdhNV5Bx()YjCol^||aRRk1LeGJdH)HIk+ltW9 zcX5nPrXTu>;mZTH__|_~($rH)4Sf^<s_vO@bN!y-k|?xjURnNqCx!Xk=JwtDdTB<g z)al!kjXY;e{05`0cX$<vC8fHbofnaO{pK-ISi*~b`WW%}n;#!Ntns&iffjXe8zw|p zPSLiFh(i9>wsXyZ5``8mzy+$ciY-cDD$oZ`L-vO5PFA$OuLhVYR1R3Xyc5g}T@OZw zyKCm9u{r=_Apwf0IKN7&2*|5X+?DSmObp7>?Wj+~FY$DO3u1u4+5XxEPV|g{RcXKQ zD(kjQX~w5^AHE$r&m~I|+`12<hx?8C32qb0T@hkf@aTz09ad@Kz>8J{-Dm?#65G_$ z#!ZEJdlG#6hipNFxnnRFUU?W5VhYjD;_BfDZGt>TfkNP}UV+F8vd4`9MpF44h!5<H zwa-UTYgSI6j~53<F&sk9G9n~;hR=zwuZvaJ=8!$<Ir9Mr%(BaababUVOXKL3g&Q}Z zU_jSPNGZo}VLwXLaxlG6piEB0hKUhET7PKmid-t24TmraI(+ah@Y_1K0)<CLZjLoM zjNeTQHy!xo=v_C`T8?jU@6CZGuUpITn%Na3ekiEav@V*_YHk%K6TVcagaNuxiKC&m z1SyK+HvN;EF#VVE)%-=`ZV3Fv3rV=1$Rt2B!*%f#4%L#xV>A+SmRqcjH%Jxi0wLyb z&2^w8=9cJP!Vkk6JPRTpSWHEgUhADxH$BB<ZdX9w6C{K3N|^Hjn-kPEywv~*LKAY2 zm`mUcoKyqyPkrm9d75fO(`z}TP)5z%=-jwn=I*(ErjX?hk0Ul|uD^3cJ~evN7P$?W zX>XQuqprZl5>Nt++iiXOJ0)K}74x|-B89E0PYbKq@frvWW{U>^TGUKaAG(B@WiXI% zle<gSu&EAapyP3kV~sR?ce~ZUL32N-K5ESL-rLX2-3eb%TI?p}cYe>Q1_%LZN@Qnd z_ZEk0szxAa<U!xHpe5U>W{I6NoR_-!ZrkjPK1^J}zwxAm0|pDc31kP5<tYk6ZiP*D z`+hY--PV)yF{bR$fJcg<pjD5Oj|X_ey*S^Hq(E^PJf|#`4e2V~H)}79{z5DpbmCM& zzQ?U^2O@Tvy<-IWb|_QC+t<If(!3zxtnr(B<2)xgY@%a#qyG#RA}Mhn#ivo^NzW`- zTDT3nh+Dym^7D5p#kcFX1GIp}cE=B`TA?17QJ7AI3U8mXsKE3ODccvB`%Y%fyEG!6 z;dE%{$ZAqJ76&PvTK}M8>p3|Y%oW8u7n4_3F~tT_*8e7eC&8aM#sG+S;q*ghi8)3g zY(%0IiHYBwR9{|lCRWrWpaTSu3SBk@#9{1g5QIOjG&*AHVytk(`<MWHplVZN^KfZ) zV3m+i(Tlon{c7TerClqy$bm6&cKLjwdjEWcgpP4GzUUlWOz%v=cw>sf84rQlKYGZ( zu+9w{jy%qo{|3y!q1$%4gyc=gvcXY}^^Bd{!R_6UJu^a})y-#+LzG8bG8;vIiI2JI z57&ibsmL#7pQ{2wzOky!90o=q4-Zff$V9oJr7Srxa-yf>ka#u`6j*&>gLxEwC6iTW zFK>Wl8esieu_J+YRVE=4X^y*1oM@7S7M|)GCGWw!K!?S+OYuoAgVSIX_K&<!(+__j z-v;Nz2y7av`lVPhW(0SQ0C!zfO=N`91vKmq)SW&8GMi4(BQo-Cs}c1<6~c~X#oh%q zq%G!4MDlJF-$3N)c-QB0-0~L-g$wYzwoKj8uR-of(Zw=iKtEFsHDN-$l##B+wLI>o z5nz+ql<n9o=yq$=``tgMi>4i=c|BJ*4lVg(Ty3Kxbz`%&EG*6H(5TQUggxq77?*#W zUxsfuGs>g3C;i4m$LF8KH^vxsG6`7g`fX?BGRl7dGW8Fn;~~1Z%ccmxdwy>Y*Y5h{ zk|Trl7p5&mO5VTTf}{tE*_rUvb$(b9E539b+Gz>BtGLxYa>R(!eec6JJNwJDDxqdK zs8`yTnQ|O$XUuW{rb0~X85!ph7n;pf_Gs{Xf?6XH`;E8w7G!{y{Jf87al+HoY2wDg zSW*T&rC;@1EdSP-vU_rU!U=a7$5JdgHbJ}$IF~dJdltyNC}2$pdikM3zzcA%nA9S_ zR<>sAL_?H{2Dz^Smoa9D84d>0pFx0wTzKOa1O*?zry%?8e(aiQJO|s^Gdo@y{LgdA z5y?ft5sdyx`g%Wb^I#61-5Dd`&KiU(P1wX+XZo->an8aeq&uVsHj_8|4337m=N+}0 zN1H8Tmgnm)#fY%ugEfNWe(aLfZsx*jJp3)?6Jyhg{q-GNiOY{XNft%KdH9kGyx-UM z$l5X%ax?p;(d%GMc3r6}Q)>nha7`&|DH^rej>%q*gQQDGtnM8iCR}+u>2aYLG?=KE z1C46X_#j-xAuf;FIGX;0Ge|tLnz#$WlNl=fU%Cx3xCvCy;`}H7cOaUQzzf`b=%PQg zY@&YIaxng$9~D`;h+c&9w%HJ*@w+ONflyRP#b_SKf@*@R?aNZ}uycsFNj#D+BtwuH zb^VmUsp5!}<~4}MX(l8IWVl~?1XGfR1WI5?a@6^lgmao+-tN4IWZFUDuV`c#5RN%5 z#6p<YkN&!d!z$+CIj2aJa_0|Tn-9?ICBTYJ{_J!EGB(jR(-u-^mkV;(kd=+IzjRah z2*;%J<oHW)N$NN}MB}sJKfn{&9$mvS!4gJz$)>XbN{fh?K=k5C7<{dq1pVwmD=l{3 zwwgIxvnBH0Y9K(E<g!?oi7su_j%yb0I0FM=QdzhuHN-CLytM<+d8eJR7@W@=XuLaU z80gx*dP##Ip1Ymg{*c-X>@(l4e7z~R#cf9}-b0*Va*T+{)rz`=Li>M`=o+<t)N22Q zc1apEv422=;_fB{%?gl*=nA=Z1u+mYzoq49nJ35W2d@&Q8A}`r)MwqIEa%t$1qt?$ zU&g)JacTbhl=YpDMJk=ViGs?BgM@=ewx&yNq14Swri7W_x5f}@NgeXX=Vk_EY=co& zeE%6>4+ur}K=Ed$fq7e9BKCBh6>5-8MFXoGGc#GzFcHhv-r?SCEz+VUNB*5RsvEGn zN1U%T2_W$@&Cb=I8ueH_<tx8d5ZD0w42p({DQlQT!_#jX+78FP1Dj=V1d6(^8Tb(T zEQbI|kn{Hlgc7GogJ$-G=d6mPPBM*QGiI8p$eNr@aZ(3-D^b?-N(WhR(i-Gl=Je#T zCwr?X8anV}iCZ#aRKyXHU~x0p^NdFi<lSSyaJ+j(fK0#9h74Pn&$2ksUd~PqpsrA` za5YQQbV{()dGgQoqD(kX^5Ow&Ui3$8zUNXWm2edBxB5Q(RAs_C)vZdWr{zRgex`m5 z^NfOFg9S>OAOfvP)CmGO()8|`F=Q!%am?xx280Mj!vh<WZAbR*U9fSyfv5b&FNdU& zOE%R^n$rtDu4|A6m%0Z_K*UiE9!Vkklf+~GnMxyIqwAvRi#P^;TnW?_4v?Edzw8Zd zHzs2wbTM>loXs4f>cvI_2s9>w7R1jkHi?Tz%jo0VF{F5Kk0G^fV05-#4OjdSukcF< zMyuB-B@j#xIql{jqmgU`i^7Hj%H56lsK!yM9-<j6V=B_Ykd+E=MRf@jG>43cT-VIY zF{SuUBqDc#5i;THCt=h;rPBhWv5fj71I??~UTd8APOMBCmGdzZ|3(2kCPjxW+BRGA zDFwjd7TD51{a_^<9+WUC>Rx9<A&deK!}F}ovY10^_n@G``XPp*`_?-A5x{3q-VUbw zEPq{rRe9{;fu$j+PV74zeicBgG5cvU0ocs$k*TR^g)GT|Luza~?_-tpVQdLxcXN`( zvCAyM5;C}qN$&HbGfb9=SK$JL@E%zf=Th#U#P7_3?*Aw``RxfF`0iw~=z?4Lac3za zCOG#q!>pI#n#x_Mc~=lrtNYS`7W9DvCU0H5X%JCX7ybW2KAVtM1|lA=<qw1UayK;q zsl5QDXGw((=!xuC+jYeO8Fz@8g%S%f<tRy9sO@Uf(t@f+6Y|bm?OfW*D8~)wXt@x6 z^VA(;xuxsKWFu4Ijy)*I&{)04UYW37Vrp8(^=`ka;;u=}Vj=rrM@ODeqqo{6`ad|) zY>k0n{CElziQd|%h8zR=rJE-9Uk`OfGSo|-23Us*J;bNnM#yRMq5;Ja(1a;|6YX#0 zf2s;DUH~&nb!RHr0NLN}<{5s#!kt=E|9ca(MY3mo(n5JrG<+Q$nIQb#mV7LTp3q$F zCGGQJsutk@OxB1h`s>rlW<{FRWKHs3?>e5vh(!cCab8-}?$_n$y^zNIuBv4IG4b}C z1z)zM7Hb|{b8)uSEA1DDs_lJDdfISTTOXGm93+IjpRhh{$q{<+P+(BR3E0Si*k(-+ zL0U1r7cS&&p+ftGvq{8<9J!&0sh=+hSPSv1OX{PJ`a^JiCLHRokL1K5fWO`gPzI~E zJ`*@b=a3ITTjqDDiVORNN!s~K12kPxrv>z_tu4@SvScg$$n&9cj?jDqxU*9r7VhW> zC7}J}_SzVE?%Z>;Q;o86<y6QdHo+;2Wf_B~^(BEvW-RtN1jywCDe?yT+^!YIDYIl< z8D!ejh@WY(o1WMt^08|{3%$HI@yxy~mcPEzVY1i{OnysjG>Jnuh;xSS-~&=%3{&K( z!EUPJFjAid0*uX|D6Y3NYdN*k`N4lv?}}cKwhMDm<?sR10exNU7VB--|05WK*r9-5 zAg+*gWwYDDF}!zod2p)ZlkBkhPdD|AD_82$kuWlQ#W~cUwsIWvCmSVjCJkHPR;j^F zyRM!P(6Ks<()1my=A`ed0hD^F2~{bwITw=+G74)ZOnr1+t-tNrwbVOn5zI6SOuZ~> z=IS-)n-k>|HYNL;K6WZ<@`y3<6bCs%3sx60?`0V&Jx4jAm9`hEzaq8il#)8v?|Z2K z%vX}Z*wzemV2d>U6T3vX*^ak@(e5e<%0FIzn`gSxDllzl=JZ}tD#x^^MtOnFsbS_I z;NyMt-u5e+os)z5rx%@4oa!-GEZCzV<4dzo&&CH<ivsM)ZO&V;P;~2(Nx3jBDnmcT zYPa|~e!m_8vRG!>FOo(<E;$%!(md)ja9~owwz$^q5{~wfSqh&7o3jieZMyp7QY}5z zXEyAw88wHtFw*}19zu(vGLal^>z%)A@~Ds}C(emOL`@TOI~KHyIX7=YYD7IJkf#I+ zW)jB7z+2@m@_kvLke!iBdL+FNS!}e;BmXFkcE^`jly-Sd?S#{UY`(bq@=+t7eB7T$ zL0~J@D^cH<@QYATy;bS+RkG<sy4>b7rjTv9kqG&je0xnjWjZcZ$;>@l(v1lb%btSO z!j~44vE{dE5LIv_HMr<^T->&$27E@Zg;7emg~OjD*zKtG+T=AjkT~0UT;I82NTIi( zTJ)mc-qped^eNH>EvkLgD~L-~5_74hw9keTJ9^IUdSGBj<wc3r^If`35uN1@%QDoY z=oRP{+4hzKi)JOnyU#Ic!4IiNu(I95d4%;D^W$(+U@bZC^St{Z7>am9>S+Qd5P#T` z_A@LX?ALK^fht+8{NF>_PaxA7UG;T|M_ZJ9HG_Stn3tceAS_J}aUjHHqF@EN<OP|w zb6D+(0#*9FjmZv7)%A6lVo<am6|lndB5b?+SAKHA?(FDT6`*XhVwR)WlBmcz2vt_X zvyo;qlJG$8ldlhQzaWVAM4T#6ddt}tS;RopTuoemnXTL_&*)2sP|4K|{m9=HHV=9l zPGZOSm%$gw2d6oR^_}Z4y}!uL{M4$Gwyrq2fp3ub+82v*ko+EpK7emw2g2(`df7F` zhRH2@dD;ah1$i;+rb0mf5^(?f*LPjIrZ2t4A0z{{8b7rg9okbe0VC>qcLnan8OaP} zTeOQWhJId{Mv_G8>@u@JwIq6oQ3waglZMy+3tXWHS_PtPL?j1~o2FANa*Ckd5^niT z4{75l01IeTLVPX-Zy_3&4j)D%Y-Ku@iEkJ4Pr6WYhmH8P5u)F`1OLe~yHSw5g~zqa zj6cK_+S0=CuPA3ex8((NioN?^{zD%R?J1^-3^y!x6=Z9NGM(WL33;j{Stq~mekPkR zPD4l`S>6>r8UnZxysGzl37Ro@3Ds%6OLtaZosMt<Ym70SKN&=%T$+E85J}NH(K%N` z?=k;c&-%+GxisS<9UDED(X)okm~5lW?$x&ss`<e|!uvp+GyT@MEtvjMKl44W-W%w8 z(9Bab2fZzTOBvSA1HSWHd=woj_8bDX!`{Wf?=H=*Nx4%zlm;sjgKU)nujW)Q@d8A+ z@V!4_o2k9VkhKDvfr@W>EKEGg&%>_5Jq&U6_w)=bROBgyy64Vi2WWiQ2n+4dGB7;h z`4h^EQsvWQQANWzZ$|5j^Apk47WJIU?<=$;{cGt3I@zdf&*G&d@S>v6b=-$OPa$@i zcMYb`HHKf}o)t@{)u0!1tsUr1ZT;`37g8fOe+~4{f6Mu`&&o?CaUTRn;Uw~@1d#Lv zn&T44T#BW4%bq*LV15ic03usMVj23|auPN?3IoQvE9Pv40%b;CxTSpZ?PD@<&s3Lh ztq4)0yyVH+9gSywf#5{GH4G)euQ*$hK1P*zTrG89(mtsSI>$a^wRP1~o@TdnF;2p{ zg?D6d*BzaukeR-OZBY8$VlR^~v>DxD*|MkW;>nR7sII=gQ*SOZJjR#4{+qf9^`F$u z=Nuu*mmeBu3Gn}ix@l==?DRj>P1`sjg&_b^@Xc35dnQWALc><2t1*L{%8Ii{hYguB zBduO^ul{<8T?025!b8s<ALpsiMh~57+||rmOGCCsV1rObwqq{lQ9RVhZdF%`xbI|M z_Lg1o&Gw@hFic+DD7+=_S50$dFMi5kPx<6ZsEC>XD2hoRB?hz+itWDGcTB0G*OoQS zbY%P%k3)h||00@L4N8Pc47$71ahsqdd)CMRZFWA~jL<U^YkG%sxmQP<Aw=@uz1{sm z!e0I&KKV6wS#`7k1_CIK21=|*?rc->d{qjrjJY-@%AF|oQz77PN1fh-i5uf}nqHze zI1&S8iLYzO{~ogcV!QGnY1V)s00I5ShW5X)U7bvR{m+Q~2iesSpKI72NIOyyPjSwn zY+bP1n-Z?z6zLI;!eURTW(3|YZ<xa6PCX0U#=7ach>jJbU|5cL_B}e8CdHOVi>Ji3 zEaSbWH(pS?@2pj~YC_DcKAP?qMM&akTwOhI8E`3Z=K}8mK=YVP2yZg9p~x6oV(F+@ zHNHcxGRdgG@6kdbA$NKlS`B8sHRSi5U2J9??v=tls0MLFfvAid_asZ6ed-$IVTrMa z!T@u|Z1EXo>ox(~ipf8iqfldy9AciBBV5B^ZuI;bQ)w>Cjz3rHSIf7zP6)III6S2| zxZ5$6Tcm&)NYQ}Wh^P?%M+e$k*Pn_~Gf*U%K=u)49GNTyB^m}_xH>u2p_#D<<RN4M zX<4JUjL&Yk(gZ7WxUwXxnlM#)T+YlrQdrWUXPa2;c@j=>-YclUdZnZ$c{5J9ybCKz zwj^o1xP5I1M=V41O$(#k)zhL&`b@}%LzU~w*!{4^uyl$`iUyGYQi|ieM$g_Aj=eK{ zX;IOli|XJ*8w-8ayg~358cw2oXN43Aw^B0sP9cG%dn@v3^@5AtKbb%rTq{Kp(^6v( zns#`aiG3XqubjIm3d4}<!h}N9O)NcszUe1-Fj5IJiL1)}^R?KX%Vpj8Z%I4T_2z-f z)u1L~1Jq-h)fV*O4&$c6H`n%0_MFMOU$Bkt5goqn^apDg7>jMB#MP(EWZGV2;8+@Q zf!<Ktt?GZ=HfC-nud`5MC}T12u4OVij@*JdQVE3@D5FM|S12bdf1_0EuN>_$DHkuc zU_Rca@}KL<<R)*SuGYfbU>x0LUP{s?1b%i>>%4SSr#VGe-Jt%#c=UvSgBRn49`Ek# zgfAb};a%mVAJkg?bBFJg!HqI&_As!0#BB!>N0HfhL!B@cE)bV61<CKFQDZJ9-#G(M z8YehvtXIF&^j5PPEBa6Hex(MZHau=rO(HS(=-|+x8P`THN5n1;!>!Z&<&&Q`M0Y$j z@UcbBb$7iH{_2?-rWcEWtaMxn&*L?+D7Do=sMZ86o!wEd$DS6gvRWqh%GFw9n2Zsz z;ZC3D;=3W)#t=KE{)w{2V<X3&f{ZKXNaYaH4RED0HV2V{EOM1hD)A2$WrSt>Bs&f- z=18fDvqs@$jTRt;JGp4T!mu*KRr8O#bbE^(uTjPge=;!jglTEtg<nsicSeRYrIoT_ zkw6TkSk^_YAXr)|TGhnd_zjXiE*nm}BuSBSf_0xQo+#!>&Ow#A=ud<c3~cZrdx}Op zVOh6yFYw#QF^#qTbF~o0)n-MQI|%94D=a2E6Wc#{5x$IUYxGc^`hcqOjAv6h=b5+_ z?N!0e>a7I!tr2S~n~Fq)r87bNNt&{sm(qR$<`Eq%r*^IzsS-S(Jk7<T&+}`8{Ul<z znvH&%i%nkyc*R==vo^ghURx!2-+<=Vg(TgQYCg~|8_Lhx6`2E6_;JT{g_A*OSHWz% zKq4-zgIj`<^rtQNsWOS}HlA5Z+}L=s95{2w_@_T>e?M$ao|B&}LP%g9bRw*h?(k7Y zX}=AY>7pv7ms?k$>1$edqL^D@9x`AyD3bO#=O~sf6YMvX29Spb+}DKeH@QBBJ<%gm zC4fSz17tthk3?@Sg6gpJVa%3!K@z&GqqJ`ZE^SsA@wm3MK@!@Zwo^t}_46@0se1{> zm-OS<4L*W%LRXC9KJKw=+83(DIt8|k`j^GJjVmx!Hb$D#?f{+y<J2vcYYXm(XLnu= zuV5|p2I-$GaYQi(oU`PO(1K304fF(}c2Ied?*{hnjf^!!-?umoo)MPb9#q<1!o$RR zIfKvhuD@>z5-rddfx8akH_%P<HT8H$MjZK;{8LxNSItOR;vnztNJv<a?GmTC^}&93 zL5+>Zj{T&l<24mW4=7Y->7a*nTbf>fhCz+9dOcZmSIYpzy@PPRjj(kxbN~76Is`>o z()d0bJgtvi9D9|A86O|NsGB=5d*T?WDL3#g8v=XH5YViDNc2M74!e8^$(_4kE@D}c zh$lZ0{b2kUq}H!CJ*|2bbp;|q;?moHdn}}38xC=XzNV2^J@vjZ4pZ1Wz)qQPE5Kmq zRZ;YqJ|4XI3Z**och>~TyT`}}VjWm9q;@Z>m2~OyxUpx2+o>mIzcv7JivKLpK50+f zBJ&CSKgNI>t9dvKQ8)Vb_!Rj;#iCPPGkdI0R6poDG<xtD_TPd!B8QmXO=X5}1|z#D zOB-<Pwz~hV(Ji1e4Y|_=T^&fU6Of?hPm4NyKpum?8hVG0&PI>=HKjwv6A}@E6-^6| z!NkQQy&>bQM}Zx;M!k%=BgW<e5RDEI`?`P<5`(*--T)}7APe05qU`&yP;W>8MBxB& z-f*D9oDE#RJN!bkKObRLAzdlEuZZyfDmDhFS6jt{y~FISucp_c&KLq7%7}v^a7>f1 zagd=&q!JK7ppk-zwc5_hk3doU_BfFS8RG&N#hHxIoF>A?!oY}0q(Ty0e#GxARB7u$ z2VaxUt~csTIL!nv^2T{O>ac5aE2sTqGurf)Y=dB^K%9DBSP60^{NAGKK_7OFN4Y8T zpkKCkWo3R@^zo6wbEmKV1^UNiVxDh9oeDEKSJO^H?ma1UtqjIpMx~imba8u!NFdQ$ z#3@b+K*r(eG!bg3-pz*~GiI@<^3i?i0hsBE1dAV7U`^w7ttAJ`hAQoX>^P|IaE$|| zf5QP|yWuddPr!HNw@7$Cp&m@6M&tyN3NKJ>RWLP;siS2Bt+=WafVDt7=8FMnE%<Wl zyp#UMnDlI>b&b1|je}yRdPzJ=wYwLjzZAX7fq(}gQ6q<0lZ48eC3<qGG{GX<FmQRl z$Cs0kRpe);;Boyuf!%#<u;{o9T_~QAmBw`gffCSUP{ZGqNhtC|og3#eFSI$hGb#+W zqG?fw;?W9E>WAR~6^_z!q?=36VVrhjhpt?Nw(l4gy<*|9F`i)OJQI2nm2jvRawq6Q zh$DRsp!KIHvIm9M4jQ71OZ%Ef^mbXAA0L-@m=R=u-{MKzBUZt9yTa=44C+Wzae|dm zM9@?j-S?^ZJC2eoE+2uYP8rA(@T!$^l|<bsxDFRK%~?9C?n;bX{1}AQCj^PWH3^C1 z(RLOQxv9q-q6+0U#x~K}anjcm?3dJYIIo*@sEC6>K$fWVhlb^Xo{TKfJaQ?Yr_e?- z(Amfzm0nssD^98*pez4xEz9jw2H)&17`|&dshAD<V1`2IRH9qVZbPUW-y!?})5}kR zKKJP1;;Z?aTMR;z<QZ$m$Ou<Fe6P>k3tYHijkV=Tm_+~p7BJimdRaqt$_eo1G*#-_ zC6kH~zXGQ#gC78(`KeikOxUlOq&>~Ax`@w&#(>IsKc9wdvA|ieLUY5J_^#bqr_bq! z_hUd<jB&$G3|(VIr2ayR2sb3g7KA!NqE<LY6fKGQbF3Bq_YIg)W&9n^#>SBolKXeL z{Fyl+aX_DH!VQoS>Y0;cQk4ft!k@0*UCXKnXD|_pM^xyJ2L@soCxKNxEN_*&s&G@z za}x%Pw9K2sMS<;zx<d~JQkt9ZFr#PR0Lkfq1jfUK4aC%{)3AAEDeuESMgVyuMVOtz z&m+qW&b{9H@^vt<Tvm#{kU0il5Eqy#S3q+r*t&I;Z0qx^uyDu>vhjjLj<g0!fnaX% zgtrXf11<+8+XzXeb6Hh@=^Y5|<HQ2ole{Wy7_OprD7pj^j0<9cY7~EcL-j?a;F(^t zyE+vUhsTI(r(n4<7$VAMF#^m9oRsL*0@(1LB(Mea$1(O%%Vzi#0)tqxRGjKgd@fbI z9EJ)7!r8Mwqir%tD$lod_w-yEzrz(D)>oSm?m$Q`n@$vuy3WOKSC%aSAIyK>%T3`J zz_ABSlyV@48s5_pFTv20@+H;d09r@vjS*iEHHeXbmX@(>t-0_umFoVpzzvfG$K5A! zppDf6187EKE3d~uCb(Gx+?Lx%#*bfb&iWyLctDkZ3}hcAHL_3myXReITB1n^%P0b3 z3Q_$_O=MmAZyL4JPMX|hU><^Ym8N4<m_c_t^gmW7^yh8iA~ZiNWUNuek#BQTp*!>< z5Mf<TrO6Yr*>~BrIEq-5PC3377K4*jrw@SBO6~9>8^Iax_@Fz$+FA>-U1sb^#w2%I z{=Dyb@T%=YiwrI`%1-{$1DE5LEj?+GS^0Vn>|Ud;<J$9TQV<j!7y(RT66`}LsCMM} zX(E9T!o1Cj%)c@2`)9gkA105wf_07_={;;!H3p>ZZK}em4m4iJn0b?6YIAh3NcAds zsvj^`?E|sLB&(9;!jYS?E(#TIg-Tl|1t_t(0NTu9JuC<E{;W|rzebv-gEt@K{>cy8 zVqiMXp7qhAWKT6qU^Y%#?{E@!t(|*a6vnJ6wTq?(imdQ^%0FTe8fH&k<6w;WQ7yIi z%zl*m02rw*j@g^>YS=n}i_mw>)88MeLe<C6>uFnwXz!#f@qR^6phq~jB|NaleJ-Q2 zWHKVdFFBRR3G7(wD@|%^_}r3k!SY9L$t#T*rqzT{zm2-A=56^+WR5O(7fPv-qbnGB zZD~0Qqtb;d{#22D9(HOf<vupy_5v>8ay3KP2I5DkT|wVH3$sG|n~S7%)=j1WUW%mi z*`R*LCqR;*dEk6Ir!;X>7haDgIzF@dZwo~!ymulgQG`r2>D8c{vUqrFB~mjI&~fxZ zk~!(pRfOhz4#x02DY>Higi_{Ec(8t{8omek;QbFf<@NstkN<_Y2{)D_CqaOKa(}=h z_WuGN4Sv8Qy_K`Q-TxrSt5gGp4Mw!#oi{X%WO9GeujDzmKQu0ZQgcE&{iH#}9B$$L z^(-4wXNtZ5_M6Ixz}LH@EUokl4nMB%qW|nSDe%M*HuFmbE!{@P21mu01g!jr?Mbi? zZ9;j`@Aw&e28O<Wn^u~xt@@#aNlIUBxj)`2E?9v*z-W)*#LOhCA*RVxjB5NY4k7N6 zj9H6{FsBJoA)?&}${PmHtY`uK=<!zDwpS5mP_Sb*#<pH=_-+q5I9~*K#Yw+7A8~vt z9iav(gMp=h5c(fBAfw&7!B4jGEhicN{f;LeS-ItlKVo!;yb3hicv4?g$&V-xAIc_| z4wU68{XoF!VJpB<{^}bJN~MVr!y}22i>D<Q=o6jiAppdzsw#nFl)yw|K{GB`Obw9& zlwqKk6^KBSkB^+R10vdC$t5vBbzY<$+?|M#QO)O*or#9|<|nu?%2jWl_KOa(^5bt~ zvfehirgWn*D0qCKj^;Rj`Jb%5fiO^3uH9!YH&P%Zf|}2FV9Jt8qY#6J$J=tJb*Ie* zFe~UD87LnM!98KI`?lxEXz&^4VMZ=umXo)s12uBJOzB4O!yPXsC2~x%V$LCzL!Rch zIVh>r6b^$ViPQAmgnQs8_0!6ZB%zKeP?~mZ_3Q~lQWFv3s|48hPY_Q9u&KKG(I=BG zv62j9sWs<1$r(4`s?<Eg?W%`gY#aX0S1aDzSa>?iT)4F@_g(Y2dWJ?N8M@ctRoKQJ zhYHMj8phFFx!5H#JzVs<P0we4&?~UkgN$FhVsE6YxBla0$xDnfgyljCJun>c{@{{f z++wh<8X1Afgf{*_ugFFpTt{tt@PP0^I8@r~=#3I0{6O^D2Gs6W*j|vTe7IiISzuq- zlz+7orOc|<FJUjS!KyabT=Q*_QS=u7+^=+>IbHDuspRw^fUd8`aCG+f-L`D|Ne{PT zBYVyM|4jvmm?EnsbReKzZ{YvEj`G{Y?Ehwg-c3Vc5B%qLDKyq)Tx)w~P`Zz$`Fc9P zud4~vw=77wZTRJto39rG)`cW@gQ;=m_ty8rj_=n$gVcS(<&O33GX>jP%&&UK5%y>G z)*7c`y31^z)|TR2`xXcEE$gf9o(@#K^75r)bNZH|0n%HeiODn3?^&8H?adm762{;l zZwax=$Z}E>j_qgRh1wc7bZotmYyFOPEDDM(n6vF?9|2g&-xOZO0?kwxDKKjCV=yz? z#^c||&TB5yZC0s|W!2j}_XjOrH-NB}`)(3GvyGRSkF@HYfA<GXzBiMxsQ+%pV%H#g zhy;{qUJ(+scqEgv@cE?Mw5`<3nRV7ODhtAjCm@LC^7Bfq%NqaXze|7HLQlf4(&n@) zzwxX)8bnH*P8D|{JD^$hXM8<_Gdlz}b)%&FOeuVZ!V1L@Ni~Cm$oN`Ue3$5mh{RaF zCgksHP#g6DeVx_LrBYidK5<y_@QM#parPz;A1<zQ-P1Tl)-J$tly$5p>vCzEpJXao z3Ecse3u@e=e#R2KQD3dqLf}J}52C*j8<dgJZ}!A=|M>Dziu!H6O*YY#5wAEd@e<#6 zy7qXm*{G1Hv}u35C>Y#Mx>N(Ti=RiRw+fkBg!ig$BrLj;Ui$|3BrSgHz2jJ=L(yv7 zX;|3AKWv{mo#E6v=aTr8_AGs8L7#tiHZ>S*PXep~@+oD<7xw~X1Bn8<Z^_gYwpUM+ zO;z5N0mu=vAUn&5wrIQVUUG)aaRC+94$)vYR9!NJkn~2P!srA#sAx{+^!HQ`Zi2EE z3WLesk^B(cbAu8m*b;CNj>r;X4o7gnkSlO?H4^souk#9K8g4)`Wnw~@K=Cj?57)Hq zBd=!f?W-Y6%+x>Ki{USXWfb%hb!P-x2ek%#*&;PK;0UaZdnFoMO8_w;xRurQIyywd zs7?qnJ&R=u74FD<O7J;VoNjhAADe9MN7!RV@whWgT(QR*wXcV)g^coJ-(3M^%CpdW zepf&ZFl3n%_HbBPhRwx1?WyD1BhE_1QchL}e+KA61v83&V$9Bw@VD~d>%@NXZTC03 zo7n1BWwIF}!)x1wel;Ji<uF)u8d(10Q!;BmGXA5Dwtr5@aum8ke>z%deMIn3amjU& zr?+(^cMASGQ|}5khhq(}qK>kfG`<)_M`S_YNtfB{X6%J8?fs&2?8QJ6R($<bqva7S zU7Q1Ecj0w+5%bh&1JxJS>uXy6Rg+b5s!p&d$Ac}CKzs^W#+(E%j^k69`wL0#{msot zt}<T(*209@V&4H1cGb#r?rz=iLIaSY;t{~+u}(&UU{8&B)W973w93JP8IWR4gpK*~ z2A3r|Cpwk44=^UwBa)=xce5Vipp93IX3r@TQJ<^rnk3#XqxnJMfU}KsPR@Rg(B#%l z@3Kg!+3v7U7d+jiT$G2ln|TaWUN@{;C9!qybq9g*_+NiQqIy1YZs-hfvL@!p++*<} zvCZf_!~|mX%KaSQ*Liyjpx+t?;Xr_HVYVf%6M&fv#H_@hNF;Ag$!yfNN4z3MV(ltt zJ^XB&-hIZRM%r30HV*Q4@a}*9{y9Q7BQH5Zb@excQN5jexen!Ze|C^i=Iz)Kt2=m3 z3>`oB*#=QQW{O<bFqk8}ZA6d_l*8%uNFM%8>MAL2@LNjgGOH1)o8yn(kM#-2Ljg#j zo4yc(RJPW~SgIC9HRn)4vH9~mN~j14LMx6E+JXy_2@&|RVg#WR@@S+vHDLr{86egW ze_7B&(E`7(7Ip)QUfWQkAOiFDNm}nDkcAZQ;(4l`2Gvb3BSy7B>T*~hYudv8g4`*D z6+4v-Iv5jPgVAUj88>ZtmH~Cdmt{#__9Sc3RFW1Hc0N#ohwc`@G_@BN(qopfx<7lk z?HMjLPMYwQ#bh`0Jev)V2qNZgYN7Z?XB3Z!OPFw$4~Iyj9NWy_3F}gxqZu}OW6)z1 zIf}&}Gwv6<#5X3NLXk|@+6=I1_gldGI7*hpX(y+4R7{))x_wA(HSd@!0S+RlN0qDT zmIoVHx8eOL2z4Ngq=dX=_z)Ww2qkh{_S&bm*aD$~UTvZsuSc_Ff7OZMjX0GQ39yyO zS6ZW4BD_JE_99ZiEAKDeluMhn#<qXR$bM{9)gb1;?(xs>RCHwqeEG!b$=ibEsJBdd z((^k-f07E|tsrV|I|%QOiEpy!>74VB%65pyk9d>}Q$of|G;_>6&}4wQ2hO(Q-LGaS z+#T{(cN_#n4>G8VGS{3sD^7ju7e@Qr2t;bfPf^ReESNHwduQO_JbRr`PU&rFm2mm{ zAInA^3OF7W_H*S+9c(G|G`dU1V06DCW9s}nm@_ak>o%q-;#`<ZDZSTCZwM!zFHi&D z>QreNU9d`Ui=ehGBD@Su$K2NOQx7&hI3&tqyfwb7iP%W;<h1q`8)9EGgh9Xbq*<<A zyw+Yj$B+^^_61I1<oN;^?u+dh_V%l{owe`$t0+c^ZxWgeX5x)_WvS(kb-aij0@<Qa zdV*Lx<(gb@{U-10qr;n}Rg|%+i|;W_5qxeJm0w9Y?LCubqsD=j8hamFYB0AVOuxGn zh)AucNEor$xrgJE+Q&GZZ)hMrPdr0>r)#hpzuRd(iPv_S47XGdU+PKOvW!e8m3I-{ zkms0?C&oh6A@Gco@4aj&y^kY9J5A(bHkuSpO&$)c;W_ZI5_r_SYd$ySG8udwkz|%? z;Yr^#ixskysBAbI_+W|PZmO6g_z;tibe>(X;J-g`9*6%7r}D7b!g>FP>=_LF^nSg# zr5ty|xr}UHMV1)ApXx=f@4R1)r6(PZS2O9s*i%<emS;fsnUNTxa88(WBhExN+@D^M z_r1NU-Hdyrmd04`12OE59b7(_n;0d@Z901zy6#A&hQK>d^sC1FO@?z;6S-RsC%5xH z4rqH%<+l>)EzQ2aGr=DrI^Ci*f2B&6<vo+A`g#*X_Ve{oxZ_To21U|b4zj0UKD*Un zMN#p4`sQBFN>d9aFD``V&@M_RJYVG4Mt8J^!#f%YSUWeq^+FB>qWE`?lu-&MMJ8{= zA^Q>E)xZ75vG$lX&>j-+O{RJhiYl^f^~VgQ?+Wijb>2CJ#oyw|26JRt-|P>Z+M}a* zy1KD1C<dN*#hDh>tE%tpu{trw&P6@0CEw(+f%dMtGp$R!L^l~8n{lFvBM03>sRRmC ze=<i^h*yvANbh9=dF_6VBu(X_RI!aNO0iy_N4)aTy9)=<I#2rhGO^AxMz`39uM4f7 zc<CxQU>m#$xTJNyfRRs0y7bW>bERVYCwK0j%Q=!gS`Y0~iPebAM_n`b!JpT*fHRM- zK&V%Il8qpgqpq0WC=L8CC;j8@U4+f7UB_IzFQVP=sx?tB6j)$fjLpGlsDR<#lW`6S zma)wtphI=bLs(DWx_<H5(MAx<3r%toEl>XOf2-|NaybeV1_KYya5{XsApVtYntc!T zULV1-OtW5Z4LLV9fWtS-S=4)<OCJGBjUeDgeT^t^79A7wfYh5;FLPbmzJNn!1Li!& zG^$pm6IF;el<i#O)%`b7^)ak(*mMTI*tMpFuMw>S##Dy$H$A4@AsBDwKkB_+;kaEf zY7~}5k?)%qz2gUWbh{F5Mc)&b@)hZu@k{VI3Xy^{|4?~sj0B0{=DOH4dC+zRGsbeq zla2d90~rw^bjDcnk&HkNrCIV72f$W^BcN9|EE=5hQePMTX_}`=cjWDOeR8<-)`0hM zEu<^Z_~E_d+jlyQAo$ios@GF)|5-Tpuj^6e%o|?gv<_z9#kx5<tR;W&{M8G=&w=r6 zXXtO#)JR8|z|*xCl1PPF#=H@t5f{+!4Y_k9CRNom9Suf@MXPeFy}7d8?`hjxyI&e9 ze5tXiTsA`Od&zMl^az_)ntI2W>jll`j(9sU#z#pCj<P!YwzXnSC#@(8L%THt7gw~Q zR0Nzn?9H3&Y7vTW$?0p;4UZ5Z=U-hL6bL2@Svg1G!q;-cq`uq;0qh;JKw_NP?-Yy6 z9AzLuGWhPwyD()SQ4;uh@%kQ-Bo5S(g{$#5%2;s936+<+z|e|F&ylfN9e~0KleBwL z=}7?nRu{uiNUVE25Be+fSa5hfry#Gdsm#P^AD#NF%{`a_4ObI+!Ww)jZxe&)?LC5P zL_+tV3442TT%ftDQiWXG4S*Jrg%34cXaT8ChTIH#_p4ws_qQ4Cb`y+=iH-wRWO4m) z)5zSaXNR%*gh0)`$JF7V4b#!)zN%oIeMYfVL0ot!8G_|A19EVi&$B%gn9>tE<v!aw zxQG6%V%VLh9sJJ;9qO*@a4E86!8wiMzy(gNdRw3)CsM^_&assQVjJX2qp1OIeE6*| z3Hx5!YM8Q6mW}AT#yhW@`Z5ayaIwU+#ls6)X8l<-;dXmb)7D<L-URK-BfNz9u#Nr9 z_J9W<vch(4g=s^OC-;+YQ)kQzxvo>s_C>7;DU7uWXwahMNbg(s?I1~$TGVr*YdkW* z3#}0DALm#glNTdC2ve03XlD&@>e6DN{YZ7zi$WY>$custC)CZI=J!0sa_Eh<=|7Na zrx=D?f{-=XL+!T@BUi~$(<{m3SQ6H14Np{8zutBY=I-z=OpwWqzx6@FbScAD{8T*x z2V%}H^pSFP){z=5M<w36sefA!_yrYu19(^U31lHVZTQ%%Zb=DG60|+O!CIZR1%!OC zwgu+*09~WTG=*&>d1^Cv;M;?YUjDi?xut8V2R9v>lf;q$f>GlXjimY*tF~^)H~VEQ z$|STGVC@w2!%!<@b(gvI^(j{Og>Pr6>Mq{^9j=>PfB@W0?x_RPHbVnwPi^uQY|{i+ zPoEc-8ADv<(ZeZl7?!!t){ocXEcQ$6A3qH}pH~q3xcN{)0z;RtEoQ>q8}NR}wvdF$ z-yqc6yEAizlrUUb8qSa>=^`I;rpHLDhrLBUW>=#r?n4g~mfS*f5_7V81}$0~lfwA# zu@TKM796ivno*ktne4NC$0TXi+4R4A7TgR>Ubtzi=U!8SrGJ0nc*%==V{5cByy%wM ze+lzlHBfw`(4{hI`XuY`VEX!sTw2ERG$FYB=$qX^<))4eYtN*V6F;5?l5-bW(OB6s zHKPA!BzB2vVz)xt?JVI7#ce(XA0P>{4ig+dYjZr3*%Ye7Wy4{x?5z9jP_f;*{ma4| z(sF{F4o%w$DzU$ohA-J5Hj5ye?_M{M)>6;ICLTMPGLmMOlJT`~9kS-$9Ri>zTwxq@ z@PLnoi(HwbJgEI%cJo<&uZ@y%_-jo&saX5RFBROZw6P%WfP{?Z+U4$Bw($vXLD|`! zo`LY-I6;J$$2^{Pw7TS9l)rEPSsN|Cw3?3WV*wNU7>hQVAB9y^iVlyKhk6kfn~$H( z)G8QDGa+Dd^y-Bvq#%!d3rPohMB`35qhaW`)v){8#=UqfzgcvdqJP@SMCYoMLxBWZ zP$8C)9}W{lp%mS^pl-PFQ91hVzGE}LTgaW|z8O}&yje(n#r{!MGoqt1VT)r=KB8V+ zB#a}3JLQURZNX(_u%0d8VJ@XyA&w?8kBK{)iM2lGoH9d`8A&3TiMswghW!0$ie~l1 zK5mG_5<WeIQhTt3CSX}Hq(GtqQ+u#X!0MC;)Wju|`nVa5+Q=+iMrMdM{wy+;Ox$+9 zL9~oVomSvl%Yvl~^ABQT2$ojC0y?3LcmAqmo$|Mw%OBStnsnQ#`eF2(w8Su6d_-EB zj+BPz6kQ1w!EwqW0sJl5@imV3T4seh6Abi$G72`Z$@xBs9}^mxGPW94{<|g>zNwGZ zaTmFJ($L7N@Vb$aO|>8`R8M#gOJrpL_>-7_W>he(#)zaw4Y|M;3APnHa&ru$x9gKh ztcax{=sZ>OY&8kI!PV;w$XmoS)U#KyMooFtc4`>9__fSbMd34<XC;S`fzb+nwOba| z%1B|ZfzUh;)}n!V{(us0UwapdU=5KcsAQ@J$f~QDBhbUWv>+^Q*ztZEQ=jl2W^z2= zx>Fgk{-_SXRdnw^;<NsVZzwE=qI7D%!cZt!iE+)n5&%<z>(3_Iza-r8^R=hbQ(`p7 z&;W-$js~HPKB{iQz)$LQapt3E-N`ZHe{TL*qV<gi5LOx(L)WiDc^so#)7^+kImYzC zup@N&*JAS>is*-w>swpKc*26MrW+M~!VS`>o7u?NKaLt&)5QYUJ+r(;)Y)P+u&{** z$2AJ^5<Qz)Y6V(6-lh?>58(X5C|$Me02i`D2xS~&;tUdx=p~}9UDYu^v|r)b<c(nZ zO;&Vre5KoiXMQ3n>@CMc;1zs;uF=TyL_4-9--0CLHC@cLu0u35mxX=R2j(LSD{_}3 z9gzLuBO8=nRnJJH^$&>T;jaFHM<5|P@_~!BL^v7+fzis4)dd&TJ}Z*c^wf?u+@lj$ zft~$E9An=-pv#b>riVCqiX!Q7_F4n|5)ptB>jy+Gri3JD4jLb4*!79g(!(4)uc$PH z5oKK_&5VKJK1A}%Us4H=w(du(uTF4TV|c}77^s2Gj8DTT!Ti#m2qiY~r-yhX!BTPz zkk$8zAHMUvpFQ5LtV-0G(p=eCyt-nz#4rrKSbTkeqMS?B?gfo17LiN54_|p$Bs9jI zMVhv_0-S6$nxRj?Zvh^qr@yBMor6-z;M9&~^1v4&VMXnP14R@d!lyO>1X52wr2mZ2 zfgBFqj&lvmeHY36%Mh8*o3N@d_{ezM0sXBV{)IKks-NxSP2QaHyFs&%(<3zF_+oap z*f`4MMw97`5MUh_+!hKJi>I-pOD)5exHq9<IjQ1$v@t^NUW+=i@!rZ~Y<-m4{a0P? z@A1IM&Nxe=`n>5+n|`IEMx~1ky1+UmYMjBV(v+Ebq__FEac9xkK<?zWRq80uwk(vM zd$#5dKWxFARecRQQvD*ptJ1ZhMUVjB?4X!dB}^yetNWinM5(qMMM@5U(j7v<urs8; z6Lz(nNcN?}v@=;y^T0Vl@(!wf_V4NS__v(ZT5O#T7;(>6Xzk*cOPGo?@b~iS_;4B> z;*BJl(&6Fk$lf$PmD48rI0krJ{J{n;ojAoKWy1{2o4Gpa!2OxfekjveYScKD$1U*I zz%&gZcTBv5I&9S*(rDfw%4>$cab^|gj6OGMp=$w=n*7<4>3l6G!`^vEV`oFFLY*Ky z>}}}+vvf)%@cYxU)`p2)_^$aSae>bEHugV3^gI3-&UVdNnzdii1+p#*Zz*ceY4%)4 z!sgOaQcmjS#fG^2&ra7E@ZccvbaR>KbpqCO3l%bl3dYmUI{4ra$5JPZHlJAyke?Ei z_nv~>`-B*A)BmTivjB>tP5Sr}AcTbA8r)riySuvwvbf9Q5Zocbg9KSDXmEEA7Th7Y zy9C#7^Kn;qxtI5Dx4LR;s^+)<?w)72>Y3^8Rf+QiY_$l-AsP-dPaGIhq#r9s_lM`o zs$wcBJLTLDgnw=an>5lWwY~uWv~U3c%qQ-QFqpX*8UJfT*eMBC5s$a#0&9NdK>O$X zyZ)8%21etN74<Nka1nb(<)8R{E0E((zR$#7(d#eXc)4uU9sW#~1;zAowe-ry^!~W= zSBs8<hXS<H3i`%|k6bJmbyC3qh>%bKf;T!D{K#`Py3K>~?)6lF>|d{hco_HX_xTBg zE9{|rVA(2}(McT{k`%v*rOqr23{Prg@FI|#SRoY?+}UUzrgq1zjoe?$4R}7gk?YEL z@OZ6&OIf->J*e9fZ*reRC;x?nv#!lGw2~B?Oi`8t;gZj&)4Ds_ge8ZQsej&SO{QI{ zJnTy+X)gJ>P(o#!rd{dqVq<$<)67RK1=YK7KtPvr7+3hTy2bWJ@8Nx|oXEJr>Rryh zE?&DKKmlABP)t!EIH!gVoUY3Xr6iwxO@|fjs~~3AkrphIZnv)s)8J#;%63E+Ys<q_ zSsIcWfiy;Rj|r*}J0sByDV@dJmk%*nlyk4P>Kq6apy{?4VB4QtF6kN<e_!!@u$*EX zWWRjIQ_g8p`(6NtCHAM?sMA83#gs|3GivFnk;Y5aw`BW;a@vlzVm1Z*piNKEF5rd< zxD4FpjbAJ*oJLtPu{cpe!NSx=s5Fe2Hs!(Mi|p^?l^J43T+mDzmJ~$~*gegZ!8n~j zpT)Lv8RmWd8pd-}x%_LH&%h;#?*joYCE)CVa4y>;ErkaKz!VP!c>nAL6tWJI2M^XO zJ%&6abSR%!mqVjg><7)1qorj=ts-0EhYD7fnRSkqwM5A$Zkc**uUU6Mk=oPgyP35y zw-m3vcBd0FW}qC%r^TNktiS~$b=4XrWE=SrW%%s2C*<A`6{jZ%OqoKN$YXBX@lAgW z$jg%a(VpgAlfOpa^>gd|Fs}!UKQj^hNumak<*)#=EaCvo%Z|9|g(u+cMMOHEfYT_= zMFX|2n#4&Kb#GW5H6;Cn%V>mH^q@t=@b&@NNlEt6jZVC#9?F3akzV`D{$?jIRA$x9 zZfhUA>thUFcbVS2d?5IenU8>)9cR;Vsa{HcvQk3@B#C1Yp0GT2K=!gONet}TyBk<b zOVL)AuAgxwWj2;{p7X1FZfc>zNn^vnz645y65SN}5|w%TDiU4kOk-0oju|16Q}<dI z?0&6gEdZQzvAyT{O6(hKgGT8u&T~6EDeuppPe(7hDi^H~a)>-4(SKD2?uTDMUlTK& zbG{zE!~4netHCmp{>an=dKYp#fD~rIEQEb|!5guWj`QGvKb|JG(vsjlWHQ$Kjb{d_ zn<Mmnrdwg6g0-DWntKY9F>&i98@R(Vy-wLDn{s>J^}hbxep;wYqVZz4IJ(KF;1@$$ za8_F}eo(3o9lTL&!K4p{C1lTv!;$)1;?=Cft=p;tShD^3KnU8kp3-xxn|_lf@1Qhu z@!kW;Z<?L2ECWb#JrOxyU{r2qv~PGvlb~J>gBPT&#pk^u<^7vEXd*-9U{xvRh3}~d zB2@a2oAj=<NkC9V1NwTLnOe&@Dh4E)abr=e7T*z^&z8&jPyH*w)IZ`x64#Vw@osao z*Ik;JFv8S5IZG%^)3!owCt3S|`$6Hs$qyyJs??u-+D)dt=@xJ}&@;dsop;l1Pbj7T zLOFu2IqWv<rc7!DX+mw8b=8zDN)!>LAYy`ith8Nyu#>cpqk?Zey<8iUi$i$^G_DxE zh8ML?@MR`hkD<LR$O4~z#;zl5dj9cptqjtBiptf!6jRIMgsQDWW0;@QnCHR#>cf=Z z{^jVL8k54RW(xHkBFnJK?#U+~VN|5l_?0~rmu5#vV`-Y|(6Eoq?B}RE$`4z~jsUnb zV={G=pLa25Nmv!z$}aghy~xfCf@E2|NU)851uM+~&l6ULBEmXJ+g2o&7#7tt)drfY zRvUL2Rqp+BM}A?%#m2A4CCt%2FP8GnsMgb#w>6&hUR9v8-BbuDOwc1UIPZznaU=Zo zQ`tZW*3~p{cuhU2Pi1smK+bF1_a`bgKVRpULg)nf5en2z@#x|_a$T8kpXBkFqu0<T z1+IyjdiTmMS5Oiu;SE_57nbRSUGs++Jg}8*TkNGVVOH=?#L+X}pO3!@m?v&1Xzf|u z6+%)cPYWs@uVhI}6Gec7-crEF%*;$L;_nbdNS2WAK6Y_cgOjX4G^Bt|cA<!(7O6V3 z>Eh1Os&{hO3?xt|-IMg=PdV<|Ejb4)1)bf*_&<kCbfZ`ZpTRtI%ur+Txr3VG@=V^D z&7Pqd?@lvmmlYCw@I!1V5n=PFd&idS+(h<+9^a!!*6P?my&BEG+P$e0dS-L&@Xb*Q zZA~8Eb5?GX93s;#2ZQO1mp@mT!}m{bWo*yoH?KK7R~bFcN54VuI0)Y%U5|d#g3BKY zop6_m({a~x*c>>8B?+0|3@DiTv3O&k-mG#4cj_nK(3VOD?=td3VBes+#ah=91E+0) z{ZukEcS<BhP?*}|#$NojE<)MsuO9CL<4t3#=(z(L6JCqP86zQ_aE0k`f`}Dh0{5+E z!#af;YENMFVQY*8>Z;}FtKOo!L66l{ZR!(y!PchKieU<s%@+7Z+k0tRi?vFcVtlKX zexGeXxpR%Dthp(C6!$)o7Q^?R;cUZLd%m=<14L<v!uz2fVP{HmI3n%WYQi``l6Woe z_-#6~Y9YQbHbb$7q7OK=tB98NC8@%T24YI-mQF-dEV@=`y)zg2)!{H=BDxjtrigA) zitTJ3ep+^q@%Gld+3lc~(Rqr+`#Cpvl8T8L8KNBb#M1e^vE;b$E-=$Ww1jz|CzQgT z=X<MIcj~)<d(G|}MY7=n6g0OSOYU~m!jl5dV2q&<JXqqF=~E&~LAvING-JvbI%|C{ zwIbPrhF`j-MFvS6@SXBD-zG5-zKuj)_S7K4;*+VZmt3K}bQ}}&Sbw>W)5aKcAn3D~ z+`FL^xw2cpn|ZHg$8QuitMl3c7Gs~Nk7My5wvU4IeNaWZ+>46Lt(g3n!Aq)erC#p& zjW##gRF0<A!-i*Il#$LTL17zTbljmKbhPj+??LnL`RB)7?|1H)CY7Og^@Nh5Yf_<- z28BW!esI!=3RN(kfRp!Oqbv)sdUVp^BS-=025N72EQjqzOfw|suqRO&{1_`aP`ay6 zz+wZu=FHZwds+;E*g2*AlB<qpZ}R-u+a=l(5x(&GV1D<?bN6qG_|c0+?-bDfGPOg{ zPRImR0Msm)y6Z>j?V75Kljfr=OP$Q<hZY#Nf6UMRVr}#-OngikFoSyYPPfm-bd3py zAR0Hu<FH?OPwHUMY^l5j<IJ>$m3QHJW#k<yqR%0di9BfGeoYaCk{<I5jv6b0qgmc) zAU(AF^VSL8SNdwCclyutmMkoPBJ}2r3ku+yP<=HHr>+n~)+V0IH<aY8lk?NAm3pCp znUEB6L>%BEu%KY!_;I_}3%*yv^d+ALG+9%TkOPz{n~A2H2b46uLjg|TPMqcI!h)k9 z<i5J0bS0MZ!NRgY)%dCF9#y&HKuJ<(=A&oCG5kzS(0+8U)@tqTVU+i)6QHp;rS(kh zIJN!kkQPd(UiH?+QRj)_`foFdtIr&*jb^C+ijL9LYa{F$>7uI&kj*n98!qfne?9iH z%*`F=-jBB^Jc@g^q1TQ8{G%E*C`>f7YAn=Kd4Mo6TzY2?YQjOlevx<Za&+I2D)ky# z#u7*JLaPQkr-1LM(2vkkmWoM9SDbi_`Y`Z9rsos>D1-8CJ}4E9_g6TsOFOx2h@r4X z^&&0Z*38tHtX{xq^AL@^Z@PB?Ep%hHG)(`>z)mUd`usDe>M{4?$rX;!9CK%c#0Sm= zC-kLToB388uX=Yyk1Lqn*@A^P%_HKMDuP)~m>nCcg`>>1C<H`aRhV`YM&E(WnVgpg zUmu20GN4ep7^967$Tdu1umk6SWG@J6<mU4b`gnU6nZ6|-H5+QUq`l1cZu42cq8l5Q zwJ;g^&~jBQuic@4Zu%A1lgo<E=D`d*TPcU%>MN>T2ZeSBekiT=K7(n{6}O#-z)!I1 z!4(jlehNk4bd0^6O`9{pN(ygIDOmRK5S2Qr%u}5Wv2G_Iqs-q_ZlG^s*Vat%nG)p# zVKNoaMxW-^Ad{h8Ks8j>60=AM+DtPw#MY0e|44Z9tkHrD1N$J*5&J`}6ZS#UL^zy^ zZ<+hN2A%zznoFtBVCmJkH+O_8)QV;26z;plp$BiJi}qW01Ha!KkCT+pL2r5VL~Wck zwRi)fPI;N^`nl&2H}|TbgA(73;7xZSfhiSTvk6pNDQFuzHoPBpkeh}l%3Mdjh;)k9 zi}}B4t|y$_hR)q4)5x9vE{<8-tNb!~=fkmxLp|^kYb>ney%f?&pH4zy*n-iQ!7^b^ z<H3W^MzzCmi-X5exuN=&lLf&?E*M-=Wz3fe`gp6Ztz13hN61H-VuEpdYA)3_(BI3# zuk!ukr96|n_y#FGJ=)iCD78=EoOMD7wN%@$O=IAX;}pO)3brE|oh{QW_~S*CDuM3( z4dKWZ4SstC(;g)+DvFJCp?524kv<J7lT!q8(gC$)Fa5v8V5Os7TS1qwrly3eudXw| zL9K3a1-D*_G|6g{xDmFZ%WH@YUOw|2gLKrSWdxRGEE1lfY|=qSnl8wk;kGCb>f#3U zy9E{!3zfJyop@-3wSShBX%GICl(@Z;&G+nd3)`2~f!?8Bx!!ARn#z0(y<1%jhcr<f zH|#EKIoCKza{Y4uRU78#sZ1V_{D+-tg;=+BgpXLPB}D|QKcThzKDF$KE?9j~4HT4x zk-KHDLaHKXCguD!JddrFZQQ#~cx)ooor7ADGsNj5<E6&l`r%H8$r4ly8sG+n7&77j z6G@wJF(?u?xGAxU7^?A<34)~tLZ0`=&e|W@E}ir{LN!dFj^PHQcC6=5lW1Y)D*B4l z>?`}N^>o@+>TDiqBnp6P*ot6si+ubA_{^un<@)NG6<~7#C;jKg?>GY)TYn)otiVRp zo*Wq>*Mo>VTyXKkOO;JX>iVLH_uu$rdf}nH@-B<_QmX<jv9fP>$5?z{D@6C<z{t{# zo20ImzMp2m%`%U}sF!L*{lz*dt7+E>wy55yHqAu6WWkQLDO@S`m3qlHAG68!O9Xqz zJm#YW8YT#Q&+6Op4mv!oTF}+C_=bWKaS@&!W26jaMOWF%<=XZ+19P|2F`^rul|Jt) zM1zApUGDQLMmy#tG_Gqx8CXoM*AhlC#tw^kh}gruSn}(q9#+90$t6_N!*VkOkZPQ8 zsT5~KE%YR<OTBMz*D1hxl}8z2P(Ka{_Bb;~Y)I2uJw{G|iCLrz&ev-S5=B9()Yzjl zK3C=f{U6EaJZxnzMJ&RI?K2Kw4hbA7g4U@nba0D^(K1?(eKLc48szYi#6M+z2`e?X zpb4(lVhs&Y363qr#+5!nL7$1|$YM#r@Sv?s-JEgMihV!zBOqw6`Ed0jPZ7<{*z+6B z1+wd2vg7dw?l5d#`z!}i+2c1J<-EuR__k-oC1(X#xkB&(3AgWvEU>Asv5n670@+NZ zn>r3mKWYNYul=)YN6Zuut2hvVw?=7<<T?3t)Wl<=hRAQDTjZmBGi1LGZkYyaq0RYX zniEr8&$ttmll_qDsWhr=SioXat(T0Ci+xv>5hjcGlE)%M*ktddB!f7_5Bn{eC&-q< z1TMnlQ{SeN_5&M%ZEuQ_NL!9lIo~U`T}sq2^hUIfkJBwTYdq{&W~O5XFL~7W^atl} zUWq7z$vnGF=cR5Ha^)7hxq4d&n=9cjSW^z)BT}w!r0kI$-iAQO(#yQS`+O1JvOsrv zo4$316V3kfhm_kJ)ca3<MYCc(TacZ&?bU$3GJ;iZM74`413fH)lao<Rl>vN&?qqB! zuUk>pJ1-Vql89$%I$gAJuE$|kpNK2MAnif&7SGZ8MR_dCQtR86J&toFsBN}sgo<~y zHHkl9&9Z2EzYA{_sT;s}?PO3~i>$`&DL$=Ca$4LK@Ewo4`Wt|_FCGQ`<@z8^Ft2yb zOBCb$Dn<PXRP@G!1i4@3=D8h5-=r(%N!34JA7y6FTJDg8?R1+H_W6crs<H3n%)O*A z*2ijo3aKiomcEU)6C3N1HEJnPD`I9}aq;7*jd%Ny;REh+)hwn(ik1+;fwi#xh;8EB zi_~FyRK80D-4!f!RT4|6SR?sCto@TNW`t#*DG!bW@5s((#}c<&yAmQ#NdG&f0u#yX z{!!JfX$hiL)1}F1UzuO8r`>xpTz$b(Rb2L=ZyC~R`eNP}G17IKJ+Mr5UudFEV@Qi= zxrIgL)VoK_(H!<Ej=wQYkCBV#Aa<M;l}T=1w{o9k1=&4_IyE)7Llzhy7>eaPz8kBQ zB03>?jviYW+lLGn9NO=MZs=`#r`^O^WcMDmU?w<jujiiCJRwo5!?FmK9+yz!7-!vY z){8y?UqVx?_fcLk#s?Zu29$Bvsqau%X1=CUdf7B-T45B|zHk?eo^eAOj{Xpc^&D^3 zipv?jovTTiUSW(XWrIb%PN{J8nO4JqfX)>|l$CWa<L(dFDN=&z=mL?{@X{~%M|rL= zX>@(J8)+9_v2esn>ahcDUc!l}1s;3VU|6nwWm+V3EQXEiwV{PIt%MIRs2I2P`@Vxu zKVok?;V-YA<CM6x+b2>g3s*Knj}h-lC{%j&1D*5@x(}6{Y$~`FW!y()o+a{}YOu_B zYS%mje8`uxx2Mmn7|QNNPHEnG!x|?wWg!u)$Sbh2^mhCJ)!29|&)#5LPRMMkTG?l= zsWaxl0~fnol?znz#mPhQP1#(WY)*GJ3Mh495gbyX^^Ab&;gX5JIXIdN%239FIYG!9 zdXdoznWx2z2b#E8n2<<kPA2vKBwrxkh_Zf&EvF45b3I(()AZO3n|^H8;Y})iBP9}L zc=|~rFwSxfqbF>FwiAi1E*b0Vjf{L_3d$@@qpya4b(AO6#VICPjmBHrupBGeD9d?< zc$Ntj&b_uhvTfVq{w`__&oUJ#CQtfFAE}8ZbX`v-&p@7yO^Jf{lc~=|Qx)-zvp-K3 zsP11{vQi`8k+ovuC=4;n%LXc!n1T@+>8EJO6M{}@-!%QS2}rDEIbQlyGglh6E%uf0 zm13<`$(~PG7E7($$h4V6T3w~b4Ciu)N8`0K58HV3*g$mChO--R1f9WKahXc0&N=F& zp`)z+h<kARt)qP;{rt~kX@uKm_siV2c4bUQC9_s$QWy!Yy5`XArL;q7zOtgo?Wrms z4qv1UgzEhgS{hFLpN^Ao*OH*-Ks>U8t2S*eo^7w|cacutZK0&itMoOA@~7XkX^wKZ z6#ncfV<VVL|6cT+=-Yu8_^hBt1!3dRS@m_g@N855)co?XrnY%@WkS`^TNqo0Lw+eu z=C7P#Tk_rUOA%1G&Fmi9sqe1k+I2x+UNC{hgGZ|Sf`TNjV3q|x2g+=A$E94WMDon^ zM*H|x3Hc$bDz1+7>E_9HP%-XI1KGZsq)~I8Blqj?i)dTU-4@=ROC1`_9**sJngyX) zTjJ3TVxk;KSir&UZfxuX@^HJA?uj7T3Y>zza}{=?aW1MnOhkc5$`wBIY6Xc2Bx$R* zpe2j1TX=Y=o<l8HwK`)b#wV%nvy-#z1d)s@b-tX3R8F0oF-zgM&u>(^+ny|`Mh@m5 zA4($IB*1Ndr|(j~9=~kogHoM1D<DRq;L&wxzF}DbqWjA&zNEYx0-ak?3&9x79Mzfi zLPwT<Gl_u@=P7R#)kx`Ne3+Us)aMv(Sf8PPae3YhLqDDlW)pX<kkd8-dM~-luHdxb zOJqlu5!K-ehi&Q1H@wl$hvIsr0xrGjRb*<L;_#GFx?p~|L^wvnQ9RfGbbIMO+cuLb zu)*FCFg7bO)YML8G1187#ULvM9<ZH8o_IBVn#hjzkYsxyIM9!GGI@Z?Q8d;*JgHup z{$3&FTjz3nP9?iR!VBQ9%`P^e_JG#xyx!)kBkv7Mmfe%0Ib<jRU;9JPl(xvX`<f5? z>C5V}C`dL-Na0h;tR3|57uV!tD0`&zKU#tHpievMpoz7z@t6e4vv<MjI>d_uGr=no z3q_~hHIuScFr2KTWTsAxDJ_fE>a)K(flbU`a<eZz%V9p?Fmefx90?TetIPU$f@?0F zsxldu4i_<qe!4B#^7pde(brR5iNwSY+=i|rXXH<c=e|YHvMh%a-yC^YvqZbr&hIQm zb~`qJBWq84P<;3Hbjx95+}V;irwgMcU>oCmhGIJWm?bS~4zm`xuxO^t1@q=m2P~2` zKhZL&XC0WnZHe)2ueY@Sptq6)cT1v_1*M6mAR&+Rhnr)A9DLIYBsyjgJ>?lrlXHjK z8E+EZroOOW!N*c87^Ulv8(UH^B96tRy-iXi`1ljwV_?z5?8K8{aXMouw@vR>GsLgo zH&s}_Htn9F5U$8NEY!M9k=ED3-BmCgNpKc0-Sco8DdNK#R^uB`WRAE1o!@I_yRcvP z?Vp5X64p*;9G>)OISIa?zCnpAsF=-Vs24O~`m9HR4vZNey>UxJ?4`pDf5k_K;)#f+ zoH0v`h9O*TrcJ0_8H=SmhGD+M66@FX{ti~xDb^HTv@zesNYNqeG6buR^d)t3n4Sxo z%C)$&LU2QR7p~B_6plzDFP`6kc03WVLg!me?3SV~<EoO|X4{)sXvWN_3TE;?YH-rs z0%2RIEFP;zDzV43Zd9aT*qOrx*JYY^=+vS`@B&pwzi&nJvO27`ok;E(o90e>lUkp& zqKl!Ced1el&t8cGyU+Zka7iO#E*xqdj)L1XNoPynr^Iy{3$ZpjweN0VToVqwv1o~W zW$r6_{j&JCmv^iR?MQYLL5g1z4y~WhiJrOia)K|Cs@jvw$s%TPb}sX+UFtNhF88DD z^|6wVQJT&^4|UPtQqy?%ugiVAs@vqt@=-%UhL65ybc5e*IXGXWPUl?H&+-nDGkd^H zzMr^HK5ntZe_(hpsk~=<Q02d8Iq`0;_ZFXxz9l_5#Dyu$Oux6p*tWI4u^i}u0x$rO z!2Ti0U_al4qR21t6{RWFVE-1{l5f%B@p%=^DZY7oI&eT-ly`buAt64IYriL`K|Mo< z{yjtOu`r0f?Y7teQW&HM4*<XcUPF!p0Fa&>fNbC2pNtIc7+kHb)KuXgXQ=(2qxPQ! zi(ki+Sddf3A%^t_Aqv9%&zWrc4vtO+49@?RxG!CPO$(v1L(YHm2XP(xZ;56`|6Qgi z`Ot7Z#HCt@{S*4z#cW?C*e9TNKoHRSfBH^H=3=f1fgnQE68%HzBUJYJ6Hs$SGdBkX zJ4XhPv7Hsr?Y{<r+iqE#@C5)+LjEtkkZ*zL-F*se3<O!ZF}VJoclTd%r2=&)K#mCj z^eq4GA@c9pmO=Wf-v5cCUVqUg1QGQPl9qqQ^ig9WMD<@%Z(s`q8U0V_WPdz!1p)vt zPx$+W?4!oVn9@`J70TdX2DCH&8>b%|ryv7SD6t@%-}X<ZAA$I+PXO(V4E_f9xMF^U zG4BuHLt+Rqv_JIO3O#{yg_P7inUP0v?dydHo)BTb?N4#e`cKdt93eekp2R(h<BgV{ z7K9|22V#HX7_6Vb8UHSIdJ^}jZbxS6f(sI>-}YxN^8KH{8JW2LkKkdeWssskV34cr zKY)Y*PXG<AEdLhxsBpi@ft}j{3h>+hblCbSAn1SK790X+Ibi^R-}a}&D;H3IxuO|t zY^-b?{w9Kt2?<*=-ZvzB1|TDO^&dcu+b4iV#?F5We02EFp_h=W<{z(Y-wPs`zbbqj zf%#;L9|iu|iRqE|xQ5H6pWr?AWqOkLsPE4<MgOjEUFHei|2Hjq689+Y&%)Jzmq()g zSKPmqvHln4pA~@r&ZK|$S0=;%*8~0++@DX7{LABgUtWhNaQ}Fo<PRV$<R={fz=piz z;2^6l0tWKWF2?FAWTWK5%Bsq)X6yhIvtzaw<q+nyXB5|TVRy4pl{aH&*5I_#P<54e H665<n_oNty diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.pb index d1881bb04cf9702e7b3f9302245c0ff3c34c6fc4..d763a1db0b64229fab618413707f3e369e060576 100644 GIT binary patch delta 29 jcmd1GnqVes%xY^tm6rhs9tbgP5Eg5dJeXoP(OVt>Z=MIu delta 29 jcmd1GnqVfnAoGjeR9*%kcp${EL0GI+GI+1=L~nTjk=zMR diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt index 0bb7e7e..c87471c 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:37:00 2024 +| Date : Thu Nov 28 16:32:36 2024 | Host : hogtest running 64-bit unknown | Command : report_timing_summary -max_paths 10 -file design_1_wrapper_timing_summary_routed.rpt -pb design_1_wrapper_timing_summary_routed.pb -rpx design_1_wrapper_timing_summary_routed.rpx -warn_on_violation | Design : design_1_wrapper -| Device : 7z010i-clg225 -| Speed File : -1L PRODUCTION 1.12 2019-11-22 +| Device : 7a200t-sbg484 +| Speed File : -1 PRODUCTION 1.23 2018-06-13 | Design State : Routed ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- @@ -138,7 +138,7 @@ Table of Contents WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- - 0.477 0.000 0 22 0.201 0.000 0 22 0.345 0.000 0 17 + 0.738 0.000 0 22 0.186 0.000 0 22 0.345 0.000 0 17 All user specified timing constraints are met. @@ -164,7 +164,7 @@ clk {0.000 5.000} 10.000 100.000 Clock WNS(ns) TNS(ns) TNS Failing Endpoints TNS Total Endpoints WHS(ns) THS(ns) THS Failing Endpoints THS Total Endpoints WPWS(ns) TPWS(ns) TPWS Failing Endpoints TPWS Total Endpoints ----- ------- ------- --------------------- ------------------- ------- ------- --------------------- ------------------- -------- -------- ---------------------- -------------------- clk 3.000 0.000 0 1 - clk_out1_design_1_clk_wiz_0_1 0.477 0.000 0 22 0.201 0.000 0 22 0.345 0.000 0 13 + clk_out1_design_1_clk_wiz_0_1 0.738 0.000 0 22 0.186 0.000 0 22 0.345 0.000 0 13 clkfbout_design_1_clk_wiz_0_1 7.845 0.000 0 3 @@ -210,12 +210,12 @@ Period(ns): 10.000 Sources: { clk } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin -Min Period n/a PLLE2_ADV/CLKIN1 n/a 1.249 10.000 8.751 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 -Max Period n/a PLLE2_ADV/CLKIN1 n/a 52.633 10.000 42.633 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 -Low Pulse Width Slow PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 -Low Pulse Width Fast PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 -High Pulse Width Slow PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 -High Pulse Width Fast PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 +Min Period n/a PLLE2_ADV/CLKIN1 n/a 1.249 10.000 8.751 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 +Max Period n/a PLLE2_ADV/CLKIN1 n/a 52.633 10.000 42.633 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 +Low Pulse Width Slow PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 +Low Pulse Width Fast PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 +High Pulse Width Slow PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 +High Pulse Width Fast PLLE2_ADV/CLKIN1 n/a 2.000 5.000 3.000 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 @@ -223,28 +223,28 @@ High Pulse Width Fast PLLE2_ADV/CLKIN1 n/a 2.000 5.000 From Clock: clk_out1_design_1_clk_wiz_0_1 To Clock: clk_out1_design_1_clk_wiz_0_1 -Setup : 0 Failing Endpoints, Worst Slack 0.477ns, Total Violation 0.000ns -Hold : 0 Failing Endpoints, Worst Slack 0.201ns, Total Violation 0.000ns +Setup : 0 Failing Endpoints, Worst Slack 0.738ns, Total Violation 0.000ns +Hold : 0 Failing Endpoints, Worst Slack 0.186ns, Total Violation 0.000ns PW : 0 Failing Endpoints, Worst Slack 0.345ns, Total Violation 0.000ns --------------------------------------------------------------------------------------------------- Max Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 0.477ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 2.044ns (logic 0.773ns (37.811%) route 1.271ns (62.189%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.276ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -254,59 +254,57 @@ Slack (MET) : 0.477ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y7 FDRE (Prop_fdre_C_Q) 0.478 -2.169 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/Q - net (fo=3, routed) 1.271 -0.898 design_1_i/reg_decalage_0/inst/led[7] - SLICE_X42Y7 LUT3 (Prop_lut3_I2_O) 0.295 -0.603 r design_1_i/reg_decalage_0/inst/Q[6]_i_1/O - net (fo=1, routed) 0.000 -0.603 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C - clock pessimism -0.276 -0.147 - clock uncertainty -0.057 -0.204 - SLICE_X42Y7 FDRE (Setup_fdre_C_D) 0.079 -0.125 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] ------------------------------------------------------------------- - required time -0.125 - arrival time 0.603 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.477 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -316,59 +314,57 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.600 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -378,59 +374,57 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.600 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -440,59 +434,57 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/CE + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.600 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -502,59 +494,57 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/CE + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.600 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -564,59 +554,57 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/CE + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.600 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.738ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/R (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.253ns (logic 0.456ns (36.382%) route 0.797ns (63.618%)) + Logic Levels: 0 + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.315ns + Clock Pessimism Removal (CPR): -0.413ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -626,59 +614,57 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.011 -2.315 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y71 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/CE + SLICE_X1Y71 FDRE (Prop_fdre_C_Q) 0.456 -1.859 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q + net (fo=8, routed) 0.797 -1.061 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/R ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C + clock pessimism -0.413 0.163 + clock uncertainty -0.057 0.106 + SLICE_X0Y70 FDRE (Setup_fdre_C_R) -0.429 -0.323 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time -0.323 + arrival time 1.061 ------------------------------------------------------------------- - slack 0.600 + slack 0.738 -Slack (MET) : 0.600ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Slack (MET) : 0.882ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/CE + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.649ns (logic 0.642ns (38.942%) route 1.007ns (61.058%)) - Logic Levels: 1 (LUT1=1) - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.592ns (logic 0.715ns (44.924%) route 0.877ns (55.076%)) + Logic Levels: 1 (LUT3=1) + Clock Path Skew: 0.000ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.314ns + Clock Pessimism Removal (CPR): -0.390ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -688,59 +674,59 @@ Slack (MET) : 0.600ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.012 -2.314 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.518 -2.129 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q - net (fo=2, routed) 0.486 -1.642 design_1_i/reg_decalage_0/inst/btnD_r - SLICE_X42Y8 LUT1 (Prop_lut1_I0_O) 0.124 -1.518 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O - net (fo=7, routed) 0.520 -0.998 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/CE + SLICE_X0Y70 FDRE (Prop_fdre_C_Q) 0.419 -1.895 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/Q + net (fo=3, routed) 0.877 -1.018 design_1_i/reg_decalage_0/inst/led[4] + SLICE_X0Y70 LUT3 (Prop_lut3_I2_O) 0.296 -0.722 r design_1_i/reg_decalage_0/inst/Q[3]_i_1/O + net (fo=1, routed) 0.000 -0.722 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_CE) -0.169 -0.398 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C + clock pessimism -0.390 0.186 + clock uncertainty -0.057 0.129 + SLICE_X0Y70 FDRE (Setup_fdre_C_D) 0.031 0.160 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3] ------------------------------------------------------------------- - required time -0.398 - arrival time 0.998 + required time 0.160 + arrival time 0.722 ------------------------------------------------------------------- - slack 0.600 + slack 0.882 -Slack (MET) : 0.698ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C +Slack (MET) : 0.896ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 1.863ns (logic 0.671ns (36.014%) route 1.192ns (63.986%)) + Data Path Delay: 1.622ns (logic 0.745ns (45.943%) route 0.877ns (54.057%)) Logic Levels: 1 (LUT3=1) Clock Path Skew: 0.000ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.276ns + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.314ns + Clock Pessimism Removal (CPR): -0.390ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -750,59 +736,59 @@ Slack (MET) : 0.698ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.012 -2.314 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y7 FDRE (Prop_fdre_C_Q) 0.518 -2.129 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/Q - net (fo=3, routed) 1.192 -0.937 design_1_i/reg_decalage_0/inst/led[6] - SLICE_X42Y7 LUT3 (Prop_lut3_I2_O) 0.153 -0.784 r design_1_i/reg_decalage_0/inst/Q[5]_i_1/O - net (fo=1, routed) 0.000 -0.784 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D + SLICE_X0Y70 FDRE (Prop_fdre_C_Q) 0.419 -1.895 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/Q + net (fo=3, routed) 0.877 -1.018 design_1_i/reg_decalage_0/inst/led[4] + SLICE_X0Y70 LUT3 (Prop_lut3_I0_O) 0.326 -0.692 r design_1_i/reg_decalage_0/inst/Q[5]_i_1/O + net (fo=1, routed) 0.000 -0.692 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C - clock pessimism -0.276 -0.147 - clock uncertainty -0.057 -0.204 - SLICE_X42Y7 FDRE (Setup_fdre_C_D) 0.118 -0.086 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C + clock pessimism -0.390 0.186 + clock uncertainty -0.057 0.129 + SLICE_X0Y70 FDRE (Setup_fdre_C_D) 0.075 0.204 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5] ------------------------------------------------------------------- - required time -0.086 - arrival time 0.784 + required time 0.204 + arrival time 0.692 ------------------------------------------------------------------- - slack 0.698 + slack 0.896 -Slack (MET) : 0.746ns (required time - arrival time) - Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Slack (MET) : 0.950ns (required time - arrival time) + Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Setup (Max at Slow Process Corner) Requirement: 2.500ns (clk_out1_design_1_clk_wiz_0_1 rise@2.500ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.976ns (logic 0.478ns (48.955%) route 0.498ns (51.045%)) - Logic Levels: 0 - Clock Path Skew: -0.025ns (DCD - SCD + CPR) - Destination Clock Delay (DCD): -2.371ns = ( 0.129 - 2.500 ) - Source Clock Delay (SCD): -2.647ns - Clock Pessimism Removal (CPR): -0.301ns + Data Path Delay: 1.266ns (logic 0.718ns (56.723%) route 0.548ns (43.277%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: -0.022ns (DCD - SCD + CPR) + Destination Clock Delay (DCD): -1.924ns = ( 0.576 - 2.500 ) + Source Clock Delay (SCD): -2.314ns + Clock Pessimism Removal (CPR): -0.412ns Clock Uncertainty: 0.057ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.071ns Discrete Jitter (DJ): 0.090ns @@ -812,42 +798,44 @@ Slack (MET) : 0.746ns (required time - arrival time) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.972 0.972 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.306 2.278 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -8.533 -6.255 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.754 -4.501 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.101 -4.400 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.753 -2.647 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 1.475 1.475 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.253 2.728 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.953 -6.225 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.802 -4.422 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.096 -4.326 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 2.012 -2.314 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.478 -2.169 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q - net (fo=8, routed) 0.498 -1.670 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.419 -1.895 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q + net (fo=2, routed) 0.169 -1.726 design_1_i/reg_decalage_0/inst/btnD_r + SLICE_X1Y70 LUT1 (Prop_lut1_I0_O) 0.299 -1.427 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O + net (fo=7, routed) 0.379 -1.048 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 2.500 2.500 r - K11 0.000 2.500 r clk (IN) + R4 0.000 2.500 r clk (IN) net (fo=0) 0.000 2.500 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.838 3.338 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 1.181 4.519 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -7.650 -3.131 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 1.594 -1.537 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.446 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 1.575 0.129 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C - clock pessimism -0.301 -0.172 - clock uncertainty -0.057 -0.229 - SLICE_X42Y7 FDRE (Setup_fdre_C_R) -0.695 -0.924 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] + R4 IBUF (Prop_ibuf_I_O) 1.405 3.905 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 1.181 5.086 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -8.206 -3.120 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 1.718 -1.402 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.091 -1.311 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 1.887 0.576 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C + clock pessimism -0.412 0.164 + clock uncertainty -0.057 0.107 + SLICE_X0Y70 FDRE (Setup_fdre_C_CE) -0.205 -0.098 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] ------------------------------------------------------------------- - required time -0.924 - arrival time 1.670 + required time -0.098 + arrival time 1.048 ------------------------------------------------------------------- - slack 0.746 + slack 0.950 @@ -855,567 +843,575 @@ Slack (MET) : 0.746ns (required time - arrival time) Min Delay Paths -------------------------------------------------------------------------------------- -Slack (MET) : 0.201ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Slack (MET) : 0.186ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/btnU_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.321ns (logic 0.246ns (76.655%) route 0.075ns (23.345%)) - Logic Levels: 1 (LUT6=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.238ns + Data Path Delay: 0.291ns (logic 0.186ns (63.933%) route 0.105ns (36.067%)) + Logic Levels: 1 (LUT3=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnU_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.148 -0.332 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q - net (fo=8, routed) 0.075 -0.257 design_1_i/reg_decalage_0/inst/btnC_r - SLICE_X42Y8 LUT6 (Prop_lut6_I0_O) 0.098 -0.159 r design_1_i/reg_decalage_0/inst/Q[0]_i_1/O - net (fo=1, routed) 0.000 -0.159 design_1_i/reg_decalage_0/inst/exemple_1/D[0] - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/btnU_r_reg/Q + net (fo=8, routed) 0.105 -0.287 design_1_i/reg_decalage_0/inst/btnU_r + SLICE_X0Y70 LUT3 (Prop_lut3_I1_O) 0.045 -0.242 r design_1_i/reg_decalage_0/inst/Q[6]_i_1/O + net (fo=1, routed) 0.000 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C - clock pessimism -0.238 -0.480 - SLICE_X42Y8 FDRE (Hold_fdre_C_D) 0.120 -0.360 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.092 -0.428 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6] ------------------------------------------------------------------- - required time 0.360 - arrival time -0.159 + required time 0.428 + arrival time -0.242 ------------------------------------------------------------------- - slack 0.201 + slack 0.186 -Slack (MET) : 0.243ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C +Slack (MET) : 0.190ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.374ns (logic 0.249ns (66.504%) route 0.125ns (33.496%)) + Data Path Delay: 0.310ns (logic 0.189ns (60.928%) route 0.121ns (39.072%)) Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.238ns + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y7 FDRE (Prop_fdre_C_Q) 0.148 -0.332 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/Q - net (fo=3, routed) 0.125 -0.207 design_1_i/reg_decalage_0/inst/led[5] - SLICE_X42Y7 LUT3 (Prop_lut3_I2_O) 0.101 -0.106 r design_1_i/reg_decalage_0/inst/Q[4]_i_1/O - net (fo=1, routed) 0.000 -0.106 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q + net (fo=4, routed) 0.121 -0.271 design_1_i/reg_decalage_0/inst/led[0] + SLICE_X0Y70 LUT3 (Prop_lut3_I2_O) 0.048 -0.223 r design_1_i/reg_decalage_0/inst/Q[7]_i_2/O + net (fo=1, routed) 0.000 -0.223 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_1 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C - clock pessimism -0.238 -0.480 - SLICE_X42Y7 FDRE (Hold_fdre_C_D) 0.131 -0.349 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.107 -0.413 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7] ------------------------------------------------------------------- - required time 0.349 - arrival time -0.106 + required time 0.413 + arrival time -0.223 ------------------------------------------------------------------- - slack 0.243 + slack 0.190 -Slack (MET) : 0.254ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C +Slack (MET) : 0.191ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.375ns (logic 0.209ns (55.663%) route 0.166ns (44.337%)) - Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.238ns + Data Path Delay: 0.295ns (logic 0.186ns (63.068%) route 0.109ns (36.932%)) + Logic Levels: 1 (LUT6=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y7 FDRE (Prop_fdre_C_Q) 0.164 -0.316 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/Q - net (fo=3, routed) 0.166 -0.149 design_1_i/reg_decalage_0/inst/led[2] - SLICE_X42Y7 LUT3 (Prop_lut3_I0_O) 0.045 -0.104 r design_1_i/reg_decalage_0/inst/Q[3]_i_1/O - net (fo=1, routed) 0.000 -0.104 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D + SLICE_X0Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/Q + net (fo=3, routed) 0.109 -0.283 design_1_i/reg_decalage_0/inst/led[1] + SLICE_X1Y70 LUT6 (Prop_lut6_I1_O) 0.045 -0.238 r design_1_i/reg_decalage_0/inst/Q[0]_i_1/O + net (fo=1, routed) 0.000 -0.238 design_1_i/reg_decalage_0/inst/exemple_1/D[0] + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C - clock pessimism -0.238 -0.480 - SLICE_X42Y7 FDRE (Hold_fdre_C_D) 0.121 -0.359 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C + clock pessimism -0.216 -0.520 + SLICE_X1Y70 FDRE (Hold_fdre_C_D) 0.091 -0.429 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0] ------------------------------------------------------------------- - required time 0.359 - arrival time -0.104 + required time 0.429 + arrival time -0.238 ------------------------------------------------------------------- - slack 0.254 + slack 0.191 -Slack (MET) : 0.275ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C +Slack (MET) : 0.203ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.396ns (logic 0.209ns (52.720%) route 0.187ns (47.280%)) + Data Path Delay: 0.307ns (logic 0.186ns (60.547%) route 0.121ns (39.453%)) Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.000ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.238ns + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y7 FDRE (Prop_fdre_C_Q) 0.164 -0.316 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/Q - net (fo=3, routed) 0.187 -0.128 design_1_i/reg_decalage_0/inst/led[1] - SLICE_X42Y7 LUT3 (Prop_lut3_I0_O) 0.045 -0.083 r design_1_i/reg_decalage_0/inst/Q[2]_i_1/O - net (fo=1, routed) 0.000 -0.083 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q + net (fo=4, routed) 0.121 -0.271 design_1_i/reg_decalage_0/inst/led[0] + SLICE_X0Y70 LUT3 (Prop_lut3_I0_O) 0.045 -0.226 r design_1_i/reg_decalage_0/inst/Q[1]_i_1/O + net (fo=1, routed) 0.000 -0.226 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C - clock pessimism -0.238 -0.480 - SLICE_X42Y7 FDRE (Hold_fdre_C_D) 0.121 -0.359 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.091 -0.429 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] ------------------------------------------------------------------- - required time 0.359 - arrival time -0.083 + required time 0.429 + arrival time -0.226 ------------------------------------------------------------------- - slack 0.275 + slack 0.203 -Slack (MET) : 0.285ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +Slack (MET) : 0.248ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/btnU_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.432ns (logic 0.210ns (48.651%) route 0.222ns (51.349%)) + Data Path Delay: 0.368ns (logic 0.186ns (50.489%) route 0.182ns (49.511%)) Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.222ns + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnU_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.164 -0.316 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q - net (fo=4, routed) 0.222 -0.094 design_1_i/reg_decalage_0/inst/led[0] - SLICE_X42Y7 LUT3 (Prop_lut3_I2_O) 0.046 -0.048 r design_1_i/reg_decalage_0/inst/Q[7]_i_2/O - net (fo=1, routed) 0.000 -0.048 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_1 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/D + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/btnU_r_reg/Q + net (fo=8, routed) 0.182 -0.210 design_1_i/reg_decalage_0/inst/btnU_r + SLICE_X0Y70 LUT3 (Prop_lut3_I1_O) 0.045 -0.165 r design_1_i/reg_decalage_0/inst/Q[4]_i_1/O + net (fo=1, routed) 0.000 -0.165 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]/C - clock pessimism -0.222 -0.464 - SLICE_X42Y7 FDRE (Hold_fdre_C_D) 0.131 -0.333 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.107 -0.413 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4] ------------------------------------------------------------------- - required time 0.333 - arrival time -0.048 + required time 0.413 + arrival time -0.165 ------------------------------------------------------------------- - slack 0.285 + slack 0.248 -Slack (MET) : 0.295ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +Slack (MET) : 0.252ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.431ns (logic 0.209ns (48.532%) route 0.222ns (51.468%)) + Data Path Delay: 0.359ns (logic 0.183ns (50.988%) route 0.176ns (49.012%)) Logic Levels: 1 (LUT3=1) - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.222ns + Clock Path Skew: 0.000ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.229ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.164 -0.316 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/Q - net (fo=4, routed) 0.222 -0.094 design_1_i/reg_decalage_0/inst/led[0] - SLICE_X42Y7 LUT3 (Prop_lut3_I0_O) 0.045 -0.049 r design_1_i/reg_decalage_0/inst/Q[1]_i_1/O - net (fo=1, routed) 0.000 -0.049 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]_0 - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/D + SLICE_X0Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[6]/Q + net (fo=3, routed) 0.176 -0.216 design_1_i/reg_decalage_0/inst/led[6] + SLICE_X0Y70 LUT3 (Prop_lut3_I2_O) 0.042 -0.174 r design_1_i/reg_decalage_0/inst/Q[5]_i_1/O + net (fo=1, routed) 0.000 -0.174 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C - clock pessimism -0.222 -0.464 - SLICE_X42Y7 FDRE (Hold_fdre_C_D) 0.120 -0.344 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5]/C + clock pessimism -0.229 -0.533 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.107 -0.426 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[5] ------------------------------------------------------------------- - required time 0.344 - arrival time -0.049 + required time 0.426 + arrival time -0.174 ------------------------------------------------------------------- - slack 0.295 + slack 0.252 -Slack (MET) : 0.368ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Slack (MET) : 0.263ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/btnU_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.340ns (logic 0.148ns (43.478%) route 0.192ns (56.522%)) - Logic Levels: 0 - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.222ns + Data Path Delay: 0.368ns (logic 0.186ns (50.489%) route 0.182ns (49.511%)) + Logic Levels: 1 (LUT3=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnU_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.148 -0.332 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q - net (fo=8, routed) 0.192 -0.140 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/R + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/btnU_r_reg/Q + net (fo=8, routed) 0.182 -0.210 design_1_i/reg_decalage_0/inst/btnU_r + SLICE_X0Y70 LUT3 (Prop_lut3_I1_O) 0.045 -0.165 r design_1_i/reg_decalage_0/inst/Q[2]_i_1/O + net (fo=1, routed) 0.000 -0.165 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C - clock pessimism -0.222 -0.464 - SLICE_X42Y7 FDRE (Hold_fdre_C_R) -0.044 -0.508 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.092 -0.428 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2] ------------------------------------------------------------------- - required time 0.508 - arrival time -0.140 + required time 0.428 + arrival time -0.165 ------------------------------------------------------------------- - slack 0.368 + slack 0.263 -Slack (MET) : 0.368ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Slack (MET) : 0.278ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/btnU_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.340ns (logic 0.148ns (43.478%) route 0.192ns (56.522%)) - Logic Levels: 0 - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.222ns + Data Path Delay: 0.383ns (logic 0.186ns (48.539%) route 0.197ns (51.461%)) + Logic Levels: 1 (LUT3=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnU_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.148 -0.332 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q - net (fo=8, routed) 0.192 -0.140 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/R + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.141 -0.392 r design_1_i/reg_decalage_0/inst/btnU_r_reg/Q + net (fo=8, routed) 0.197 -0.195 design_1_i/reg_decalage_0/inst/btnU_r + SLICE_X0Y70 LUT3 (Prop_lut3_I1_O) 0.045 -0.150 r design_1_i/reg_decalage_0/inst/Q[3]_i_1/O + net (fo=1, routed) 0.000 -0.150 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/D ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C - clock pessimism -0.222 -0.464 - SLICE_X42Y7 FDRE (Hold_fdre_C_R) -0.044 -0.508 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_D) 0.092 -0.428 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3] ------------------------------------------------------------------- - required time 0.508 - arrival time -0.140 + required time 0.428 + arrival time -0.150 ------------------------------------------------------------------- - slack 0.368 + slack 0.278 -Slack (MET) : 0.368ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Slack (MET) : 0.437ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.340ns (logic 0.148ns (43.478%) route 0.192ns (56.522%)) - Logic Levels: 0 - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.222ns + Data Path Delay: 0.411ns (logic 0.227ns (55.257%) route 0.184ns (44.743%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.148 -0.332 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q - net (fo=8, routed) 0.192 -0.140 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/R + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.128 -0.405 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q + net (fo=2, routed) 0.068 -0.337 design_1_i/reg_decalage_0/inst/btnD_r + SLICE_X1Y70 LUT1 (Prop_lut1_I0_O) 0.099 -0.238 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O + net (fo=7, routed) 0.116 -0.122 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/CE ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C - clock pessimism -0.222 -0.464 - SLICE_X42Y7 FDRE (Hold_fdre_C_R) -0.044 -0.508 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_CE) -0.039 -0.559 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1] ------------------------------------------------------------------- - required time 0.508 - arrival time -0.140 + required time 0.559 + arrival time -0.122 ------------------------------------------------------------------- - slack 0.368 + slack 0.437 -Slack (MET) : 0.368ns (arrival time - required time) - Source: design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Slack (MET) : 0.437ns (arrival time - required time) + Source: design_1_i/reg_decalage_0/inst/btnD_r_reg/C (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) - Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R + Destination: design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE (rising edge-triggered cell FDRE clocked by clk_out1_design_1_clk_wiz_0_1 {rise@0.000ns fall@1.250ns period=2.500ns}) Path Group: clk_out1_design_1_clk_wiz_0_1 Path Type: Hold (Min at Fast Process Corner) Requirement: 0.000ns (clk_out1_design_1_clk_wiz_0_1 rise@0.000ns - clk_out1_design_1_clk_wiz_0_1 rise@0.000ns) - Data Path Delay: 0.340ns (logic 0.148ns (43.478%) route 0.192ns (56.522%)) - Logic Levels: 0 - Clock Path Skew: 0.016ns (DCD - SCD - CPR) - Destination Clock Delay (DCD): -0.242ns - Source Clock Delay (SCD): -0.480ns - Clock Pessimism Removal (CPR): 0.222ns + Data Path Delay: 0.411ns (logic 0.227ns (55.257%) route 0.184ns (44.743%)) + Logic Levels: 1 (LUT1=1) + Clock Path Skew: 0.013ns (DCD - SCD - CPR) + Destination Clock Delay (DCD): -0.304ns + Source Clock Delay (SCD): -0.533ns + Clock Pessimism Removal (CPR): 0.216ns Location Delay type Incr(ns) Path(ns) Netlist Resource(s) ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.201 0.201 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.440 0.641 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.234 -1.593 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.497 -1.097 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.071 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.591 -0.480 design_1_i/reg_decalage_0/inst/clk - SLICE_X42Y8 FDRE r design_1_i/reg_decalage_0/inst/btnC_r_reg/C + R4 IBUF (Prop_ibuf_I_O) 0.243 0.243 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.440 0.683 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.503 -1.819 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.546 -1.273 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.026 -1.247 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.714 -0.533 design_1_i/reg_decalage_0/inst/clk + SLICE_X1Y70 FDRE r design_1_i/reg_decalage_0/inst/btnD_r_reg/C ------------------------------------------------------------------- ------------------- - SLICE_X42Y8 FDRE (Prop_fdre_C_Q) 0.148 -0.332 r design_1_i/reg_decalage_0/inst/btnC_r_reg/Q - net (fo=8, routed) 0.192 -0.140 design_1_i/reg_decalage_0/inst/exemple_1/btnC_r - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/R + SLICE_X1Y70 FDRE (Prop_fdre_C_Q) 0.128 -0.405 f design_1_i/reg_decalage_0/inst/btnD_r_reg/Q + net (fo=2, routed) 0.068 -0.337 design_1_i/reg_decalage_0/inst/btnD_r + SLICE_X1Y70 LUT1 (Prop_lut1_I0_O) 0.099 -0.238 r design_1_i/reg_decalage_0/inst/Q[7]_i_1/O + net (fo=7, routed) 0.116 -0.122 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[7]_0 + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/CE ------------------------------------------------------------------- ------------------- (clock clk_out1_design_1_clk_wiz_0_1 rise edge) 0.000 0.000 r - K11 0.000 0.000 r clk (IN) + R4 0.000 0.000 r clk (IN) net (fo=0) 0.000 0.000 design_1_i/clk_wiz_0/inst/clk_in1 - K11 IBUF (Prop_ibuf_I_O) 0.390 0.390 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O - net (fo=1, routed) 0.481 0.871 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 - PLLE2_ADV_X0Y0 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) - -2.546 -1.675 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 - net (fo=1, routed) 0.544 -1.131 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 - BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.102 r design_1_i/clk_wiz_0/inst/clkout1_buf/O - net (fo=11, routed) 0.860 -0.242 design_1_i/reg_decalage_0/inst/exemple_1/clk - SLICE_X42Y7 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C - clock pessimism -0.222 -0.464 - SLICE_X42Y7 FDRE (Hold_fdre_C_R) -0.044 -0.508 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4] + R4 IBUF (Prop_ibuf_I_O) 0.431 0.431 r design_1_i/clk_wiz_0/inst/clkin1_ibufg/O + net (fo=1, routed) 0.481 0.912 design_1_i/clk_wiz_0/inst/clk_in1_design_1_clk_wiz_0_1 + PLLE2_ADV_X1Y2 PLLE2_ADV (Prop_plle2_adv_CLKIN1_CLKOUT0) + -2.828 -1.916 r design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 + net (fo=1, routed) 0.595 -1.322 design_1_i/clk_wiz_0/inst/clk_out1_design_1_clk_wiz_0_1 + BUFGCTRL_X0Y0 BUFG (Prop_bufg_I_O) 0.029 -1.293 r design_1_i/clk_wiz_0/inst/clkout1_buf/O + net (fo=11, routed) 0.989 -0.304 design_1_i/reg_decalage_0/inst/exemple_1/clk + SLICE_X0Y70 FDRE r design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C + clock pessimism -0.216 -0.520 + SLICE_X0Y70 FDRE (Hold_fdre_C_CE) -0.039 -0.559 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2] ------------------------------------------------------------------- - required time 0.508 - arrival time -0.140 + required time 0.559 + arrival time -0.122 ------------------------------------------------------------------- - slack 0.368 + slack 0.437 @@ -1430,36 +1426,36 @@ Sources: { design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin Min Period n/a BUFG/I n/a 2.155 2.500 0.345 BUFGCTRL_X0Y0 design_1_i/clk_wiz_0/inst/clkout1_buf/I -Min Period n/a PLLE2_ADV/CLKOUT0 n/a 1.249 2.500 1.251 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnC_r_reg/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnD_r_reg/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y9 design_1_i/reg_decalage_0/inst/btnU_r_reg/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C -Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C -Max Period n/a PLLE2_ADV/CLKOUT0 n/a 160.000 2.500 157.500 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 -Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnC_r_reg/C -Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnC_r_reg/C -Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnD_r_reg/C -Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnD_r_reg/C -Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y9 design_1_i/reg_decalage_0/inst/btnU_r_reg/C -Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y9 design_1_i/reg_decalage_0/inst/btnU_r_reg/C -Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C -Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C -Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C -Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C -High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnC_r_reg/C -High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnC_r_reg/C -High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnD_r_reg/C -High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/btnD_r_reg/C -High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y9 design_1_i/reg_decalage_0/inst/btnU_r_reg/C -High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y9 design_1_i/reg_decalage_0/inst/btnU_r_reg/C -High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C -High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y8 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C -High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C -High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X42Y7 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C +Min Period n/a PLLE2_ADV/CLKOUT0 n/a 1.249 2.500 1.251 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X1Y71 design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnU_r_reg/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[2]/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[3]/C +Min Period n/a FDRE/C n/a 1.000 2.500 1.500 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[4]/C +Max Period n/a PLLE2_ADV/CLKOUT0 n/a 160.000 2.500 157.500 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKOUT0 +Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y71 design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y71 design_1_i/reg_decalage_0/inst/btnC_r_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnD_r_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnU_r_reg/C +Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnU_r_reg/C +Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +Low Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C +Low Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C +High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y71 design_1_i/reg_decalage_0/inst/btnC_r_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y71 design_1_i/reg_decalage_0/inst/btnC_r_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnD_r_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnD_r_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnU_r_reg/C +High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/btnU_r_reg/C +High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X1Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[0]/C +High Pulse Width Slow FDRE/C n/a 0.500 1.250 0.750 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C +High Pulse Width Fast FDRE/C n/a 0.500 1.250 0.750 SLICE_X0Y70 design_1_i/reg_decalage_0/inst/exemple_1/Q_reg[1]/C @@ -1482,10 +1478,10 @@ Sources: { design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT } Check Type Corner Lib Pin Reference Pin Required(ns) Actual(ns) Slack(ns) Location Pin Min Period n/a BUFG/I n/a 2.155 10.000 7.845 BUFGCTRL_X0Y1 design_1_i/clk_wiz_0/inst/clkf_buf/I -Min Period n/a PLLE2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT -Min Period n/a PLLE2_ADV/CLKFBIN n/a 1.249 10.000 8.751 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN -Max Period n/a PLLE2_ADV/CLKFBIN n/a 52.633 10.000 42.633 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN -Max Period n/a PLLE2_ADV/CLKFBOUT n/a 160.000 10.000 150.000 PLLE2_ADV_X0Y0 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT +Min Period n/a PLLE2_ADV/CLKFBOUT n/a 1.249 10.000 8.751 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT +Min Period n/a PLLE2_ADV/CLKFBIN n/a 1.249 10.000 8.751 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN +Max Period n/a PLLE2_ADV/CLKFBIN n/a 52.633 10.000 42.633 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBIN +Max Period n/a PLLE2_ADV/CLKFBOUT n/a 160.000 10.000 150.000 PLLE2_ADV_X1Y2 design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKFBOUT diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpx b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_timing_summary_routed.rpx index 64568e29ce16e1c3a692284a757319e74f20802e..ce29864638218ce92404e5df9ecb02a94620cf1b 100644 GIT binary patch literal 117025 zcmeHw4R}=7dFDBDM?$_vATF3-#njhIuq8m6AN?9zKS;u6una<g!FKUvq`8vDjAn+J zk@(5OuIw!iB##`L4K1#hRu5fV(!^WRn2qWf8^@*V*m0M*iyzjGTPLae(6sL2_-Ebj zL)q_~`!jb&bLZX}X+}ssJP%hhbAQe`-#zF1&i9`8JKt)APzf$Cb~{~lHMJF`TLVLZ z(7=}Ap&?&%U&W&Cc5hG8PmjX&Jh|FkQ}->dN<Jt0LcZX>SRf|$N5ey+XDAYshNMtj z@{1uU9t_0dHRtEo7B#mXErr|BdjdMWc<I5LU-q}OT)dh5GJY$%a_iFbEB@&4>6W9n z(`#0t)2kPK#i5i2brb%=_Ru`2oOj5#x3?%3^!4o;<RkdNYrpY)co4&J_aV$3!Nn)= zc^s7@;b`0&r;YK(<kpDQaHluoix0*`ms70n4+JIAFU0}_A+O83C+dqtq-e@L-e`Cj zno$#t#Kr1Juhq-p%Iaujuhq-RrPX_U(U3PB@{R<;L0>!&4xPg2G)5B`ox|u=j4ohw z3FG%Lx`OdB8y>geQ#N$kh9+#bb2jv<4PCIIOE&zT4PUmQD>nR@4UKZ>5QmR&xcouh zR_zi{xhg>Mn)(k{7CBMVytd2p)}tNxD`*f!&|Wl((IJeEU~~+lag0u3Osj&`s$g0b zd>P}44>|r;zPN`<T)0W;5K0FU<)8U-zPOVLS}02uIN!zFyM58P(Ag=C1p1`rMxWd1 zjEiD7ykOse)Ew&_sB5Yd#Vrv@@;6tz#LDiTO`Y31wt6;g6kRp$S`m6<Q?;|9+ErV{ zKfup>K#Imde8PPX1V()RusGs&y6bA-O0lw`uDUl6uY!kd7u$yeLBCk<YN%^!s29T_ zaqHl)xJ8PHV!i09TT|Px#@!^|-?<eY@2=xT-rnhpOTsd8)yD9M2q#?)Yiiwap?t+s ze!kpBaZ8+BS=>Xr4*xUE&+7=ocnbN2Inpy%{v7iSJl7Z8wFrIm$<=GuzSKsCF+vZv z-9|<)`B#aYXib^?8IJV2#cg~yzZ~xKf^1w~tuK&Y_5}8OonDvnL{5F;KHU?s)0X<g zk6M){_V>agGd(eF9c`1JxVX)I!NxD>8<hHX$-TKJvR6PA^ZAlc*xMHj_w8DbDg?M9 z9E!z#p}6+jP5h$Ba4;r8Q~dEk?dLD^;&6yw9Q6f4lHVHu%@_rZ>6JqMNEp7w;DHW) z0X#ksiqKXBef!F9?gkwe6ZZt-gJLKw(o>*O;8dk;J+5%^3*iQ6bmETk<U8bZx?7;q zL&L#%0MxTqyCr<tfE1FV&}IGdkEAv?@I}FJI6^*tfnOYf@fm>n>S_lkmhnr}6Z%@f znT7m~pu+kFy~;D3^Vj@j0l$cf6s!xhMlOl}g7#CgF!^%r#3YIGRoXc-vFe_mEL(n= z_KXx6>TXFE6@RmKORBt-&O%y78_eILU8)L5CpqZ<q-&9@)w6o>@k_MRIvENZ(uhx} z<sG24q^KnN;J;IhN&{ePrKp46DMkXJME9W`x2DXo3<(eD8ksD2;ZDBZ+7pxSt0}98 z=lU|eHeoH_Z2suMKp%8=Q1qhiy^B;LWzA5;PjD8me^QZDAn`$8oXRT{j*GfsDfWk> zBFqp1L&HPzn5iji7Pj+Sl4_mhBSinOB*w#XIiM><<uz=9w)_z5-a~T#6x#U~U9q*w z;3t!s#2eKq1Thwo`U3p{n5l@B>pl0buPSSS*W9CfhST&Jy~A;FI3`8KL0?StiTyrM zWza=I`)E>%*Oc`v<Agu384n<#nZHw4Y?GK=X}wNLQ|mj0ZoW%*yED`6Rw`W9CG6q{ zvUwuaw?0vxJIe11x<fzF++>yzh>OrqBGGWfHvrQ#7{&2Hm?RDi!kMyxWhKyK5?U=; zg$Qf-hNPMpj#u?Qx%gO3Sp)Q!M>D7(;XeM}q(^HyN_hm-GP&D_q&_k~hra1k8W{@@ zNBg9jvU{PddsB7VBwf=N9_kH*=tR{Ql=U9;FepZyQ_H%hH?uMn+W5PZ>MeI_U7t~O zq~84L`c2v0P}xUJRH|?n-<VWLn#UXT%d$pze!qcE?&8-cJvK!o!~&)hjMiafElpco zwtg8Zznw=gMYn}w72N&n_g8XG)V`RiKE){k{Z4A$e%M}ug)-j0B^cf#a1Tnca&jQQ z+~bSI6Tg&yiN9rC$kz*Q&i%yfiyh%8SbA}-;;qS7-pVgiF6x$IG4PmTLojV03Xk}L z@&&d0EtAf`6|rz|n7Apz;*AoRpI96oKQsg*WMkMbL0d%SsU9+=5j)Ae1&;kbsEOD? zW>JD|W4K)8%hl518B$zyz)5(XxHj4sqgQ;9zo|12qpi3n7#J8-o+Y1L%9nWtLSe9e z9>*qd8Nj!rH`VZ!iYKzp7Y*(cyL>QL7!+X$w1=b7@E$U5yT}UyxshtD;=e4P5aBk7 znu7a%dzD(R+uJ8aNK<37Kz@t=!u?WoKqA+Y2c@3BonPu9Q@rXeT|Go75h)a-14WqM z0S}R)Bk*lRs%YoGs5}9F?hgzM!z2t!0ojt*oZ1zZfEI>}RK+Csg`N*F|4U5!4bo=b zRqCYMrGB_m{jgU3;88zF#4jJjyVdUp$Or2FEd}@QI3`Z|IL6<>>IG-$C+e0i1-JAf zCQduJgTK(OxJ-Q1MgOXOf6S)dd&q{4*i_H+8o05E&*Qq!r*xmM*bsQKO}LF)kGjzg z^cD2bD=qv>Mb!NeMtJ^y&^p~xG!XU+gQXj{FLmFv=clXO%h!MByUvPQ7$^?S%Lq6$ zKf?i2qqrjy3`%aV&p!e)Tlm`1b)RRWYb6(Q_}t6s^KU{(T)Ujq9uDJe74u4vK-`{k zQZDolt=#=zY^;^bJ+`2?HgDxtM0l<X27RFPcPlr#Zrc0P@4A+IBR9BmE08J>la?k* z93v%;OtD0wpiD|!xtq7Ihj9*#oR%Vqmb6~vH%Tk^<iC~t-_N6tu(8`JJcpAy_eos( z)APkj@BVM)OI#I|(7V5E+`FNl_IrDW`yC#+OG_pNrgrvvc)ZK_@skJ7J?)#eZ53Wl zuJ)hf)Ipisa|_yrbIEP^{-ie4foz=F$;Pv>kWDD3O-QIHXhMpPy8fF$ds3na(34It zysb`Fv!LVaDz5*Y#6Mm`N1ZX=CH(i~zV;`$bp4ZO9i)}6<#d>@g1&Z#abJUB4wF}x zex&<N$|NJbO*3n)6<K!$80SvmrR0jA#--2vpYJ;5ic{;G(_`g9QkWJzpWK4);?i$c z{<YEqZ{)DE!U3XRr4v0kYydI#`GNpUd!6zmqBkDu@J79G%F!_!E8jVrE5B_fD*xl; zZu5gl-KG(`O|40{k@iYtnat~QY$ko@Vdu`mcAva7!ZjBf;hqbPsGSRqs4HwE%Be+5 zOgO-zty^A2CmgQjbi#4HTeJ%B8K{H!JxrV@C-K*u#6!%%l*!&<K@73J+Tef@3!Hnk zsf$_gYUd{HgzcRnX#<SlBrrmDokUmd*(B}6WMNiGTUYG@O8W&xlRP&`ljJ<RDWueO z)lcc;xmSPIN}o>4X0P<+>K9J>E6-pBf&?>~(tEP@2n#6oq<YUHJDODQ+~lpZNEGia zi2YBh_mn39v#NjVY|^Zfx31m=l=oRxpMWt<>EF3qqXNoZU;mVTo_qagulx&bPFGH| z{0nVQg{Bg+&O5J+UruP+A|}+(Ce()172QEDLYAEW0HYHa{i%-jTz<*M3*CfMDQ+j6 z%Ct-4cn$E8ZqX>nX|De~^N-t?4#5IBWzzwbl*mwK4gk*^Q=a#aVRah_1aw)O9Bxw= z>#J1Gu1h8P>SzQCo!6tD8boOr1cX37j!|0f0HL&B#Q%P+wQVwY02^`#&@mfIW(ok+ zoe%_;1KR*vk(paup80fY%Rg#WTF$klw)~@3rR7{(z6cbh>4jiBVw36r3F^cto9)kS zH%0?6N~%Tj=lP^>S8V)eHvZ5O66hgVoWE*zvOE_B->}osxe~2G?PvpfsHC!M+t%7< zSJk82p?4WCgwdL}IcuCwC(u@_fs|(T;^8YFf4hb9Ru1CdzWbe)G0HqSN{`3s@g!dH z{ij==#m`>ddiNPT{2xnRI!k{)Pkw*#4f^>aJ-$ni@6+Q4^!N!qUZux_l*uwik4J5U z8gtzCXZP)V@g)7_S$aG}k7sQ^8EI)bZ*%;^Tg`9KUoO()yY%=zJwlwuXEr>_VH%`? zj&W$5L#H@&nnM#DI>(_`Idp-;mpJquhc0vI3Wq-9@TeUfvZEt*bj*&%?dX&pownl% zJ341auh~Z`;r$a%lH?zds^ihXzyQ#L{bHXK42t)3_N<e+e(+20J`)LxUnN~hdm%sv z4YolLMLl^t5GVtDn`U=SJ$YFNs@|Nbg5S1N&f72TnMd}oP0s(u<ovga7FBk3bV9G( zLXREYJypkw@OTkARfH#s(8VI6G%ppQ%SGrD`uQq79xNumkI~~%dOT75&qXi{pTK;U zBXP5E<CZQ@$2#v0*Mp7D^3rZ#pnCiLQOVok-7Mk_cJg+2K={sn9391Y3~%f$YdHd; zLKuSzKBWc{T_90J=sk=mNec;KzN&;1R+ubS!5SxM1QBfzAf8LC><>3Ltrq3bs47w> z81FGmy1IFRLB0msztW_@%?~&1^afyY%;VD9?Syti6@UY#HWJK>8lR-ZNu@ULAm*!~ zxGJl~ZAvPwd;yg*@EmKs9+!6$5i?O{i5iUIf8N|=q16ZYMi6thYl0vH(qc{MuE|0t z{mWeF`=I#jmn4ZAPm%}JC8e9uH;}1=ohW{Meu+)+!>x`=N`5y{JJgI3?L|A5+L^62 za|5pu^aS3sshtcJm%A>x&&bBXTx5Ox3V36;={gQENHj>vLD_=jYarx;r}OHda3%(W zwF(FL)$m>_GZq46cmqJ=8gO`wTV*~H9>-agwMk!=qHRbmydHTNc-pt!qaOwv-`Z<I z+l*p<4M?>iV}prQ(*|;Zs51?P_kF*xP=z?33n^4s(B0Lw&h1^>`2guv?&LmYF8x2o zd`@eJspXb0ry422y^}esUid>eIiR3!&?>5bJ)c&J=gj;3W0=1SUQc7@*F)Jx+9lek z@RFgB>HU<SyRp^04!ihl_)bD8^uv2Qw)S*+cQ_x++C2OY&Ze|YDWxgHf{aadSdiN2 z<^J*2|8=){n}_(@LF^TpOaqQ|L?e(iNO-ZTY+Pxq!Ot!>SP=2<Z08;wniU{(52!@; zR^S`+p@qx}&|vP=NlJfKnmMf%fC-qn63Vj)_>=$qa|`-6uO{GZ&!-luuzOlffF;q- zwEka%>t|g5Ki9a-f^r(nMk9MtG_q=zExnVxEC0aneqcfQ@8O-G8dsYr{{&sof*JVL zk^>ebowHMrFb9fDmc2Rnh%2!RYvFY*lzoDT8hS>IC-o)?tYU6C4`aR_+zWk}LORo# zke*DoY}lz;G}46f()Hn>U-hOt_1-kC%jlyoSn%<*Ng=&Qa{%Q1GYtIGRuDmHRN6fp zASg{7V$U=I5F|?#05<gBTi^lnebFdvbrDRy|Nk!Ds|nEf{#+{E2xS)04Oq0w5-7~H zvJ=H67mCr9V)Umn7MY7rN@%h<VUmpn#v~tm^AA-_a{ZHkQ!q^ON3XPJz$BXvuLVr< z_ZmNAm}LII&{>E{eoQdQCvi?F5sb(g#U_K2AIS5dk~H75ZZb?py1c5NjKNT=*kmKY zCfyE?JIRVb74m~kD#c~MCf(_=$!DJKw9x9@ut}(tnZvJ{z)S$4klQqbsPk0mXFm=3 zHp3<jXBG^bObr)T5MPE(%3veICMRtu06ioTi)rAS%1avNXieVTQ)}2{9^6xFC}nPT zf%pfSU0`S>QCZWxX2sCTbRx~gC@{3rV6}>&mCAOnI-*A2&B2^RE5S`jn1k7hRyJmb zR{pHw9SdGxF2G*~w31+4s)KEeHX5Uq7kBszhgK4h%(Su-#daC3{I9vAm4s=X6Iuz= zm=p$OLtYt_N3Z>!idKeSDjb8dcC;e{S}8Lq&zJojLn|3t31E`Sp!}C9pp`Hp6KJKp z_ES(MB|$4)NoZy5WVDiWc~w769j$auVp1x8QGU=$rMLuIN%^W8ld?h2q-@Z0WvnqN z_wX)QF0Hn_T-uoe4yR$w<P)bPihSz+D8ng@hXKPW8BVEe#g(8%s{47;jmlEEn~XzA zIt;@pr-@CO8%{|)1I;ev?3glErLkRLI3>d=)9mm(ofd)Nlnkd#C3ELy4yI?>YKB>r z&IC?LeLfRbW&I=1TkvwH8K)%Zmg-vPf>VC)-(!WtDG5AgTG@$WZsu5(FpWvUDf1iY zy}@&QCUDB97k8WDl#ErG*AR2Ysw_B8SzuPBOTj6fseVy@a7v}P1WrlOU=62)h;L_l zR;4wZGB;KwRB9$8zKJ?dl|Extsyn?a{6FCNvWRckUxGz^k7w9eW2PhiSj2bQSlhhQ zXc()~V5^xE#SE=vXeDHZLjsEdrzz(r(Z}<<e#OvAhE`4wS~)$7S2K)Ox)Nxm%md0C z13oL!%8p$Fg+nU|NM-@8R9KaT+>a9S$P^T%-1LVps3>J2W52gQ{2xqF%8TdMw6Ogs z^R^M@EJP{i?0%G_tE>9Rc;am}=DThZi&9~2<OiKpipzjb&cJ?@P^p<jCy5%LIy%Yr zqck22j7!P5l#EN6v_Uf4j}o?@W&2T*&GkPoyAm_zBx6o8=A^PgKHHBnt@2Oos0gd# zup*vuTjG4~M@e!7Pu(e)VJxyPJ1lZmMtI-(a%JJLNCJhazP};Ob2|2;gd7REMR?a2 z7?WJ}$`4gcva#Xq4Es@%Xvpcyk+bLDMgfx?d-~C|IdUHI-v#?oUcIf3VUjGuTNmLy zmHjATM9z4GcY|4kH|g@Kelmt*tYVY(3N~4w{V0{<GGLPi`%$_|R$E~F=f<3bO3frT zN!0n&ry(rP+juZAY?5J<44eGiW0SLNKT6^sOx-Rp=A^M*U}z;nD;ZkJ(8_?qsm+}K zWrop8a1*A%9GIh(1aL9;FLTVEQ$GvPN`i5z0x$#s1>^lFNB6ij0UF<*OK^Ic>^TG^ zGp(!^=UqtVq`SZ<rH(mS$V}cZz4HWPPO?niER(mKdu@7|lkUPYCzawdpp!GeoP<iv zBsxjd_|&~n#+)?vLK!;A&`E|)e(uppmdQKhb$Q7~88qt*q=<_$=45gLo<Il)wIpY} zgJtrT;$mfgxH-+9+$=W^%Z;OC7rqWsrOnCQIN%f%1dGgTCU19kSmdnC<XuqaB!R*# zV3A5D??PgcwFSl^+uVPtGA0X&MV<~nX^KVu@B<HHOftr#jxjlBqqs>|SM`xG{9=_U zSzA~vQYr5G!XlwkGl@kKHO{cen7Ul8`N|HOvxIyF50ULLIf^mcWAYeAY>!E_K?oTG z1?A^%Y&EwJEQ*^&aWgDZg=IBU!?4KxUMGOmnN<wKA{iFRut=QGC~j~HW<M5L`#HcO zw?B1r;dqe*3RCBHh9pcaiu)UKo^D487FL<0hwY7rI=xXZP=z6Sy9sWnGr1wL-N9yZ z7o_lYbgWy6)}VH@0X<Yw*|lw}E3XN<TeiKaf|31qENmUaBux7#JszjWlX%7VpKf^; zKYMlS-P0Go{aYvhE&~{OIywm9+pjm?&A><oM(V)G4~k$&J%RZwhhidZTTUOAA>mCw zg$Kd{r|Ab{Y%t7MLB{A6CL>%{3Hq6!d$kyaEUA*eN-_Rpxcl$FZXtl#Dj3<QfRTxW zH_3^)Nh8e{KM!KQ8pK^?(!R|PE5#+i$mGP_E`7oqmp(D~e>(S?g;wVlwhfg^0wWtI z3;mvNd|;vY{d~@PoS~5njjSs#rRs(-bG#lo@sp>0+dcYmz%t%2G*WLM($JO|8flRI zMYvv>k(wW%SpmfeXP@_sMQIyP!dR3xi_&IM+AK<2UG~1NqqL_dzs<*7iCtI=6NZI- z!EoO$F(SocfuTTbNOLExF(bc@`T7!@;4*RWCPP%3MUyj|>hs%ltP}AD&BBdhG=@iB zy!EUKwi)a3Tq2%ZK?bE!Y4>m-D*45DU`Wy=K(H*eP(y?-LzwmtpZPbf(#H4aQt32d z+5`+Ut?WecJUJbAA>l|u<z;XrgCoiK>XTR=?y+JDkJICcVg^S7KDg4vd}#Qfhry8v z!cl?YNUFz^%r=-#%}npdQ56x!8E9cAidmqx@dSniYO_FX7O2evwfWm&QLw_qC|cMp z>}MAnG!MpD>+H^UEi}P~VQ^#~DDn)BWN;*dBN-eyE8xiK3DllpI5IU*djW$Z<;~Uz zQbAG0jEn12h9kea7$JZGEK;&MG<IYo+*E5aO_~fnJ9;#7Bzkl^G2p<Ya(T7qXeM3R zA*Rh;Q}2Wg2*qmA!o|)SC%Jg|?a+AZ0ffZ1gZOWylP{(tMb@@|+WIVhvgx@O&fq;O zmYh3F$&%+W{QlA#@L6oTh~Gc>X!E=Hl?z9j-=}9kpvO<}k-ZbmSLx@2Hu9Fn=<%qn zwy3%FxNUd;-=xiH^VZ&f1X85uwyxHUdA89ghYxZ12#1exc$`D0ICPrB6C6Irp;tL{ zfy0+L^d5&UbLa|(KI8DH9UZcxBX)Gmj>hfilpUY8qX|1YXGgEuM=D{Toj_=S<|wR= zM*{-`QUSogH)pC~$g{mA7~b<s`|^z4<<}<Xe`9j~>qW~eE4Oah@Q7PnC3eD}sz+Q7 zca`W6$q(J@zE!lSva_QTdhHfCt`a-Cd#a8V;qfALst9Gdo8)60j5O<{Np*xKktSUV zi>coqmAoC^&H6<i89f@qq<^Zb7{(6EKE4+GBZoF%)29GU41AzZLXGt7n5M~0J~Ak* z6rHQiVaORH@>wDB9lr2_g?>1S`86;G8gyeoGh12&9SPP>Z`Lx$lpU4EEmR>6qY!Mi zaSbBDpyc-Y{3B40SlrRkb)RRWOIXm|)wRy;UEBG9cZcgiZS_-!A7`9v{4wV1pjc-% z#ctZR)tOpu`Ep9BOw>`Xo)`X*%RxEapjA}Qv;1Dm!k5j}#ABGh3tms7aV;~N2ED3E zL*%>h%s*IoKXWUr_?f5w(gM+X+K7CA^*m}bf6bPWGu0p_A!j7CGyC<EX(IB87Miz# zpI3qe4!Wwqdp5O`@#=EdL9LN~L})%jrga~ok6!@;;<n5KVyc@<%n{`5=GT5;VSMM+ z1pMfZ-?vbO-P39Ufazl%Q8Lr||3@Bf#`XUXe*KPxmotZwL)Sm@yanZ-hwNJohd;C+ z>3w{TPC>#P5Efic=HM^vW<)-M1(=(%4k&$*%mo|{^-0mVFA$3F)3|T|Lugz!W5;Z| z*nnH4_;AFlIEp=bM-g<y82;7CcPz9>n_AL)G%J;HcA0?<NI)D_jK*v}<D@N%e*QJB zD;eLPOQqAmZv+K0t*jQDTuAs0@L~qPG5Af)YfLhO-{|%M41SY0L?Akc!EX$HWAGb; z-xPWsgWvACw_|Hhmv@KrL8r1XL-IbT;pGrrey<+nVelJ9S1>wJ%-}a;_m9DE(?wik z@SDN%3xnS<x`0s<Mf!IzzY3Pb?#PI(Q;G{{c*iE8lqmOXl>eNB-=>GSHW%QxN$fWU zzfF<-cKyO{u$Lu+-x&PH;J1rKWJTao5xQK2KB1ql(&IrImzx};%h+!U89%p$E~0Z7 z{Knun2EQ@*jlpj@d0-5FWAGcs$8bi99fRK({FdjqQ3k&;_$?KF%-Jbm>^GXOAvH6{ z0tUa$S@^Av!EY@1G~Zj`G4>l{zXc_)%OSJhh|Xc~8-w2%{Knun2EQ@*Z5@f@Y-GWw z-aP?Gu$mMs4>3@@n$Fpo$gHKs4l(!*DJy|2_;euTC26Zuc3nv9C!p?mFrAwZF!+tZ zZ_@+6fm6WXHwwSir6k5>@Y~>=h2QEK{Knun2EP>{#(qmmotw|S--ym(@Ee2Q82rZI zHyzqwyya}>z4_SQZ+TDR%JzQ4NML)vp$Ux6O~xA@$NXxDwyVq-ZAUiVB-y$LAly#3 zb)JPh-fZtT<M?8>_uCj|d%vX}m9uQ`H*g9V{6^uodIrC#YbtYo@3#g9zcKiY!EX$H z1Ls%rC)U^V3BM7Y!{9dtzcKiY!EX$HW9&D^ew$2MA{-``dI8dxk6{MCG5AeTDWGXm z?=bjnF2HZ#6fpRW!fy=>e*4_OZ;cFoWAGb;-x&N>K=_U590tEJ_>IAD41Qzq8-w2% z{Knun;KiwFMm(?;y*|wdgWnkZ#^5(Jh~#wWV|Y%cUI3?n!EY3PYh>`-jKXhaHz5RM z?p)IMk_hVZTyBKz&^uS6HK-l(s6SLv*|lwJZL_QD(e0U2l0^eCsm)ze?{tP@Vzp@D zVrPw$Ts-`(iPu{XASAXO#6NxgOD$uVY-e$l9*@)GNnG3hY3sA}^BKJ4rX}aj($D9~ z?=QUppT)L|7%~7izl&eFaHRQtdiDc)`~)A_JJEcVem-a;PadPkqqbY#^0gkfz5Yl4 z<HeKomuKnm3_YH;weXFt=WUn!ztZ{!{pBJ(zDtkq)8l0u`pkw#Iedu2M>u?p!{Zz} z#i7$2p5X8~4!z2u3mm@0q4zj+nL}4N^cjao?dXsl9kHWhb~J8Br|kH&9ZlHLIXimI zK2ix%41@+m$v+@f$D@IP0Vyi^#Xc#osMwn`Rq)$(`}MvT9G=Jyj{CR>hU=3!r-a)u zViOrrNy2R<97D-7y;+}UTD8BczV=p&nn>ArY8K|JN;qMKE+ix>4S4-hpD*Yekkn0P zf|CE?x}C7cj5fomT`fjaz&J7_%nJ<iH6VwTCIxPOxOS&E02{%1+^HBGO3b>CU~~*; z%!+*w^VLvXmDS=lC6!jbpgS6lc!R_7TCd0L-9*GplwP6+W4L?l_brI|0K9fis5PmE zv{xF6==Q$@8IaWKn$Xj%>Jq(WF7%u?y6sGo2h_z*vL7efPv1bMy@gH`e|vt3P4L65 zj!HR6ySV|NT8@$|)y{0KnX@kPE09${mPa3u1p>`#B;+~x>+ppaEDZj<?!9zW8n;k| zIA042!J!+8q(AC5)SA2xl4m1BKp6s>M+7tvAz)Rnqqcn6h3VOWiKU}8o|UnD7)dIb zXlN3btSN0xWqZkHkeTL3EFCRNM@y!wqZp>iX5IozN1G!mR1i#*05aw#tpgOoBH7Ux z4)saVxGxZj?^C+K7{P+hnm^?0n6C$~#ic2nfFqq-JlS7Ma+k`JH{|Qod+E?0$MBht zuHJ24nOuTvTR{q?QEB&ZfS^S2z>uU#fFNmVq4<>1mLx6kfcd^?G%(@|Cf{H6t0rxl zZG3+&m2QMGi()}v-!84P1d21Q>_jnlp%`5$Ml*qlLOgsyG133>&Ht%lq7`p<7Yq|U zzDvr0iS|siFibSxU@XH#lfbdhAtqX{V4}_>GXq*PD<sG=CaM&d0TXqm$3*x2<1?Cx zF?Mot!$hG{Gl_{3b)PDIhKZ`{1d5{uJu>&r=ou!Ox-<I}++T)?I$_p*Lw8r#I=6Ri z=L2M7sjew}uVvxO>fF*;OFf49yI|?2QRfP1=tCj{1KSg^r^~y;`JlQmNj8vEnQ}ms zyH_uFYZ-wVCK~SBWq~Y_ZmmqT0R7IowK9f@GE9`^2v(BV4DzdB74wdaMv2-aP{a<8 zP)c-g$5us0s}2LhL^W*5*#756ohZ~UiPt|Snt7MR$(ZO<Pd(LaJ}b)wUd(`r5+qG6 z)ELt=#zdFYyR@!ke19&LPJ@XOD9*I98YcST63P>GfVx<vQy1yr?A~~&(;Fr1Uf_o| z!3}jLH>Bd<E+Ce!OD2fA@=6f>P0<Mz4E?j$UOj6}5PhxbxfiC7AiCHQ12EM4t2fgU zMF00+6M|@I^L$1SC1f#}!@^7!Rv~)#nM`NJuTrY8!u}QeeZgRxtHxbVc*7AX8VLKF z6&mq@syPUTeozEM?g`9iIaCwGP{@s_`d{gZq5X8Dpy?!r$|?gU6xtfRdonTf2Y<U- z)2_yb)+#ZyaS{kh+O5uM_G8jW^QB(PAgEGY0tBT})`+1luO|V5y7X@D*OoqIq1Cy8 zpirqK5VUc!(0|PT*h2C9`JDCq)KSpK88g&y{gt7h3<Xs<my-#p)0vo)p`dBE1<N}X z$QlYd#NQ6!bA`z~8~|yse~Y!v-_pbhSmT54&UW&VApR&uIQz9Q#sf8;6EVz_VV(^0 zWSD0_N!gtawMl?57R*4y;SV+J$XM;<hItb7XX;MD^Y#+EuoleOLYc%Fkz%pHP#`v> zaRLeMqT*l1ZI~7w=r?lXfx1#+;}>p`;=>WI;*@u+n?wXX_)nWHG$|MSFazjG5HnSd z#-OD!=vnvWC$zp~e19&LP6K)p$j!8}6UFmnBIu_OFUTWdIgE(@URVc78#z#(`Sfih z=8*iWLLYr{_1d+?Z7%u4m)h=>Paw2bJ>^kP4WixZNr+rWgm-?3QqRXR`VNgXC%>Md zpX4lUuVQo&KV9<w+Ad-I3+)Q3^SFQ2zCT7I%gMcmY_=md+cBGM+;&a<hfmq?6&iJ3 z3|EwJWoQ{HA)k<72Z*La_qkK|c^kdDgj~(bR~M5=U*}H!H&@a(cha}oq;GXe-|CaT LH6(p&-1+|jElq$# literal 117697 zcmeI54RjR8o#3mwM?!A%AsQR7VlHjP9}*zVha?2p`T$9W!2$^h@YmwmL25~3Ml-|A zNPx4x;LVaH*{7`RJuYnWe5WI8M~>rtToTSo*4RpRxpRDW9-BRG@vgnk$sM~~_PoIX za`^4CzJFCuw|Yi1(><;EK;z;)w_DR6RrT+xf7Sn2|Em95gisl-D0MlU^|f`Ci+1^j zeSyK=k>O!)_;BUY?#-T_xSyVc`vr2htG50*zFN5^c>`Ym;fOCH4TOWkl6yGhmxtv* zRPL7oa@6mOL~GA3obX+3KE4PZM<0mj)UxGA@8~_Vz4`nd<d=!hqRXFMe)gXK_~ld0 z$M2>0tU;&NF73C+QiHsSKj0o)0Gaa)dk^g^iTJ&J`-g-Oe)_FnyFWUL;dtN}=ErgA zNqiQE<xnsj^+ai5JQ1ZdQVl%m33;PK5y|P0Y6g6MS?ZS~zQKUU={XqohC*^U;Tcaj zI0D6}4TqvqO=zFl+u_cdaOjZP+sUmp2fg8dCm8UI`htFM)E5j)Vsr|lDU7BudK05_ z7+t{l1B@<Xe1gLh9G>LRDGp6>+%$*Y<j^?|UEuHs9KOV%%N)MWp(8vx#^Z4wS3EB8 zHO@{EyDL-?N}s6za8-!|tzXc3X~9<X2>xv}ghJ>LI)c$LjK(oKfzbp;lNi&?U^O$C zW(HrvxboM$@L8d>hss>MJys=RRY+2P7Al0&b}DMIJW=GrcEQ%|4M)X}c6rp-CpR@d z<#0NDlGF{K*gGgU_4x-~t_Df!4astU6O==$>h9@k-`%#$-L*q<);e7hRLBiAPG^nF zRW0lh7VMG35s;wx&>r8Yw?8P2x*V?hT4$|Gs#;fHv(Fc;hPOQ|Z65LY`=tiwy889& z8l+%A+BGyH^~xbhYLJ}u8|&6>bgh@Rx9@`2yXpl=u(f-mvbd7mwIetRw@A*qjdhJ1 z9S-G=<-$UxkWz1y+*#T~Yme}kSXj^&geDc}7mK8Fu6_>->jb{fzkeyZa_z<^pM0*B zwq%4JZM};$VDhgDInc&(<s6RmzGbaKx3CJH^MGue9<4HvUk>`7@;E%s*c*BEjfZt_ z#11a;jaOP?ZyeYMuT1yGq<Q3Kzj0Zs>l`O6?i-T(_AAx7Cv-?el?#QkK+x0Y5BBZf ziYi68BN&K8y@9Cq+wH>A(1<@GLs9ypL)y=G3(`n{-W>M&0&>5{2g)%FO4B0;`a?nZ zD*`XH3yb0PzCehU!tXs?aYr|(v50ig7afuUL5W@hr2?0#xUIO-DJ+2ppwKf<RK!1_ zT+=-QnI0bTM}457wcOn%ln=@QISf^{U-^;b<`$vE9}I@b=?Y<42pXsl@~g`oTv#dG zre4tJ0<J6(ZUrsYH{^-E!?AGVPZkSHsYs!Nfzl``2`jXp;)N+xXcuNlRH)Xj8HrW* z{&?96E46nd$WZr4yr_gbv_}%<6{{?yWVFD-o!YIcfOL|B`cJABxm&%e7oTvOc3CGw zaa$7ciFJY<w3Zx}B`^HvkizmHbhmQYPM?%QzQ9cNp%u5b+`bZtdvt}2m%F$@XfXH2 z_~&ZN8{oa(be~P!Bs3YnddSxYl^ry_r2Fm?l}LFLB=HlR!RMcqBo#<>$Qz~d3IwB) zu31V0!LS5_1mEz;u+nB~%bUc9h2FSaXZQ-Ke?*p|K_wl~6;kXo^g>x)#k%hhUq8jo zLbEQ}x>(~U<C>W-s#6G3BqaCw27EABk*c=3w`{F0Z-&p@qkD(L@E!X`qS8o24ogGc zh~$+9yr9aUih}mhq!g_!?^(%<U*}RcAhAi<pi6eW6rX9mPD+yN4Pv*@se9a!?r}32 zF7Fig3xk=wk?LEoqzoUG?*-LifM{+qO7KM`s3)OtFytMCks7q(=n#w(2Z!KF`QXYj zs4+8IEnbC)8-;aoIZ4@G)#sGtBemu0puRkrMg@rv30vY`t?8)PD<GHg)jlBiks&(N zO>eA_k>E(UPp&QB0%>hY)M>MHO<!<$pD#eis$RdM_n?MBGU~8e(KY+hD?_nWcpxs{ zN~PA-nV62$cYnHiQ~m&C_6Y-(D&8+N#wC*E^(pFQc_X}kAcaou6t>2_HbEq$2TUdy zt->f;nwGeH>q=B{uYg2U!383f{PwL+Rq+nAc^OrGF}noxJIVRxFWbtnST5Ll{lSAG z|EL_PAP4fxgWgDV=9h}k33qk`y!*h?*-pBBsVx|Wo?hA%GuV_nKP#+^-PA2dB49K{ zhGE=3931ufl^g1WJ7-;iJ0d~<2(eVeWjkc(ej-tL{qQifkR8E(8OkEX-s&bp8mXO( zTi`h0g`7xjWE3TGJAxIGP@$#{?~tRC9WKKAq)p+z2)*Mo!tL$82rb2fe&66w>|M&m z<wCi8Fc5^^&u#Aln*q!_`cSP<6*EOTykY-gsnZK%g&_%=z~*2$96U(cZ72CaAUBeY zHNxG>1qmLLX;-k_dnlIcjzfKNh!i!V2;|=gE4ItwL7Ci3UX*zKUSYYL4Do7uJ9~&w zLUJHN8;ZEF4PGLLN8ztgxpK4cnb;fP=K<f~2n@m?6_73Y%!yUuHqgRwld71My3q3x z7Jh+gy+PW@yILJ|JJpj7>d7Yc#I2sl#55nm2h_ixCMVSXTMqW$^O)G_6BxgQ)f-OJ zGisSG2g~$4CU!g6gFn~qxJ1m<r5|g5Kf|fd9^=qBr<$IhfF(O~KA}6G)SX}E5E!%T zaVx(Sb)!enZ==WlqFH#Ogqk1Xs32?yt<x=seZhWlXwi;`m%DC1`1Tsts;w`*?5M1R zhGN&O44+-IGwd)lirPbdzwGjO`$u793xBqCKIGovT+IjUUe_x6{@W3fHmxGLhr>i` z<$^LK60@g*qzm;!OZTbIG}bBUo>;uEE@$ahh6KJ78oh7PA6D&fLV_<lS9wCWIISf} z6^KDevz#JPPzEWkJ|Nh(LOai6A+w#NiBbyxdjU0sQ=6^g_i<e1egQ9f`)p~fcK>O` zZO+OnsNHv`uH8^i2R!>m2JCL7O3MZbCRX+ac)c_A>t{Ef2RC=^-X;DhKHJ~LiH*|Q zd-Ga`>G(4I=UHW_2iZ6>lZ|^vK8sL6i!h_2pa=;%>gF#3tw}RQfSPn_$zAn|ngtzS zUwQM_B;j{!X{$@kyTtzzU)R2c7j6CO89OPZa}{moYoM;(m%6S&Gl#*eQ{U424bmhn zy;bYhS}HTH3ee6S;v4Z9KZO^)_^X#4O2%pLYw5L0Bgsz*ei&bZmoap!KaG{Z6Z*2F z(hj0uqZ2(CY=9X1ynZ0l9)~iB*cT17dBPsJWN({~nQx!ZncrQA%>OvP+I(+TwP}QE zQ)f_Z<U=x<Df2k(J4xMn+_5*m)h8!~a27)$T*Xj`x?(6qeSQm3L3^~B0SEMG9jmJ8 zfWx_p4mfW19<35=25KOF2QT`AsvVBoN8WzfK`cZIU72DX=A|RnXB!M#(gR!1HZ{KT zo^5N=p6Pq%m9%x)E{wFtNF^D~w35sW7Uq?-b=l6Nv|o#9lJC#bB$h`v`INdY`w1Gw zdiLk7^y#o{{z_lTe*UDt`ZSIqNa#lYh^U&wnW~x@i!hI3kIQ#Lt<Tt!rE^KHy!4}S z`L-r+U5`X&#R`ybYx36RyFm5(SyI0bWm>;=ebT&=w=Um#l=m4`pFn4tP`|DBMtPLG zKK}{z+<N}!ul)1fovzNZ{PW$PitEeBJnw>XVHNSzmN0P*U5{FkI-@(phsc!k*D*SY z(YJM;&lT@-g4j)bDy4^sPi4}nalG*xoN%Y+gPi34PqhQ`=H<gMLr&f4z?YN=QE?pr zPj5^;y?+eT+u%V!=e5b<E_JrPT6NlWs$RZ2KZ2M)uUow|gp#-r5Et?ZjFLJJ5V!X8 z;3Lr5I@@^wr*s}bCpZ-EDgf^8#6w^eyb4N@-nqCU{pG}xUulVzoNrAm`IVMf$@$h? zc~C4$E(C6zQ<ebC_)bi6+;_QK!#-#xH4^#X599v2%n8>y;dgE$i#^0l2Z9wAHGKPO zv=MDa+t6cWRh_$ct!r{tKlw1!uGBMOH0Q03T8F~{eycU$m1g$lksV!s(o7v!j^gcG zrkcl~E+BNA9-pVj7x3SsL(Q+y^V9gFSFgQ(hMu1#zrX$tJtvhBq4(+WB0YXckJsq& z20b37t}J8pc$_1?G0$`V5{SJ10{!I`dOS^!XE^^qe7^ZC=L!6A(>wH+^Yr*WJzk_o zSgUcJ!$){b7iyprJeuIqB#%z<Xo^SEJbIHy=XiX9M<4L$5|1wP=sJ&&*w8T>8n>Yn zHZ);FlQwk9hNo<3+J@e;jaI?;Cr(Ln|DaqG4f_TM!9TcP>XZF`>B07%4#n9Ie%W`} zK*G}JNmbH52>65gTVWMN1Nl0zSOy$!nq0LF<YPTu{j)+P{5LjgsQki~zGeT?;QFr( zu0L0@w5q+W9cpDSJ+^iCRG%op6D4S}1W%Qq^ChJ2Tqr@8O3*cWeuEy5mXhDc=<zr` zo-F<Q5@?2B!a{~Eai@4|Z>PJh!}CbJ>(TWUi@L!D)icl^mOX8rof6(=BVYFsSitiX z4j;jI40n&MXdZ{<LKuSzo>UhTog*uW&<7Y%FD)bnh3Yb1yvJa+N}1%8&^8g)bV*eM z!KU?VC1rV3HAxeC?=eiOx^aSjp%%)&+91K5Fd^pg!R(mZ5m#==0yu%Gg@o=!U7-|P zE0tKhqgbec<f_dk*A<sq#bPRDaCBVfaXUO+M9f5)&E#MVZ}|S3CQ5x!aDtd?46-pZ zDcBC>*NfXZTim2(8H@X{U{!&~NdoecP{F9|(^ajLrJvkd#)<v#sJ)8%y&EVT+JO<h zMXQurjm;I)EvUYE;w|p3&7MabkHYe;xXPmR3dR!a6Yhaeb(ii_k)DVyPf}+5$)CP} z6W%VUt-&$V3e4qqNLUNivMOyNkcP(x4qStFcgjK;w}5AGMoD$)l8PxAk_V4lsr~NF zyC2lo{^{>+H=$&XU|}Q3bY0rw5}77lzy*TV)J~FiaMAm76IqA~R-8e_#oe8q9WKwN z_C2IZIpS-SvGBi%1xs^=iRo6XqKat7=T7le^}zp#FAnIXTeOVoKhHvL$+U5ehbnSE ze4fVipND!GX}4%?!b2KDy6^KH-@lspKKq4C{G7x^P!G4X?ds{Y^jUrnXOdc1LTa&Q zL0YETEJ$wja=&`xe>`9;_hI2)5PPL2Q=dJVgAqs?B)m*jHO?eWVBEQ)#W=YGnGQMH z=H3MyTL>j&T>x3eoj5d+Gt$e+x&Rn;8LOb&>i>nu|C@=%om2gPvg0=<vTz`)`rnk~ z3$6TL`lzzt%Kv!VttK?nP$ufwouH1@KH1ba$+_nDJ^P^v&3{mEfL>f{p!sLa0TX?| zwW{ATA!*CzKo<IfD}0$v+ytL%iDC_e)b(bh=Y+2}h6zeB?#I52g$6Jz^lKB6^_w%& zQ#~x>y0eN!TZ8_7)q-x<ThKHwfB55TChR(wuJ-8+3}x4h6gFuKJhLb)9~kiwG82Ub zXPN*Ay;22$Q`+p!@PdWja2WQt@W(&D<u5BW0j7T5N~Rkj%@Vrtik4Y|zYH@wRa$ng z6kRSwf1>b5E7oWO_yJntkl;X=z#;2$${|O;_lC+Lzxcv;^Tr{|Hy=*JA^+y%e+wM4 zd>Az|4w*9mIuAMIRl*^^iY@UWXpwO|vN6v*(l7Tv-Vg`K>ShBnQsq_slp27V<&pI< z9_iBeB19|ZhDXMdi{p`vGd!}+<92C0vQBS$u6XySCi<<X;j{A@FH(R^5d@OX+Bb*4 ztPMkmI!}~-=2Y_8g^Wt37@06CnUwT0Dyd*bMkQx$ApnNi%<9V&hN&`>VN7arZkw8; zk~y$V&GAWVodPiq=H4kVPMOl$WSnyL+@F;mfpN+dlT?gT&Ym2|d0$YJoRTaUoqJzU zU`|=TH?9aqobtW9OHEk0R*+vBPD$vNYFek}jHx;0ua;goWBk08Oef)#1dkaeHdV^c znNyOL<CZujtk%ver(DxKt#Zoy|KioWamtZxzng|ruC(m|PT72DHRF`IbJYBlaY|^B z8FR|#e|4XxE>dGdbAFSAQ@V^eC8_eNeoCF7Sx#A(%x^MxoHCYN8csRmHyOt%|L@NA zIpdU&sX}r}qR!*`aA2m4Q^xj7B93Fsds1=HX5N!&C&~-$K*PKzY5b8y$F-c>rbWpq ziE%LZPJwYs#wn4={3&VJrdhKwGyd`FhR$@S$^<8VtIqU{Q!-AO*cV!xvm(3m&Al%u zFsH1`j8ne*)Bk6}-m`-I(r`*bw^Y;G3a9+cKb+4WrzCjHFtgd<lw<=4OPmtMFnQ&a zKmPcwLU783rDKMi(*LU##wi)61a~)eUH4r4DWOFcoKrfDI3=m_s(zX~r*!3)Q^u0J zxi}?cs*s$LsPnlGLzq8hN|TiNQ!;<b6p@CqX_zuj$vEZoY}?$LZAy%TxpxYTQ>N?` zm_H@+r<@gdE}Pu}<CL>?Vb15QiqfAlJ9AbA=9I2a0jGTZ`nU7PDG44^IY3INnhj1# zVj@}Ml#O}jlpE3eDyQ5V{dO9E%0BUn4`gru$roRH7C7apg?&l)pKKBy27k&8zuCt) zCF7J?;*`)L<2a?6eJHc-PZ_^4V*T1#`%sc9uj;3{bIL}-DP4AVp4NTGl1sxWQ>^>` z!jlh}m|wHD?i(^?w98vA*L@RpK6jIpamth?DdUukQ!-Ae+ZFlKxEtj>TlY<j1AV7J zcKglT$)0h_l$`?Ol#Ej{PKhpKB(nV}lkQABv%_M6_ooB{A+9eV%iS{X3ye7>K`q9X zrN#Xz8#CjS1zGn^=$0ygl;}@Pz3%(fGadQklmw3%W_GI7Hs^KUmN_NNwdU2Ivg+yg zR8D!{@>6-^lzad7->2b}%DQjHDRUa2=To-s`%}m%o%!{rj3t+bQx;&|H)N`i{**+W z>rGN?!w|+PQ<|iVQ!-A;I3?qh*^Zr%%l#=Cr_6CEHO46!r(~Rxams)P!X@6^oH9GU z^#$gX&dfMvLE`8Tx@Cy|(s0Uc|I?j6PD${XVP-W>xnx=X;&?-S?a|_Rquj;szO?mE zRWA9@rCf^=NGVzT?(F(c{?mVWEe)3>@srPTU$AvB|H-2EpF|nP?|z1dxH&gX{O-dL z*N_+OrX$IjisVfyy{eH?dr(?lU)D2sNX9}-Ge|~<ygA(pi-neEp`|kn@Rjq0X680W zWTB;rIY{=JrEmn?*TcSuEXn<Ya<x8MnmM<1x3HiLi9Ebcyv5zMnZz7-5r0En=r>*P zPdtHBcba{|J<wk>B({hKwD&;}bv1^%8-l3oKMe~low^nkdS_g=#F#BH&dyed#gD{# zW`&l1bVI#Hmr}R!EN_KKz=~?qS#jN4_IHb4H-6qqrjvwhA!NodF)g%oK9LwKiDyWR zAu)*|G2N14hQuymN+YZxF``o#67wbB)0@Q$8A3_~*)h!Gg|K)bfF07sU;mEpU$v1_ z>apdgaeXU8Vho8@>E_8aIB2IXrSK<&rScFWHE4Gy;e1Ch8pr4aPOE~R!NOXY9yFUy zmoA+OhQtyAb*O~Zl;jx_8^g!jZq;o3)XJZ&X1%$+#PYT4UQSoDrrmXqAu)q)WL_aL zh-Sl(7(-$ViIK@<H5v#*Vsk=bM5iz$#*mnymjFXzY3<QMTSY81#myX=f*~=6#LS{9 zhQy?*fnbw!_I|@BJAPvVxgP*WeH`bgp8z0d2d{PSaEc6xMeRgT!I7xbqtRPUbcXv9 zGbEO@1Ioc>pVz8>%LIJ1Hbb7TfVnwEVrc{BG9*?^NUWYAF}85B*f(@z3nyoVp2+3G zNupC25@Se=A+hex&JLGnQ~MsW5Q}X1r(1_*?4rSt*cfJBVi-*&HH;V%V@Ql4G1Vi^ zLtNr4P#1>8w%wxjQu;-$Y~dtZIGLS=lVA?a|Ck5$>Gl$1NG#V#Ot_uyCDsTN6Lp%F zH`+sQrzb2AK2AbzSc=WM&U(xPS8apC5r{}NQu-MIveiSYqt-!g9=Y|exz?u<l3I`A zuicAYPqw#M<J5}QS1|G3IgKX=s;AG;^RpO!pMD3<rPlNK;?XCY-p7A_ZoKItz4{?N zUc=*urkZZh^P?Pj!x%jt=O%ntVQ;Z7MaGiGX5Ib2I$F+h`(HcR@(#WKJUzZoj~D6j z5{IsH=m?LG@pzoaCwM%;qe&i};_(!Zr+M@ykIwP<0*^l6(Ip;T=FxQ?AF-ihHZ*QS zCv0fKh9+(JlnqVU(6kM`WgD#m!T17$bQg@8XxKM6D2L^KsZY)+srp%=5{8%uz5d|A zU)WZqtuDVbxc+N{>t88ZRaLdCciR&#X^qql|Eqq&X?Imic8UDZ-SJ$>(yI2hcBr+z za9ks`b@x=CD8UmYXtD%nxcAuA5@@Pl#e&5a8yCB^F}C1fpg$~o+B`e;%hc5cXZv5f zYGP_LC{)9qCifU<5Wn0HT0_5lsc!aMDrpkx!tc}`?0%sZ<gnTx!JUt<i^W86C33Tj zfWc8L)If68W|K=36Cp+H)@I>rCd6zlb}PuBkTDU6x=)mT=AoHbOoXD}?yd5@Lnc~& zPPcpG*L=%F7NS{o<WMy2%}*`Y{C?Swj1@C1f4d*Lf<~RH)Lb>RWp<W*Im9o1VB-4} z*V@(<@BY+8SnnLTbL`k>M%dQeIkFS4FH5morB>s5%{G8b3d?i5+V#|ndrUO<oc0KR zcHh@bWZ_fj+IR8X)oJ5qliTj#(5-Kq_&7zh+O^?{9~P!BIQ`;JOi0>VUjPO|7C7b) zY-Kia6Bw6E`uxGZ{ZdGdM0~@($S{HLuzq|D6BJ`yW82^rOO;oQ1p4G~)awgG4{I+0 z3S?sEwy$8Jp^Ouqx=|1yGnhx|^ZLDmvd5teg=WI%DoAZz0t{W;D@R8{o|t{!uD8!= ziGK9fe=<=WtnA3v0#aBMmJf{h2p@|2hGk6xgrTWow6cxdXmf>Dl~O-%CDTdP%n}G^ znAxdPUWowvkGEwKS)tt%CLk0j=z4$y^%;I^vh87q7FwaKs&n@)XOpwqREPyj81$F6 zziNqL&@1o$_cR#vxwqebEqfUBufBOl%kx}s>(`TF&{dD!4H)#jzdph+XioQ&D>e-J zA;F;LLoldKH4cBSPm4bXj$k~7U;KtmQ&y>Iq&fTvffpbjdi?2{jX$5b?PE=0rB2W+ z{%lMZ5>~fwH7TSqc`%JXW68zg&-E3HslS?^EZ=a?goJhKC&gdAa>GPNW(|KrrsD8t z<7}ZHyQjp&SAI&ctmo&>KUug7#y@i(?t<~p)Zs1||4d4Navtu&9RJLk*QPn@c|fqk z0A!89m|yAf6(d341&|&%^C>V!J5zQ6MG*m((azKZ-a@<VGul}Iug#*Qo!JR@@k2w} zNr;O|zEZcVtkBLsutqx*!^kd)qn&LXvvB90#Wg01WQ822!JUMasd7w>E1RK5U+4{o zeWPA~JT84_{0o|GnfiGvnNET`32ZaW>{RK3{Nqltr6t3i40q<i-Lwe3J{j%=Ys=W% zli^O{mS0fZN%g$m)+N1E=C&@wohfbIp^WyIPqt~7S&zfqI`=Sl&zpMRMus~X?!@Sl z64i>~PG!}YYFni?s9AJh8XDO|C=-S|Q`aJfJI63{?o8SN<-pNDm(HEp31w0YxHB;x z3d5bMV=h@WO?%d~1LApwJIQvX40kfz$#AEYMeYoDGTiAgy9-G{aVORD40p0UISu#Z zWVkc!=&sNfFT<Tltxe|LNjAew-W4(LP9!qi$#7@qL-RdM4fF0~-ksUmlQTPEYKj4O zCdRs9xU-0HC)tXY;ZBA-8SZ4bv%gx~DugX&Pd&vyFBh{@J<o6_!<`IwYQY`mWe6gM zI~nd|-ko5Psr#I3J}7tsr|z^F?qs-=;ZAk?!n8pZ^!r>T37O8kJCk-m^US+5J0XaQ z0e2?GF=Dv0h;e5F!=301$8aaZoeXy}+*!PPcTzpia3{l^40kfz8HhyfbFp|??4q1U zTxWZCVkELiMM=p@ZZ|Vwi`i2{^Fq7!Gw)93-I*QlPGS$t-5e+e+}V&2sfgjuBF3F0 zKo|4wWVn;zPKG-f?kry1N%j2P$2V;6PPTU^3snR=csO+7VG@F%hx~ro<?;59dVGW{ zwsk(#wR@LCTpW*CD^^kPJaaSL2Wu@ovD;yT{9CjK^x@Q)cV|)r#1^x&#q7+xQ|G<s z3&7q6`$h%^?Ooz6?yk+mmEEO=#UvLzZci5p!{Oe%`$4^eVYrjwPB9=yrK*8o6Z7sI z!$ldYC_91Kivf2g24-itvxsqLBg37{yK`_*X1J5#PKG;+7k5%U&u}O6?quGb8bxG_ z*+-&IkH&2^YU^<ttY>82oy@xvZL?SP`GbA?rSyKnVP8a+<o-dK?vyjL0$pk~jxWT# zJCmY)=G{qW+ztqJFz;m7^p}r%tRM6}r9$7CsU&sYiS6BKr=m#TWYIQ;J9WEd6eaE? z_Q2fDfnva&jm*1K+Z?ALk=cdY5duZt2>;dTlzCVlgt2L#*Y6#aRgEqml7~Zn+2geD z^uYDU3AC@U@*p@jx35MU(Pp#_JyurLxqDY#le7BChtn%YGL~s|)iyXBfrwONV&K#2 zsCAH=N3Q?QKearKkkon<Ul~4iMhUQZ9FvWBo~OqbaO2d9)>r8HX*@YlJ$;6rpC!Lf zzXRt|>v??f=#x$F<3B$)-gJ>({g587;qgOLO*iQIQI5QGj2@43SD$mWJkNb8GWPll z^p{uY@iaZ2;U@p<nwGQNH~;uV%RBU!^Yr*WJzk{8OB}k+p(8v##^Z4wpWyKXk0yC^ zipNttp61b;JUYkY3q1OON0)eXnMc=oe8h&1+0eKRov@(^8=AD?Q#LeZL(?|&mTj~O zr05F_(lKF8H0;X{eEeCV5-!33?N>{nseTm;RvI3(*qw3xPAqulKz~^Fw0U-t3HS%w zdph(J@GpMD)?#cd1cmA{UcARZgZSls&>DLCwr;Hy4vs`+9sMLt!Z<;{Pz!P}4%FVT z*W&|?<#xrD8?u0A3OSC^37j@&$WbiRKyuXv<=gpqEV-_@)G8KJ?&BYc)_L46#m|8# zy_p=0;j-`C*=$^DYg>6jrW|^qCz(bkddoQZ!<K3tQTK83fV?DBGx`P6?T2=<^x1`F zoY)VK&du^QQ_T5h`La0e{{0@E=}E>B#NkM5t>g!K-4L$vYrbVd`9!m7{3=++SP2z+ zbtCijObUc<5?{|8?B`}~k-N1{Av+#F#}>k<WA2>-!=Y}SSEf2RI)Y(HU^FsfIF#Yg zbe_&oKkkP%+Nkx#vp{irtDoV}EqXJdC~;_Z7Q6qzR%R17f%00S%#4NPNW?eniwqO_ zfi4@v1jQH|Ic@NYrOGQt0)28g>h%Sphqadg1v+E=lCNN)0qhm0uG*VHYKe>87x&81 zk&q{5pSSDnb6TQ*dFi7j<IGqAi!C69MPd2Ch>tj@MSa7vCIQ0G)I@R01!&Fif`#62 z*f;9+$3OqQiLKfgJN5HcGMyxFJArV9nVl--&y}LfrD$XsrJ;7P_174DI*7^G<_QxJ zYLS9E_u6|j3W{<^KOet#Sf!u;=im9?NKHSVd;9IzvPVDnmbU=?>}~yeQu?|7wX4l% zId|<R&5V8ma08kRlljol_8m3=+tTML2alm`X~66Ew>oQG4FrmZ<ghQ;-xOoyPgl<a z`iZ7W(D@QVpDvW3OC{(UJ-<PZM@z}?WAu2O9#57&!$Z^j5*9LSp>fnxU3Qd?dXoC7 zs*ThOa1H|p;NvF2(<^yJXMkeVrg+!S=AWcdm`U0S046$T?kJ8eyH45bF%+kwwi#as zhT_<=Yu#W<gRxo-fe9d|2iAbv-Qprx{<)=XS5K!U_t2XJ#SIJhLbp(<D|51L0ptKZ z!7^=aW0F}Ja{%r@roCaD@5y?|MCP+G47{c60%Tz=j8Up|Uw?LupIHvgz+0-7y?=J! z*EGv5^$?8tA)=|I(-I84%^Hbl{Y+OvKNE9<Ao~-j`w)<RsOZ5Pm;-Tr0pTZ^_XWn_ zjZgq%lctd1&6(hb7!TfhW`Vb(|LA<fxI|VMRvPd|@Qs>iYH*esynXX$=QWL*`gtpv zP6FNt|1r$$RB4$4-hKm?zJSD4&{YoXgLay9?!F@Z<+}(jApfe-m1{RX`D9tEQ#tuu z>jvclLYve}ZuQa-I-p(zA3;P&@i98_1+yA2QLjGo>uGwXXxcY1I*-3m_Rp;sF#frA z$0ZCCLHx(s-_KAVKJx4_jvME=6C5|eeWL!ulN`QG{rd>mDC5h~N>oP9kZ2>6KzH7* sJKs(3E+cmf%H5^Jr^>Nc|CclFFIU`Ob#Z^y$Nkk1_t(0(zZ&=ce>L4tp#T5? diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.pb b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.pb index 0cc5d1cb2cb0f8060142eb447dd6a2100ebc53d9..bc5d6d957ca00ea89941a40cd4df04a4c9681ec1 100644 GIT binary patch delta 182 zcmaFFIE`t7UF@nRkEgs`*OhJF3NbJoaM&x%u!_l}RRko`E6D%^cO@ArOx%}Bf!J&1 z85kTK|H?Bo^f@0@ShT0j;jAi1e6AWp!#3xqY9My65s17CBrRPg&eru)aC~YH5_#*w zz|dg7*Oh@m!Lij1MD}`vRRYNdhowFs_9q`+_mBWrqj+ZzM?XJTpS6J?(Z4{l!Tx9v Ghz$U_Q!xPm delta 130 zcmbQn^oVhSU2FrV`%_*91_s-=LJSNC9QFz`G<3SPio9IJZQCme6lb_A$<VOdb*U7H zy;h!q!NKt_kc@CTsvvuOqvKgskoa6R28IHsr$CZ{q1OmR-UX5ioF>lJm11ysY7Y{5 V>%zd$V87QD$aH9RV_@)b0sv)HB1r%M diff --git a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt index 3129cf4..3a7fe41 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt +++ b/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper_utilization_placed.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:36:53 2024 +| Date : Thu Nov 28 16:32:05 2024 | Host : hogtest running 64-bit unknown | Command : report_utilization -file design_1_wrapper_utilization_placed.rpt -pb design_1_wrapper_utilization_placed.pb | Design : design_1_wrapper -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Fully Placed --------------------------------------------------------------------------------------------------------------------------------------------- @@ -32,14 +32,14 @@ Table of Contents +-------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs | 6 | 0 | 0 | 17600 | 0.03 | -| LUT as Logic | 6 | 0 | 0 | 17600 | 0.03 | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 11 | 0 | 0 | 35200 | 0.03 | -| Register as Flip Flop | 11 | 0 | 0 | 35200 | 0.03 | -| Register as Latch | 0 | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 | +| Slice LUTs | 6 | 0 | 800 | 133800 | <0.01 | +| LUT as Logic | 6 | 0 | 800 | 133800 | <0.01 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 11 | 0 | 1600 | 267600 | <0.01 | +| Register as Flip Flop | 11 | 0 | 1600 | 267600 | <0.01 | +| Register as Latch | 0 | 0 | 1600 | 267600 | 0.00 | +| F7 Muxes | 0 | 0 | 400 | 66900 | 0.00 | +| F8 Muxes | 0 | 0 | 200 | 33450 | 0.00 | +-------------------------+------+-------+------------+-----------+-------+ * Warning! LUT value is adjusted to account for LUT combining. @@ -69,14 +69,14 @@ Table of Contents +--------------------------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +--------------------------------------------+------+-------+------------+-----------+-------+ -| Slice | 3 | 0 | 0 | 4400 | 0.07 | -| SLICEL | 0 | 0 | | | | -| SLICEM | 3 | 0 | | | | -| LUT as Logic | 6 | 0 | 0 | 17600 | 0.03 | +| Slice | 3 | 0 | 200 | 33450 | <0.01 | +| SLICEL | 3 | 0 | | | | +| SLICEM | 0 | 0 | | | | +| LUT as Logic | 6 | 0 | 800 | 133800 | <0.01 | | using O5 output only | 0 | | | | | | using O6 output only | 3 | | | | | | using O5 and O6 | 3 | | | | | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | | LUT as Distributed RAM | 0 | 0 | | | | | using O5 output only | 0 | | | | | | using O6 output only | 0 | | | | | @@ -85,12 +85,12 @@ Table of Contents | using O5 output only | 0 | | | | | | using O6 output only | 0 | | | | | | using O5 and O6 | 0 | | | | | -| Slice Registers | 11 | 0 | 0 | 35200 | 0.03 | +| Slice Registers | 11 | 0 | 1600 | 267600 | <0.01 | | Register driven from within the Slice | 8 | | | | | | Register driven from outside the Slice | 3 | | | | | | LUT in front of the register is unused | 1 | | | | | | LUT in front of the register is used | 2 | | | | | -| Unique Control Sets | 2 | | 0 | 4400 | 0.05 | +| Unique Control Sets | 2 | | 200 | 33450 | <0.01 | +--------------------------------------------+------+-------+------------+-----------+-------+ * * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets. @@ -101,9 +101,9 @@ Table of Contents +----------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 120 | 0.00 | +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | +----------------+------+-------+------------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -114,7 +114,7 @@ Table of Contents +-----------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 80 | 0.00 | +| DSPs | 0 | 0 | 0 | 740 | 0.00 | +-----------+------+-------+------------+-----------+-------+ @@ -124,22 +124,24 @@ Table of Contents +-----------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 12 | 1 | 0 | 54 | 22.22 | -| IOB Master Pads | 6 | | | | | +| Bonded IOB | 12 | 12 | 0 | 285 | 4.21 | +| IOB Master Pads | 5 | | | | | | IOB Slave Pads | 6 | | | | | -| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 54 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 54 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 54 | 0.00 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | +-----------------------------+------+-------+------------+-----------+-------+ @@ -150,12 +152,12 @@ Table of Contents | Site Type | Used | Fixed | Prohibited | Available | Util% | +------------+------+-------+------------+-----------+-------+ | BUFGCTRL | 2 | 0 | 0 | 32 | 6.25 | -| BUFIO | 0 | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 1 | 0 | 0 | 2 | 50.00 | -| BUFMRCE | 0 | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 0 | 8 | 0.00 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 1 | 0 | 0 | 10 | 10.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | +------------+------+-------+------------+-----------+-------+ @@ -171,6 +173,7 @@ Table of Contents | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 0 | 1 | 0.00 | +-------------+------+-------+------------+-----------+-------+ diff --git a/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml index 7c1319b..4c951ca 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml +++ b/Vivado/labo1b/labo1b.runs/impl_1/gen_run.xml @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8"?> -<GenRun Id="impl_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731587790"> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807898"> <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> <File Type="POSTROUTE-PHYSOPT-RQS" Name="design_1_wrapper_postroute_physopted.rqs"/> <File Type="ROUTE-RQS" Name="design_1_wrapper_routed.rqs"/> @@ -136,7 +136,9 @@ </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019"/> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/init_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/init_design.pb index 1f3ebbe6ed4190110eed77e2add446732595cbbd..0991180f873244fde3d63f3642737e303fb12120 100644 GIT binary patch delta 386 zcmZvYy-LGS0ENA2b1PR{Le*9rY$^z%B;21gNp*1QEJ$&vQ%r6eHBD-g)-LLzn}cvy zU%*Z1T%4r3`yf7oC=M1}&v*C^oJaPw@mvJpT$F&C+eNk@V5jI%jI;ElYuJWH#2I)4 zEy1MvRv9TH*P5XnNQq`(7R>eKk^BJW6bT)RE6H5?r#zlY6$yNbi^@wKGh3&mNef8E zI>wknlqa^)_znoRO(G`p6dZ{=xVM1NJjK+|iB8Aw@D=9o0jdeGxGrkNf*7w%ew~)d zbj!lCPTKq#A($~!98_<?wfLy|`5XdR?63MaAu`$lSN%8)<IB^itM;A5>G6ywsTw## zu10aBdE9pfVWtk9aKLr6nIc7A%duu-8@h3?7e{m%l`}Wg)6B`zc98Hih(oUfiKUqs S|9z)~P%HA(L+-|jr+_afJY+Ti literal 6556 zcmds5TW=dh6lNXUX{Ln+fshEd;SvHCYwyhZwm~4;q^%Hb6-rx*0a=sXNjzk|vzl4w zQXUWj2?>D&h<}3kCHw&--r<EeUO2OBZ`YxPidsoQik#V*_2+Z_=A46GM^9YgTZ-#} zh_>;Y8(8sJYLQ4KxJHYWr8(+0YxBNTe49tTD)DUC7(5VH_AB354=k3@1@zoyiAS2N z!(Ycb=DyexJTO3ILRtl+c|al^XA0_L^xQ?s0v_P&VwVMS3h)50EbEne2Iaam7m8Bk zGzN0W;bS}DI%(Vxp@{YyiIkykWKa$2&}N-90+z6bh<oPesY~3VCLubtCWk{71*>=& zlrJxpT5fG&H<cyvL;5Q60C=Y#a4ohYY$r0t*}6~qdy2&|7{QL2O7Onlx#<u`_~ACC zEt_2IRhl+Qf3Lh>&MbEgJ-?nI2@N<~Y!oKO3yH*9`I;vaG3J_Xr?wD>EIKpbETAXR zf|euYbKNK45^BRaD3Sx;Krh}2*q4z`)HHVU5cc?;9NYLP$3Blv8y^`tQ$?4x2@=xk z)T#mB6+WkrpojmeeU%cs=}ejX1N6cMn93-L1IaaxWP%55m*cf_XD;DTY$~P>>IU9x zxBnh~9^gGnjTQ31{M|zK6)=|(b?h;J!2O+AiYQ5g32#Y-eIADCQx@%=R&733@+0mi z^h*nUVNHkDhB-=fV-4)D@%fDlXBrpTrX*)4aTc1V%|`aG4ms1vUDenO8k2B=7|hpw zKiui>iJN_=4*{A+1jJKevmW>{eGEOCpNU3(+N*$JTT^NDS?OLC|K3919);pSDv(S* z3)waY?VVfNA3jM<@C16iV1nsaZexevEToq8RWaoFCH?X$_Tv$L%|zR)n1?LZz%%J% z9be)@sSfZ<m(Id#F=soI_qLgcCTn0xj^n|B79jA{=B`l5XuO9OPc6+gyH2g@1DD8% zK8cnt^CT2H!CI;`!QmthdBW?MB6PxWR@1-3YvMKA^)Btwc~o)gj^oe@n)4iLo{2-f zE~I|P?obLre`^0!#wqV0`%J{bgPSJmLDg%K&|(Ps@*$5Bokl!Re8~KC!^C`vbZYZa zCL*0f7U@-};pSp4-9U7VbXBoPL*5KoB4mUosstDe1;4kpaSdw@3=*WbM~QZ)u$>f} zc8l0o(bE$H?J<pg#aRNb*%QgYAZ7$ZJ#s5APoQeX>J(NPv8V3uctzZ|(90X3DpST3 zWBpS7L%A({EaOBB#m!WWH1*x4m;Z!;YjvC=+^40Kue3qCvA%}Si;&~@m-UB-S){x) z*KKxdC$mr;B_h=HI}3e%6bY5n!X22TzbTmt>idDeXwj#p(kcIHL%<cWxX`tFU|Snh z0W0M6|0QtCc>zH+02cv$-=#S^{~vQq-L!vL_Yf3c-7nY6zYm9>EwsEYBMv#Aq||0I z!{iktNmfPxfhIbw+DdM#R?W`neWrR9m0HckQrCLJ>Q&sX?Y4@U|C5E5*0~b9Mk~0{ zxk?Gj52e48vYbW#3yPINF>_bs1=8m;$>saZm3K*MQ(63(g&w;tmzf@5j&!_f_BxAR zzuThlGT%SY_H_|(X<uSG;VR?aF}VVCkz9YU&?Dmx1aC38U&f8d{8Fjy<po6p*(+C? zD;SyvQ!z8V%R&)gXxcc2dNyYM4wO?kh6_1jLtdvdkQYi1t2;>$s5;t4?i2ebzOgK5 z0e`$JxgAtrxk`>&a7b-RXCis)h)r%JcV{Ab`xqq8>GU3=<r+B-$y+n9`E_y}(bArQ z<nJDf%{w!Z{5Whr3s!uOxW|y8-VAJhmeQk_+?@q0t{;o!cV<N>qn`Qu#%f%Q9O^7* zaJlEj$W*8IHnh*9w9SJiTtvHELG=Q26f>TLoe+kArpADsth)EQwK?di%>=<oKYCS{ z*u<Uc^?&_uk7Q^YhRC$po*K!xEtghN+3U3F?ItoIdiM6ClXpfiYgPxVXgoqCg$c(B zT85$e;o;35R~#pk0Spi7$jm7SA;qfx6LbOG^JcUq^()3v?=lrZ<B~nBDUqb(tH~~g zX}5XnoKg}7nmqF5C;~?ta5Dgh`AuN}UPdL-$~<hAB=d8*X*Z1s`H<i(v;>g1Mnih! z!>n3wjl%FCL-mTN52BNWv3k$InLZ_l`{lj}n$zgPf|RSp(OtJNx=Yu2oGhSu&m;Ag Y+cLwue~6Ta5IP6mxB}xd6)akR0+XeA1poj5 diff --git a/Vivado/labo1b/labo1b.runs/impl_1/opt_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/opt_design.pb index a576f13ab492d48abf5903e163c1f68261d70a8f..94e8cf1589f6846d3c212bce172593a946c4f0c4 100644 GIT binary patch delta 2192 zcmb`IOH30{6o$ENhn9;Zl~N0Z$N++fbb4o|Gwnc#kpx`0k%SmEF*+$zOB`Bgr_jVi zHy9rwf}FTUSH^|LWMJXKz*dPy-MBGE6BfkaE4p*zFs1QT=$Ljhul(mbXZ~~VJutPD zoqIsZ93k3GJ(+vZ_oI6VEy7Ei&jAR}0qbh8GZ7J1I``NF5#ArHcSg7{6Co@dvbQy| zJj>F(bS$MP^yFwt%g8F-N3(<vMc{({yH`{Q7nTGZuNZe^9doF{ZNs`rpGSChlMZic z{qV}skjKt<xA}K~2Ad^4M(sld$@fk248qq8@_@_0M3|5y8b})<FjVcKSVBS^^gEYJ zidS(vymd8~#8|5OQ9?Wm@#?z;;~$zH%pyEvc)-95hkrXCSa`PUbg2w|z2<h|W)x`i zMqT}O#qcob?r?4`7ntz+^y}^_Tfyr77d+(Qeh0%H&$>586e+BPiml5X3qIm)b8d0; z39k#41se7R^H9@;Y2Rvjz%_p95AcZJV+p*{=!e&xJM>Lx67pbuqG_Q#(C2$skhR^+ z14kdXVtvsc8Eok<2S*=lWh%=70^_WM^9NQ7vaD}&9zJ#5D#);EaBufn^aYNEE<;N| zYR#XjoD)-oA%t+1*kPWl#)r*254*)WxDhy9Qqn8T33L(OF=wg;&W;f=FK{%dTvBmp zIIhsKq^c&TMib}gaXBTADVmZ>({XuHp%ckOU_^<@8C9bvWi_KPxIK;Cl;6%vLRV!p zIX0F|aCNv=i>gdoleP44Jf)=LNp<8@B^wTigc$RR%2K&`^$20#Kvs!nw9RBueL1*b zM;}c;*7yj`5s?vi>6aZ8wo8)vo|`s?8)(gtFItm+Fv*Vv!HWDV`fSRthC>}3hp~$< tQjXail+RHG(~<6Cd2%5eW}Z<gY)$^nl%MSB`&a(gasjvKg(p2ve*ys79zXy9 delta 2165 zcmdm5F}ZxgI@T%!LxaqToAV)z&FYLR85uWCzQ`obGLez1Z}LJGDJBc!$se^vne|K! zCkvX2a~PRfSm+s<n@_f9R+cn2HdC-xNGnQBRVd3WDk)9OQLt68G&eDwT+94L)F36z z+#oH5D}$kcrGjMwBZB}F*G9166BxOAfrk6A!wlnBPy!leiruiyhODuSSWR0EHm!@1 zs~u>X<m3Pj9S$Q)b4xu-bK}YU3d$0O*i1ArHl6IjzMr}V9^`PLu7UeGf0JV%qv2#j zabXrqkS9yHmt!>oEe?<Z3|aRLo>;=VjTwy~sRF|gL68%WW8QW0L{_!Us(iWFqY}kY zxQ&JQEJ#3&9h$l(H;RgHo+iM_M2TY|rp5~!A)7jRz3>ZBOM{eDLz84&X?UWfH%f{_ z4#03xWp=3c&5fcQjD*Zu$Ra)Yy`seAH)0Yv&GZ!4hnWfSxcF(Rn5!sd2{U(dqLda@ z%=DHqg_#L<>pYnbs+jvs?f@f!jE@`*J_=#f2>B#MCn^}TIYmj2jW}}*a3%snR?ErV zny0ZELqfm^YfmNL2#o9<Fj+xcbMg!wEyj0~7nrwB_RzIdfR)8Y>|Cq{dIkmtMyy=S zhK43aY+R5Ww^%ond?!GR*rXT1SU;ItKc2_Lh?$Ggz=(y5$<T0esebBaFN1Z=lbbBt zCfAu*m>3uWs|8CVRHvAjL7id|#b#)(Yi?u&EU|bhcp!DvW3WdGO!djp{1U7=(#%wz zfX$QFnSBI@*-k4%BQ7ouW9;f-fo6uyr4Y*`ElqK_6tCvjK$rGS7PQhMNApLpH-B2$ N;_xQi=E>pK_W(p36rcbA diff --git a/Vivado/labo1b/labo1b.runs/impl_1/phys_opt_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/phys_opt_design.pb index 8b3cababda00bc44b82bea61676b1990aca2ae8f..313a74709500b906f76a48fc3489957727925e66 100644 GIT binary patch delta 583 zcmbV{yGjE=6o#E-XA>q7f(S|sW(e2>U1lfORm2CdlT?DjkWAc!$!^%4L@TW<6a;0e zAYx--Ar_{w_6cHTt(}#=ftN%Si$o9(hf|#Y=ldSxy_w@KWRwtja_bHbH4o~EYk)K~ z=cAaGj+9-;mmaG*(x*oN9jY5(s@L#TI73O^$Ym@A1F30?T6hUpJ<f5v=E)Wlco|z( zQLO|vf(0|*Jr6Jdb`{VzqeV)C2$23#LaWyW%9d=7tF934cFozsP3Ex%m)!HQ&DtD0 zuCq|#Rn`&`x0z^hqfZCp!Hktl>t(mma2+~{CS+L{zGTub*&g?8S5($@v#^jS#2#zH z9jJQR8hBA}`o6k^33a6>XTL0H2ti^HLMTMR4;!i@?UVmmKUEz)Wsai=A&dPnh)EIp z_8*??{ZZb12%UiprT^)^P^&1RdN7t8Enun+x*zgm4kQa%br8ABW`A?g5sp8>z39_B D_ZEu* delta 547 zcmca0{ZMMcX4WbLLxaqTJMtln$vTW#G8-AWSh!S+xdd3WxRdkqic5+TGxJJ{jV3U1 z^-kWzXsD!TV5p#{;G3G8UsS1};p?PnrBINXn5|%|U~FJ$sb^_vG?|G>Ny5;`Ou<?q zttd5Bp)9kgq%<)HsKV03XtE7cEVG%p!R9$kiHwZ(lm9Wt@tRv2F>^5*7_o3M85&N` zV9A>7#OlNNW^y5W>tqo&naTVdGA2;7jo7(Z4fG5Q42)R0n1OZ}v2n2gO*M>SGc?yV zH!{j#DB!8!nZU>(z{K?oYybzl(qwx!0Xh5zOwMJSJ^35EDFJ1hJvrtwdKw$?a&a3N zSb-5RJdC)wIAESfbD#;-fd<$d2=Zay<h@)nWSBRZgZrX9c0a-Gocx3Pkcla(;YN5I OYYcI$q2=UvJdXeqFLkB> diff --git a/Vivado/labo1b/labo1b.runs/impl_1/place_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/place_design.pb index b5d6754f159fad9fa3af6b81e448f679651885a1..b344f9176fd275e3fd0a193b2f0680816b177084 100644 GIT binary patch delta 2799 zcmcJR&u<$=6vsRMkvN-_q={oECM`=*o2Jyx{McWUCXLfpO+yH@X-E+Vq+RcB+{%s{ z#|dpE5C}p+ppxiakPwKLLk|erbU~^R7fzfI`~h(20l0w>;?e_RZ3nQEZMsH6vMgJg z_j%v>zIkuvvvss`8?D|7>lEYC+UJGp4`%@Nh0w3?=*$YVpB#FGVkIRrPMd`=PGO8` zSO{{imH!irB}h+qgVuDqTQPM_rBul7xDdJ+T17iL0>VvLXo-ieBH=ASoeB7QYOr|& z2Y37T_PZGIuxJ-8OI$uzEG_9daYQUbX)UB7DNZ`_Fp3!&rdfojbz*K;#H=CVYDLWD zwqE$EzqeLUFV`ebK@9Q(5=;q~<HRx~i5lCY16+b@M`DO><|ppUCvc_phEKR$h6gBz zvG$%?_1n>ZX`Ap#S1WWzJm}2Z8v?rr7#w_PJx($bXQpKEI^XLKK@Z&PiU%0oidVTO zo~rO(`<F3^%PQ4u`~WgykZ!N5X@cJ$9NYE9{{nX2>D-GVOvxCOn69C046g0(anHl1 ziUqmEV4=0k?I-Hwqt~0go6T*$CLzQ*cZ)I|b&mGMgw61HK-*HWAZOYJ9YeH#vV~Z- zW>8yYuA*m=w)u3{t?xT<yrK2G2mXu`Q_>X1HNCD#pH$lJZ6v%2YyE?0%dxFXn;4W~ z=i@I%xS|+_t?Ol_0q$X3&DQp$8C*J4Y$3L-agz|E8pO7`T@4Cw4tI$HKO|p?FjcXc zOfwG%aSMbYF}Utp!Ytd;3=V5UV{q$4H}bIEZOuwi-IDYv81CSF!*Tg2hEDo=gk-R; zWn3S8raQ0?E-|suu<>E+tVpjS*FqU{t{aB4OZf=}RoA6^>Rd#TB^|3wf$xN4?hua$ zQ+V5LI|*Ju5w0ty&57wJD!?`P9`A>_!;k$d!sn*PhySQ39UZZoZLZ3eLVZTAHKl#j zuxs>GgWNso8;wOcFOU5gY%B!C6DJ#txYEjCcKmnmAwxHYOnHt>M`2l$wzsB*YovNh z!_<ff6BBC0khx`IYWQ@2_=(YGs!2rFDXb11-9BB%Kk2G3i<jW|<nwJRra=K!zu@NN z-1(d!&15anF645B7tYPki5K*up0`R?aZ$|b%a%A_m`|FPtuN(D;<BDwvQomnMWG{{ z2r@1oY|0e!`NBMn3Z11)F11+FON%qvqP3VU<jm)qh?-O|c6S}V7<M|Id<}&hB)kvr zPNm>uEm?aP2YJm9Sm=v8Pd{}A1xV#x5WpTYaMSYSDFOMa)A`ePe60J{$C{{f?93ZT zqI9Rwit$k8<Muff+{?!E4On+#K7#Ad9P*O|(Ci0CT;|^?{#&{z{5Jb3lHkL$QC~@a HKKspIEquvs delta 2275 zcmb7FOKcNY6y@0t9uJ?`5R*ihhLkoqA~^3q^Y|zY4TLml1W6#tq9x;T%)cpWR1gxh zEI_aW-ENDZYFW{$Fi=%Rl>iA=tzg9tsf)5hiXs;55Ht4V=Zq6)H;Z%LIq%*x=Uu#u z?%hFGf3G@=2|jx5{#fzDX-26CobG(sfQiZ|MQK#8!WoP)t<uAUGrC-lD9$8&-(Lf2 z`Ti)*sT?*f7}?zp^}U&>&w~X2(8B{#Yr`J?c;`QroayY*)+22+k8Os9fD!Z45S4(d z*M+bFkK%PD=VSkT3XS@&G0L&PLNVLp!R=T*OtSj9491HLsvyzH;PHy_N?4rwItIL5 z*|kIlTK#{FS~APjsG0SgAqh8kC&M^H;9<PPUr{OUPVC6CuOnw7SJAR~NFRX<?M?8a z`byNI#K^kiK4f-;46`s8A_E39WmrNg@z-3IT7*?9K2Q*Ux@JNXHfJ_hCj7m&9a^hv zz17U$$<)qG=Tyy4(GP-yWE6wM9g^Cj&pvoKU?xU!`kX-B=I$7!`K*yQq=1v@p^!A^ zTM)!2NZ6R}SQ??R`CW_(%O<XGUQ|#EC<xflDj>e)oJI}P=6R_+4l?E^Pxx8o9J*S5 ziP2G+vutIQ$4^~Cz^NJx)wBx!+j=27%5#b_3r?hm165{N8(QyZR0)$3i+gY{FY~u0 z{83@JjDIY_2V9)b;ES#<X$Gg9X5AEe>u~Q=MkC~2ydm8L->{99Qo@{Lr-wTzM$H@} zl&~C3q-vc8`3!}nfnm}(tYrnklDpXmZlGzuPOTbqn}ynWO5M#af`*s)V>q^b(=6qa z>7Z;*pAS78!|5GQ3dY)Y=A#1V1h>4g3?or)DsDF__{vSp!iZIE`<8HCR||u^pNv<| zaK_tTg{R@(-#tc>5u7m>c9qz(cgZ9t(b<H`yad93T~y(9`8LBVv$4AC3(d+BZcCXj zR8vLfKl-dqGq6QXrn0kHL6ufmvfGPlb}4@c&D~$Fk|qu72-AojA_SoOuyd^EJc|5* z^c(Q{^9(%SRtK%UrSF!X8h7_zo^SN_qYy5(ISv>(^9zvC0{r|w9R=4a^J|p>(US0B z|DTn>ZuLD_6qZUlKlEQlh{5DwO&PTGw+Gkyeug&(S{8$mR1*3QUWb9chBDBpJb(w? XHTawRleqH1;bGjD$A$2iL;t=9%Htk= diff --git a/Vivado/labo1b/labo1b.runs/impl_1/project.wdf b/Vivado/labo1b/labo1b.runs/impl_1/project.wdf index 58be078..20510ce 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/project.wdf +++ b/Vivado/labo1b/labo1b.runs/impl_1/project.wdf @@ -6,7 +6,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 @@ -22,12 +22,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:32:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00 -eof:188425586 +eof:480339526 diff --git a/Vivado/labo1b/labo1b.runs/impl_1/route_design.pb b/Vivado/labo1b/labo1b.runs/impl_1/route_design.pb index 19970e6c803e82c744c61e6f5cafd39b8985732a..c481ebcdbf9ea7fb2d86d4c2baa3b84b5752c4b4 100644 GIT binary patch delta 3195 zcmchZ+iM(k6vzA9+08b;8ar_>vyHh7t-G}$JNez_wwu@#DN3Ir4I-kZv%9kwnd~gt zO`8X?5w*1%q~V|#eeg}{gGI6^;*0jBAR-9**cb6dD(b)BWK(Oa7#3j%hGCdF%;$W+ z=ll-m%h%AW8|dn%F^3V-+4{`id+44(*CJ`RBy784Qd~dKimpemq62aSe*!l~l;|eH zS3r&{3Kwcobv>umb}Q<<N=PBNm<2SE$#9Ek#4>__n$u_oU#Veew$Q<m6Ov(5cnT*X zrry00MQEU~h+)AZPD-Y(!>uU-ax4bxL$%PDHxv%v#?L9#t(r_!EWWR!yN3PCQM>1; z5T<5{UCZw{%DB)>!gn1-25iJrl3@uL%{(C)It4PEktw6<OX|{-XXo=o)0qwz4=dmg zKbAJj26xMLd7oSmhtbJogx!Jbx)$*6feWS)TkMsS@?JRur!S8Qcponh4)C=?;pao& zD9kYpT5<&0M}oqweeCR0(WaDx&5_CQfcXgu?>zWb#NaSHoCfc3J!R>oa;anvNGkiQ zcpDQr*pZKgfeTud!rP-?z_xS*wB%IU4nboN8c$sd57tO8h60lVJMSITXW*xYbMTR} zBbi(eMnMW%nJ8kgmU%vHk*cV2*BDSY-5mvQ9eF%7*5xq>jrGbTh3I%|(7fKM3_6y8 zHnFWRqiiulm;;>wJ=&X50y~p_h{Rh{@7>>~-7Qr`AtvA*Z6uz?k!)LzP7js+l@-6q z=5Y~zIZ74Ec-bJr(Fb%~{x0(m#}*T(|B=NEo(AI)HN-6B>@(<PXk?cUnM}fn^ltED zw)Ucj#Zui>tG?&?7aGl)+HzK%6}RKAw$-|G-c_4^GhcD5&YIUz&pY0ltKn=L%ds(u z+xlH&llY-d+0)t`r_)}lue$BJ?^T{1qGsM8B$#2b^;mb}`1c3VIrx3{(Zq3_RnNBE zV8N`dIu*B|=4p4DY$7;e6=0j)gwN^6u*`4&cQ{Owce~@d6@hy8IXQ+UO0D~(o#{Sc z{f5vVFqeBBE*8cib7}-WEXHQ`7Ft3W#s|4BxW-JA#c?dyY=f7<pA0J45RTf0#i4aF z3B}wcXcZIiL1BEVpZME_OYp*+-cM}z=G+?yW*1WZ1jF8shar1%rk{B9Yfn2~$o@aw T7Z$Du>*7{1*$)g>PJQ<$zL^0) delta 3324 zcmchZPiz!b9LIUH)9w0@vhB|9P%LGH(iCx*_j@z*W@e?L)>tB>sVNW+AkOYi+l}lW zSxA&%(nO6!IJEo{6VZ?mJOCP_Sun(dNspKiJ$NwTNVpKta4{YT@$GI6E(w&&PO{0q z$^K?P-|zRmncwfDIr7uTWd8fEV+>ev;Yy{osBb10l$4(bVVic2&aSAc@2m4<MO>lZ z;JH3s{fy90vA0*(nPa?U1c&@;EhreH24mUAN8mziO_B*O<9Z+qX8dAV{t6k-#dEqA z0d65g6N+ipPpX7;k_4hbikRHN@#t3}k<NCb&TXq;=OI7c7T>qc_)ZdfV!8Nq=824F zn-AmTUWQo?F2)iO0H<XOINptoE4GdP>QrBvWta=babx$6sZn`h0>1ss-jr*CFY;h^ zK#3v+u&5R><#&ai4hwa*o8g_6*L0Kdg5$Z|@36J^R*i+ms`mU9u`C;Nt3ONzE=&u{ z>#(~o;yXejec5}JOyFX2V=P9aA_Ez~-@At=cT#0jEv~*0%~wjLN||%YCTIOxU^GjN zJ=KDLBrtXtOU3exJl$inLH^y^(NfmnIX|#{&YTV>B%iT}WrN(4?RX>AkB9o!r$R!% zk+={R>Y_Fo7V2{HRx{9_2m6VIKc)_(oLp|&x1bX{Df^Av>NkMb^|7!}WoqGrH3!4M z$@eedDb>WCYfEA96xmrSJ%Hz*E9wyBr*lvc(1B<0znxq1$MBzlN_fEWD8s42*@p+U z{>Pv}!sA~yig-oqNAdiYR6)3wXXjZ5LRP@U9|jsd+{SeqCKIne@;2T=H{;v}BP<E~ zH}1nt_I`{Hsn1$GLJ8w4Rpj|zu;0Yu&^mGx-x~d7B2Ie_SB@SD4i<u1ai%PL0j05} z`P2R1S~S+?(lEtk+i~PrC4hI)2$-Blsp>V1&wE<^@1b8}#K*<-h&Dopjj3uNhuWFL zej&&jY1mc2pFTy%*XWOI#jETCGT&Nl{S5(bKjA*SpZ>glm%EBGM{wAFr_EJ;!FCjK zr(p>v+yNZR4y*@H4$cnnZrUXWI>wq>MN2CeLTWvOuX%}ASJ9PAhGiM>M=l$-m;a|< zpMs{<PiIdM%W5acF1;+lky_8=y=^ZpLuu4$<WHHxC0x5L`Iu_f+^tpu8ou)Kcb3yG O$$EmM_M64_>;D3+#U&B| diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou b/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou index 1e07bb9..7d38147 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou +++ b/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou @@ -3,8 +3,8 @@ # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:37:11 2024 -# Process ID: 9169 +# Start of session at: Thu Nov 28 16:35:19 2024 +# Process ID: 16486 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi @@ -19,6 +19,6 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11482 MB +# Available Virtual :12272 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado.pb b/Vivado/labo1b/labo1b.runs/impl_1/vivado.pb index b155e40f06a230303a04d2a77f07560e35c5dc93..ba7d099e451ab5cd2205034350d13764104561db 100644 GIT binary patch literal 112 zcmd;z;SzDJ$SldsOIJ`WR!A%Xl6rc2Tmr08%ti)gT5QSrxw-jyMjTvhVVPx#DfvcH zToNG}r3!xeWeP?X3WjD@#->)LrV2&|MkY~ghUU6JT^S4otQD*i7#ReZxcIoZT=P;C Mf>M+7i&6v_0r|5U8UO$Q literal 16 Xcmd<$<KlA7OHl|)P0lY$5nu!W9CHKI diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado_7847.backup.jou b/Vivado/labo1b/labo1b.runs/impl_1/vivado_11165.backup.jou similarity index 91% rename from Vivado/labo1b/labo1b.runs/impl_1/vivado_7847.backup.jou rename to Vivado/labo1b/labo1b.runs/impl_1/vivado_11165.backup.jou index 50badba..440caef 100644 --- a/Vivado/labo1b/labo1b.runs/impl_1/vivado_7847.backup.jou +++ b/Vivado/labo1b/labo1b.runs/impl_1/vivado_11165.backup.jou @@ -3,8 +3,8 @@ # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:36:33 2024 -# Process ID: 7847 +# Start of session at: Thu Nov 28 16:16:03 2024 +# Process ID: 11165 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 # Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi @@ -19,6 +19,6 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11470 MB +# Available Virtual :13191 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace diff --git a/Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou b/Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou new file mode 100644 index 0000000..d45c5d6 --- /dev/null +++ b/Vivado/labo1b/labo1b.runs/impl_1/vivado_14663.backup.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1.2 (64-bit) +# SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 +# IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 +# SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 +# Start of session at: Thu Nov 28 16:31:41 2024 +# Process ID: 14663 +# Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1 +# Command line: vivado -log design_1_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source design_1_wrapper.tcl -notrace +# Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/design_1_wrapper.vdi +# Journal file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/impl_1/vivado.jou +# Running On :hogtest +# Platform :unknown +# Operating System :unknown +# Processor Detail :11th Gen Intel(R) Core(TM) i5-1140G7 @ 1.10GHz +# CPU Frequency :1804.800 MHz +# CPU Physical cores:4 +# CPU Logical cores :8 +# Host memory :8296 MB +# Swap memory :8296 MB +# Total Virtual :16593 MB +# Available Virtual :12349 MB +#----------------------------------------------------------- +source design_1_wrapper.tcl -notrace diff --git a/Vivado/labo1b/labo1b.runs/impl_1/write_bitstream.pb b/Vivado/labo1b/labo1b.runs/impl_1/write_bitstream.pb index 10224055f6722eff526effed5c2c69dc5b4ce8ea..cda15fecb4fad0845455b98805c76c0da0be53c3 100644 GIT binary patch delta 1826 zcmb7_&2QX97{=?(ZZ?^uVVgqIR+LPG`axjL%=jA$rIbZIR05S$K})5`v1fM;UfZ%~ zo8+_y)E+n$%^!deHzcHrp6H1y1#zlWaR&|v(H=Q6wl|3#7HN^JmDhUbH_!V#@7ND7 ze?{*8C25~OL3LeGWnI(xIcfC*A(+6|VJD^(-tuBLuzmOj#8^S7cO<IrRPRbh8Wqsu zk#y$5B53S5hD{J+$yQf2)h2FF6WmmhB8qD}wY>Nv{y@@?k16KW%A-|+Fp(AAAazhf zGD3(<gDN&PD}8{GC4}MmXYVge-IVyr${qf+dR{#W<`8-<yJWE(fEj{JBxBVqQw)RT z6jQe{%FWvM5^v4l;rGA{|GM6I>))h3a7NWlOV)&>k~X3#IaSe6O69XNSEWCBv~rt2 zo>}G(>ht3SPK1(v%`iXA%4a64|0Aov2h_>x`SRSwk<?%2&S7EP|8G}%lI{89ymCIb zej=sZIO?9%EWKSPsEIA27RTxDjA$)1b(NG7Q4gn}%a&qh6LL5O>1hV}qGGL*$rOG0 z%x^qC_H!*8VBT5`^Sk@sE&e1)SNS`qUk0f`uocH)%x|CmbhrUtx;_X3FX+PU*kg2a z%VP<PsojU$9_zug31mI-*G**5sGX`}LqltGE={~{FkqgakSQRUnFWLxq<Ba!fu(Dq z?WSngW;X12K22m<aH@hs3@kXq15mq;NfTpTE86%bcy5o82z42#fKW<O_6SCiP*4$v zgTsiS&^(r*A7Z>8hYn4Ww3#qsUKkv7qUhrg8ZOPlMR5Fzu#u$zVR7G%vNnd96{<B( zgNln946sxL<Qa{ukc_q2{oLAB6*Ws1+yEywM7E=?N9mr;8dw%tR4^_qCnvy?{3`$E z*z!<JbxFdzVC8L(b!h;vg#E~;jJoiHfs^{u8TkJ0XhL+Pugylj9bE2-xyeH@)zWBu zRsp|D8+2>k_CLvo!wG$#I;pYHOJLs)TzkmEco%N>#D0U!cpKihwhmo-%X6p<*F%_y zS32uam_*d^I-Uc^A%)0J7{lFg5R0|x+`b|r6wq|#AhEl2Ghrf_gn3S~xlOm25GD6m zpZZX&`_GD<zMqXW^m3G!TGFRM$`W0;UM%_%9mwL?OzD=nR*&NFWAUs#jhoms(g_nE z6#n50-?r5B6rqD3kzunO|9jw+IEv1`?@7V;^gvaJ#V@VA_<U;f{x@v_fL7qb>(mKj Hw;}xlJ^;_3 literal 8394 zcmd^ETXWmS6}BviQr2!FH<va}CbMzkvBa1VupkIfou<{zt|qdrk?15<l4?L;DWV1e z8US@k+)khS(oFwKU;AtF()OX3r#|;P3y>mc#YsKQRMXCAL;?iP?tXjDcg}Z~wb|A6 zxYu(de}&&n*}}~A8N;#l>I?nQjaIwT^+k=n7x!g!;B}?<s2>MW#(kLvhtYv?aGbdP zzDy31c#uh7^S%E5oFQt$X*9Z*`ZFgpUrt?GxXiZM_t{?NCRq?2Vi?|X(?>Mcw4s~T zD_$I>S>nQQDz34YCI;KD7)}k(jobUf6q{jcy5+ZjKgE7G)e3r&FQ!W?-0KhckGZa| zs6S0_@E>s*y8Tr8rTZq=_&wQ+lT*HUZw)W@rTYj^7?y2lqAu{-A*M&~Xu7WBvXe;3 z``yzt@Z3;6DXih`qaeu!`CYvsO7p(MUfPabpHS!n4M4Fl3lJ>3TJhyk;7Rc^yLRH) zpX-Jmc;TTCR?XPnuLz@7R|w3W%;t!G%HG|91==+3$1>$nobj%EB>DR0>OCF??Ziz^ zH8S69G@fmK4(6Le*g$w>{~uE<xtUHviNVGZ#O3+GspksF)b~le&TgKeMf-X6`gGmV zs}&DE8AswxcKyE0!XV9f8t2}FU;3fUq{anf^TWNJ{BB@9THUCNIX0{IOjOv6VKg1O z0cX-JxRqVAg}|b(o?OjA-D9=Y$PG_FFWmHD6r@29E+cyq&dED*!V`(e@YD?kbT1or zbuP;1D5lwzu|F%CHKT;($4nHKT8SH_u+nq0AddKOEk1{H0h0Bdhdh-C2Om(tws1<e zb!A&P<NNd>duxc$v72%)kuCy}9|u{NEana-nnrc5pTxhEUMA*Q<-_2}^<%<J=%TK{ z$M<L9VRm!e@&{~b2a9zR0;5==LLiI}1CPi3Ea(NFtA)^Hr_FkEGzgiqY<=9^UoV71 zz0Q8H3r^#EUAHec);PFNdDF@_c#~sk!pfb87$Eni+`SB42>m>7+Gf>VnP#y9kiY_t zzMHuqkGRL%L4*M1ZsK)=BdG#aH0sq#{@{Mabg&_%t-izFUCUn@H<qX&<j9u_OV)&? z($<z{HpaeRJF~iT=0LQ!jz#o7B8yG-PBF7HV+a&l`^3bsq>__37V+cA&<E^ol_ofC z65+Koq}UAr*LG8x()wmi%W<sgl{^g%vLH<N=Y$TIQ}H}9x58c?8X5(yrt*%^8|Bw4 zcpWz}nNiaE^M&x(*Vrq{V~0_`?jjFA+g*iKZ)&z}o{`@yn{JrOYn!%dpXaO_?2Qss ziE>p!T+<X}Ge$!fWy}b2!)X$QjzGH@Vg72q@q9xiRX)V*d+f?EVQ9J`CN7B%p98tC zE`*ybuosn^>`-#rSo_aA$i<3=ok55qZrjbg?su_Rhg};+168(Qq9JYA<q*hegR=bv zjeuP$%=bXV?jf<pgv(D{K4%16teB^i;kWsnAe8CkibWX!p-Q0Vi1`y{=cyf~mdfTu z8s|@_hEqj3>bMk_xvWrk?yd1Bxxi`|WhPbCtEOA1EOh#AXolWw+PX?=C}r#8{`Job z!8dQQH}3=}kGnwN<BiQb3U8!smGdWIx5}_vldxM_({#i)C#k<(h^0TbD0!aj60*0? z*A9CFsP^P^1u~ebZ^JmN(8Miv^M1@H)yuI&H3prXD-fu4Mx`8Hgm;ZfrpN5o`kBr@ zPg1l8LFgC4!W=8-#2f7OTv+g<p{(GGje4yeWJ}@&HfI@)y3?>|(1uPfJsR{+7h+!_ zy(d}^<5|=&Rg|h;LjGM?RztJvg4WiAKtT9@3m^W)Qw^8PmRWt_NJ+BH3#r|5oCKLX z0B&fDNVmspkc^&us_UZRiT&9+wy@M>_{|ji>1vkA9uyi%=q%=kGUG0K0-lUgsWe;% zGI0QRxOFqV#nJp(hO*5&5bBnykxkth32~GcTcngo2P?@(U<?}-s-86Kr7YNI`dxG+ z{;e@dL>gz}|J#gNk~JN6dv_2;wCIi9bq+b~@_wT9bfEM$prFPA)(ij5shCvjSg#B1 zrwQ;?Kg+;l;~e}xRm<pUq+J?pYlpf5aT<`;lS;Zz3$tFG^PqnYWdwYhHQX3?`Mc}} zLUfSIWb$>M_N5ne0_l^iM$^I?vor@whFy-HFW3!gDuIZL@Ef1csoGCeFJ#Iz#b{E< zefn}Y?n(SR%)r~r9|vI&oh)Z@9Hz^;spUM7mr1rR2h`i6g(!C$1@HUp&2iL+orkOo z*B$mT>eXpK@u)xIpod}B%DtxF&a*G)9!8^e^R49v96B)a-2`n(!{8`lc--NJ;Hvq6 z=2{=^pp@`a52wY$d~xf+UTgLK#_I0I5<hao0bCr}Y4zcD>(*^_S<;NuKTNqf_HIgz zOh8u*?&U9Oywwd--g8g6=MD#fw$0%F)VM*k1QB&_5DRU<mYwDum#iy!JI1UrKhP~b zhqofMU4kQe$nA9KJA4LBQ`HBGJb9bb9Iz%dK;<Dq|1`JH<q5(cy-bgEZ!r<y?V*yR zpr)~kki{@`MH7l6)v3bo$KAl|@*v|_NHGa;K5tPBB#4R(@8GASAP!YTV;aiwFv~D3 zp_&$O<Z>G;#qS+A2y<$QWP+9x06pWYL4?{1(TC^C`=uI`1@z_Z9_?VhX};_P*cLTi zn2C?atg9Xu?SgW(!GzzG4W)dM8lyx4J#ZI-Wa+l@8nyO<3|4q5vjg<Uuqgn4Z}Y>= z-L2No`4=C#39-qq_$Oe{19))W15f$=z1Bv}_)O#c{)5)$3je4pBbcR)rHv@!5MhyG zQ#*+Z9v^s$a2KU^sPWJpL|*qGp$A%CNIOTYgt@uCpYKa?i!gS%hQz=-sA!3+i)Y-d zxKq$vtsBRW6uD0@7>CpM)ekhcphV*wQ_%QM61Tzac~DWUs-dWuuTFzS#X7rm<0_l> z!bc19*XiTNi)^NyMH{$OpT+fhalL-y8k-NL|B1b#e@3t1yLgRVT6pXF0_;86L8}uY zRnfFFwO`4h1U1f|%;#O$zs#|}R~{m~ic<kZ=Qgz*5g|K>`ezb?a<Hf%)~ACzj6LP+ z6cELc17F;Ju)b7y`2TUh_pvWSc)sg^N2Zh<u#a!UDB_8szJ17-rv2Rn`@{9E2meFC z{$Gvu9Lw=&|Kk)J?CjaR4d;6VqJBIG5{aze0$0&}Pa=!-1~>s~OJZ(yR-)K@|1^4B z)Wl#}<V+tK7j!8j7i>1la&Rnn5N70So#1eQWQE*81XsxkZb@;|JBpk3>?g`<F^yEs zK+Wt4=0bF}kIxz@rpierr>howm8wnTt6U8i1yEjbHFayeyhJls@XaKNlk_$>c#&Vw zyvCWSX*5gKY{1rbLW$H5P&)a_h`(tpsy1<YxR`%ZVp>xCjuT7}d^9>>D$2eln%!qL zZa$dMOQ$ot>^(It9Gk+@07=FlsB;Spr}4EQLm8`%7%5*cqI#6X<4YTs$=3MZ{*1l0 zi^DTlCB{MuP<kP3E<5Jes|#pEyXKUR27b-%;yh~$ivx7{HpEU{tfOjD31WCx<w-o1 zV-1(^u&4|I7^)ZN&UCUiCb?$VWnrk(JNl>7KXZSkUaQkaj~aVFVbI#D@S)NiMob)& zpXs)9qyw2KNwW(hbSFxLaiNRGIJ8~fCpXl0;pnC&ben{(s5i8F!y=(;)$<btsQU9x z6h39IY@T3SP!j<*R4XVe=qLdyk4|5PN4#gpk=NM|TipS_A0Kg}&JA<Lv{y`rlLS%r W^W&M3?s$>0P59|9O1s2gnEDs`Nk#Pl diff --git a/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst b/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst index ded77f0..845ea13 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst +++ b/Vivado/labo1b/labo1b.runs/synth_1/.vivado.begin.rst @@ -1,5 +1,5 @@ <?xml version="1.0"?> <ProcessHandle Version="1" Minor="0"> - <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="7594" HostCore="8" HostMemory="8102396"> + <Process Command="vivado" Owner="hogtest" Host="hogtest" Pid="14309" HostCore="8" HostMemory="8102392"> </Process> </ProcessHandle> diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.dcp b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.dcp index e54e1f0e1aa3ffa5c83e8d0528ab0608159ef2de..494b6b37241a49b96c67e3b257a536e77a08a8aa 100644 GIT binary patch delta 19179 zcmY&;V{{-*!)$C@8{2lWv2EMfa5v7xwr$(Cv9ZmKlZ}o0Jny~VckVlLy8Fyb|LB>i z>8Y-&*KE-D98f?>790Wt1Ox;Iq&?7Ed&$U678?u%L>USM1R4Yu#LU!@(cRuoT@4Zh z+#yQJayVJqp^zCTWG2zj*eQc235;S=Oq#ZZQ;i-qu9)i351n7s4kCp7%U4Qt@u&~R z8p-8jdu66|?e_4+RZ2Mc7EG1(kC?%3j%9avO5TZ^1Pfqn^mBnc9GsJqJ=YnG>)a=^ zOm<;j)Y7^#1e(BINVSFu>2NM@M!?`cwb(qEs6^snMTo%(FK`5k8dO*XjL011-k&-) z7s}Kc!cG7rfp}c=_khboF&29iW{r`Y@XtAq_|(29|M$A#3rW)u9^0%^D;Nr4qv2mn zv4_z0G;n~h#?hE0*M=qWqKXy<cL&}#Q}ix8g*H}x!+N|%$0aqmyJy)7oWD*HFoWd# zWS%(auDsaB!KPxP+V<!pKZ{oiL8pF%D)~Q=V+@)iH6r}c)E|Z1ERmwY<<M{5yga+v zp>mTwx?-2X;oZ9Bia;#P(kT<MMtAUhl(~(7nIHi~Z@O7muxZTrGKj9EGlT8ZD@xt} z%6B0JWz=x6Rb@i<^K@1XUdv7TAbuE?J2ONFL(;W+tM3rvK|hndT}$J^4(`otsPupw zcPs^`3|VvSirip$nd(+|c?w_mt<3CxUB9<CFs~bV$M+%p1jp@onV%58slhitXkt|; z!XMCZWv|6l`5xbeKeCXlAwxL$BaUn5=Rj}VK*rD)t<~uAvgcb^tiI$$FJFHbrfL?f zY={4m?Uq$770*bSsrVOI2EVT_R-?gR<o^OvA`=+Hzkq2p1S16n{|8x#LEy~)InfHv z35xVD)TX|@3E6{!fLMVh#)4xfPRqgr{|{uKR-mb$T6XRlEZpKE#Q$!r#?1d|SvZ(m zt65GpzLed^!lgBHbHMx((?ql{!y0{(3PvA~F&M>(`N*+FI9)`?W@Ns1rtsRebxPRf z9Drly-@kU6V{{75grlflhw?nuPb8TUrC<Fy>)?q|y|(kc%hd%eXiU#b{bC>G-A|q| zQsPELXvD1CY7D%F5FYwTuGNfc*xg>OYffqVM_8&eq}C>B^Imh$AK0{V$M3sAE5B7Y zF71Rp$rn^cOg~|j1BoG?C%&I>h|I+bl=;1-%lb2izO^hbnV2(51i3l5-?r5}{33BU zWxqdNCepS~7L@|{T{Hy(GIzB2_2T#64dHCVJ(^{Y7%N@r>~+Lhx=vDr)_Q`>Yq}?} z&<{j=Sa_RQ&!c9*24`!C2<YPgTN*p35PM1>N{!vMuBfpU!a&F&0d_c}jb+r(6#XF* zW1~VFQfc8%d@3$ZtQLuf5vzM(R{)Qi?SEe!@_(j)57BX5FIW(e70kpQChWvKC^+EX zFF#pJ*N#9Fcbjc{vbV9d(bC=$Eu(=({=7x{y5`$xJ~l63=ed3Zwwonaz3P0wbW3!9 zk+t1=h_0wVXOe9j#JmKGdRGv%n%E+iv$)u`5b8cC$q*k{kWM&l#A51o?&QVqH2%f- z1D+kX&%3YVuNk*#+!@t!rIYN*3n0IJxOjMQ=1BL$^7b)5nC!#b!`R&TYrq+h-S)g4 zt1paHB+wbh9)(ZzaIvOg+I9Z40@{K5)6af;{7{knS&Mg~b-aGV;1wK)P!Yb-5c9$J z^Dw(bDU2UoKBp4<oNcF{Wb~?>oh7PQi*A}a3gxVc0Vt7fze`+pX9xC;0k|LvFEB=W zA}#J!MgNg~b1#iwmPTO|XO{`84ykmAE`o^0CGhk{P^1+bHLeqweBjC{R+F4aG=GRk zSi$LYc|cN>?PDXoex0R*f4el(T8R-3C^ol>l_C9;!LiQvlMbFH#km?HF|g@a_Qf*J z_>?#q{4BHP!Zc2t&CMpl1dxIqtx?BvrZ1)*xAQaRv`KF<PoXncuMu7etDVg5a6dG{ zpyIXN4^yetX|e2RZYQrYC2mV+1PcTe%;rSHSZ*vjD;JnQ7=lVsvp0?pMmC~ZyqGiX z&JNhn%3?|v(q0Ywuz?H6cjS9TKp`@d?iI(ol_M%Loam#h0ZkU~1d>Ad>NcRi7h#Y| zFt;T#X^yYy+Hy?{O!!UNX+17isvAloUEL-H<Y#`XOz`h4-p8<D=2QwQaCVHX*pqW} zC|+&puponeYKVdsw^wl_s)gXnYIZ{y#FFUtNQu0hf4|^eop1)FkoLuBVZmaq(TF!d zCbA%_oD!em#j)gJ0@z0XNapo52phC96}<U@L(2{tfw|ZlXNY{$XkEYwmL8c{#V%s2 z(^o4$9qU1mgSDfRGlpht*x0%HNh1d@f=7%RnoS=dR@;FjIN;nh_cNnptJ*awR=F*g zWqbqC#Z7!p+Z_b6c!+O0I6W9zpoBO*R0}Q_iHPx{;d+i(6&ULu^d7c}QD@VwmKp5- zIpKeLsmP%WRorhuKyDgtmZj2d%XOv^ERs&4-zUz4fGGhTgqIRR3)i~6&OkXgH6X`~ zx_Q`aL^`(k(scY0?oB^tMB3?2diN=|EE*X7-0sg2OewoOvHd6`8hQHIwPn}(JjULX zZqPWz`U{SG5-?tK-6LZ>?3fk~4gJBJEwf~Efkw$w2cE?*+3~(A#Bndj(o24SJ+}8c z;z42D3gMlAyBa8?(m0G}W)wqF_lGjh&jYKnHM@Nc&j8CSeY@P##V1MBp@ztfBsUQ0 zO$*~&xafJk(Vt3f$ADzXBlNCmb>I(n0D^`uaQ#@>1WY(__mM^$wCY3;3eVYa(A;aQ zG!b-el<TG~9?%=7XUAyedD0;%){W_Pnof=Gp+vHKxh$P>(@!kNaC@t?{2JL+wHv!) zN2?n$(I^~sgAZ1OKYov3;8?fdf(l(jF{qPvPXKKQE^iOW4k82hTLLB^#V>fy0;C}( z8Pg={0Mb4&W$x$SAbEkM`hDN{55TT=wXx+kcnM6EK{KSEtM=#I&;+Ua7K<F;UqFRM z4IPD1Ljh%k+zdO)T^_8&sZ(|Ws(r{prL2(~>*oaI{fh5Q#!h@Qe29jR!WSPAK^yS= z<rv<{MqMognE}}3Xe}59<n$z5jtfn^?)BNkfL<vY;^4~llBA6A1YRbrdw5;hrW`_# z0>AvybA1zNkMQ-ohF5K%q{#5;@->et+ioU=qVN-=O;ff0yM{xm#GY=Dxj7Dz51)5t z-Gi0E=-AhHTBIEk`b}X{c&Z!7svsIZ8D?JZ)H*5H9V8u|XF_A^g&YU%?1?CEvdF<F z!0Dt)y|!o%x<RWAZ{acL!7-w4@6m3hyp`Dmn=JnVPN7+5FI0=R{VcfL+0qljR}6>a z9MiI8kNulV%@5OH$=#;Wbw=4ebAqTGf`<`Suv!#fRVetRAhw`k&uabZ$D9-k6ht&- z?w7M+d|1RLQaypYyDy1T%2&>O<XHd}@bt?eYm)n0dJHQd1<0Og-`u&0FrmHjnFJL$ z?jM>9y2B8QiAqo_ZiYbRg-cKu9CWgv_IhNZtZ76+<=Z>Hm-1f|!Bo$y1|6N4Hc3#O za)|7ZyJmgH&mu+Nw(~1&w8G)tLQ?!?qqZOl?tQ;Na|;sZ_I;SqEKuhDkiD-025)k+ zI&sA%Au@r^Yn>o8(Z~P=4pS+7GH%ywUt36<Bm`Aui7YX1JF6_YP{L&!?&E`AScvBv z;=VOEXizj;ggj`luFq+CLqEDe5KREMNlrr$VitP#fj~L$pX4ri0qfdBc6=~r3Ivc@ z!>U*U9f<=CA;GflmUp}Fe)s|T92R+ytj|A*`(zEmKY3vCs#!o_A=*%29497sU-QKF zI8v;rl?o#L#UH`4e4eHjXoXy(K}r2VQo(SuZe;Frg`w&>pu(WQ^pMPUA-UhSi9xDZ zgy>OrWrzbCxAERjUkuAYZaAPom_Tlo-5)ZzS-EbkP7J{d9&=AH@fm?W5-t0|VJaR* znQ<pfC~p2_&5dh)5}qDi2&xuIzrjYQ<mlOg!#0UO+>8?-rNZvX(W^%Z2OEZtCcV(y zhymQR#WXyO+B`9~?0wdC>k`xo&U)bq2lMcbcxA6hc0qCEqmYybPU4W<zBG{bL4xF? zKgoQY@*%hp_bct65lH|ZUl*wuLrhQwaGz$x@6QyYOc3g+{oKQQ$rwXfXsuXz179~O zUCr!mqDm^1q9!+_1w}0JR(e5-O4{yN`e#JmO>Iny^D5T#UBDm5bWsvwP%jS=bHaIV z8zQQq0NDa!n0nHLv^Xq&Na+idpxG4ikzz<g1Zg=Lcms23J4t|)rZot4L>AhAHWqb{ zOGzbSC5*NY(|U*;W%7sL87gs&r+Yr4K4_1Qgh=`g1y=&x^@mxJno>`CG&{6)d!)E> z6@NdQAl!<A(bmh+cR=7F&p?R?p*k2mRKtgiK4#|@e=jVgmJt7itB<<t=WFyiO??LT zkFIImaASd~6;a@w<(Qt(z#!k)^sY>yUT8m-LXTHkGK#_1Q*Tb$G&7fxn%BbaVIeUB z>cHT;K>kUaQ*Q2dzSUOShN2=3uW{7N>1Bih-qV<wXOEf6h<-wox7m6dTX|r2+x%Zb zzWLI<I>G`x*4s*%VyQu=Y+xrXEf2!lLxD^nX%1aB@{OVTb3OqlOa$4bHpwV(CfMc+ z{C_wb$bTqXNisDb^FNgB--40Y&x8S(n_2wtnvJ@d>BGofl0zDVR$U8)zAxf8zml~g zC~sH9HBlj?wearI!%Gpy-%=15NFz`q*>P`We!=#d0wq0Yk~@%lkPi^DWVHgsix875 zNyDbM<rOe94rP_TX0)h~BC_QZkF_?oiIa3Az3X`^KLgSEoA1@*rT{oFc5U`}X3tK{ z>h;r>ABT`!&_+InedGh7!Pn~I#x0F08vCyJ#yzZho9s}f#TxB+@q@G|j1b~lCwf%) zI_8O3jTzca4$@X7uSoMMJ=AfkIAE9itw#gg!}|bx_+5O)ObUvl_(kZ`tWIGyY;?F{ zu!^nWHt00Pb<~w68_1ZykJ92Aiu@&d1^4cy+wHH%V)JW@tSIb9Nkras=CtXR;yac! z<BMz7Wf?GF(jU5s`O21F!KJ1`uzn-ls&$=@3`<ezi1#ooHek(OC`ZaJoDsLn89w@O zARof-NyrUy8K8XdF_nr)>Fj<_9fwf^vAZ+c-}`AYTubs-4XCCI62E>#<L&B(5D#J) z-bD8Po%;9lrum?v?DTceZh@Trpeb6$F>{EF#jt0`ismY6d+n9Y*_QR6u7!6N1>%nD zL7)dX-13#K$jLu$yIY74(RX+)iJ!hI#J@(coNI-wbSVxVt^WF6WVWKmNuow4IN=5Y zC5;r{#O}PlfWS?smJJhI54m0{8|K4o=A9^}9fz?keU{IlEsZ8#Q3m&$n40y*Oj74? znfpP{^Cx0NL&Y2mXp=t`NR`9PhCOk?QN>xq0n@~vVNt~d(D2!AOM4DgbI|tv@=X(> z2{p1ZlNpT_CE*3sHW?K*3X=&50YIT_FI5kWa=64UurvDYU6$qPyaQ>F@Zi#z;Ca;o zDozsF9~2IaU!hoF|6Lj6?S@_YE<r<GI%+zTx}mElW##G9(xcmh?}n(xi-7Ah`VLw; zrHYb6ezB_PcS{gmKM4<`hxS56Nkl<ec}59G;}ob>EeOG^34$FexNgxoFGAswnl|AO z9-u}DtQIElDA5<1!4PILv4%poTB9XZCGhZ5$A-da`ZE(ILm#1u(k|)?2kSanNkXy! z2uLj}&ZM9bQmC+b1ZpSIx(OEDg44?!g~m;NLAP;2BuL*R+ry!R$4O7jTpUks1U@O6 z5PzgUcN5+8s_|>Se}8{vP*fJ1|EWLUP^GO7EQu*&aLB8295)puWsoM+oF}B1PgPV< z{xf##j0&Doiq4vUpA9p@AbmD<(|JQx#lII+C#3(u5*oi+K>`wMJ6aVD!)0A@0#d;o z%yv%UKX+%(h!AcT;1mnxnYY(po!jl*an_0mk8BaoNR2Dj3gQV5doS`ku&JvD*mb`N zHHF@9V2gFCDBIzbBJiegJQ~UJh$!oiY-cuM6kFVd95h>?)*c!NRY%|NP!AzzIUE>( z4iGB~(1p)WM@5Y%r=ckVzlB4I*q2IaLUJZV6N=*|fKDKn^oIc_2|^Kp`KJOU3BoBr zAT6J00lgAJjwm<gUZdsG`5_BX5$$BFlx6E>S3^?roxjHXPOB4Cm*WIKKB%&kJfH}} z@e#eX#~nGeqDW?Y?PqBkp9>zzb7Ot}tTL2q>J6vqMt^_j!nQZ075Nbqx+4s6o*0#Y zzqjXxLbEXhY?4Si$*`&`v|uGkzd?WF*j<lhH+Tmdt(;s`?`ED^(n$pLO0ao9etXgA z=O#Xnn{fUhakYeD<$_&p3!ROo3DYC5J4h%KInRKCfJ?5^c9m%26Y*-1^YHUm7&h%5 zD4R8OWosVs|22%WZt6_@4JFGMOxLb$BI?KAwumIK&fPu1m0k9x!A_UoxE%wv@`tHs z#j0vM_!7>kFqV}>c|B_Y`>dYh8L`*Cl<&USuYI|rA?eQ{Vb*I?GXyyOTn7K(KYlB{ z<=`b4lc_)G^*ss~{tkauVkKxXW>LFeFPkcEf~A(jSRmoY6Bvb^H{FRI%!}{j8yIs+ z?Wo3|K*}t>-20-!^JjalF)2Vm1&;OwRTY$NHjhwPi+n$JDs&40^azu3##Ifw^owNy z>!&<OI^HsDnBZs}FE|?TENVIre=cs4Fbj%EEB9Mf5C{nJxKv>`+7mJmUl12?8L)hG z<tEt1sA=*vYIIw$NU!!up2vWxSRNEunb&!l@cmJ9utUBwEqMf<3G2Qpekp#^WejtE zV%-D^LRJ?ARy;p|0hTqIB&30JtM5l#I&B34Gj4B=_fPHLaxYwnO0_5`uU<&IJQ-&) z<B}m2kAy>^^!quQb(%-h+z^hDgx}-7>lq?|_fsL>WSe(e7|mL&T#C3hWXekS(O@+e zzpjrO$p`HIwhzX{?dYYIn^{nCYiYKbZ5kwCIXlWHS<O=cXfAvm+_uVu%UivvZ}rR* z1!B9*Y02`JxzkF9hO)>i2rn-*ja;HU*z+<>O|~!{R@#Cn?S-);G$A4VyJJaUI7IT^ zYA=Ejx1uHc3eP4DDEgS2Z09<E*3R*KmLZxCyH+*#D9!DZRrbm$e+98BDi&EU5uMb6 zY31Nn`~6}A^2_>0<X|xCaM2||H7o{{{gN#nvFelh?}Az;#%6G=IUaCm&C(Sy<AY=< zmDh!)#aau1yb7FLE%{JOz4!&(+LQMvIu0I^WI0zOh6l4c#4(i+2?4`Qray1&#-U3M zrE#civ;}O?R$z3=ByF4ZeXa>`)W3~j=6zTMidA`l3)b%~vdt2l=ene0$PuH;I!?v| zh-0Mj-@B%L$;xbnheQ-QuS*j->24U<N0X0}hFv+z1dF|9rK%FVRqcI?SnZLB>#xYy zj8SzQ2Y(&F#dGSCL%Er=Ax|=063PZnTUX<77lO)qXUoWT8<Q08Ph7LeQ@8u*!)Bn} z{@p(Y)~>nIXsYIX>a-myr=jYkOElr(3}`YOW`?*eVbw$XnFGH4e4dY2hU9uoSh7y! z#GT=4CnT!foX=)_a2yb`@rPs)8{O!Yy4DBNI^4AIp4pfWn`Y3xH8wX-2ixy(X;Z~U zz*|IV{B;61%#c^JE-bu(S&=*&C1zu8O3j{S1n#F>l>ET?8$D5JZ%dd+Hil0oi$xA1 zVSKx{!Zl_$fDMj^PDSrIa2o6ilE<H5N+>;}qnW@MqsqmKg9obtspA%NLxG42H;1L< z?I+Ctu&w{Akz2lwi6jc3kpD*(1Eq#tdHnyiN>*kI^Z#g-JS@!gys<1n(WV;#Y5qIo zX9IXEMUHj)o|kU;#)s?`Z{#+hG8zir&lN97R^eD&bus)uA(P48$coHN?T54(VCtd5 z;X8h(G8RB@RtnfIgMa%FZl|=^l}TPBCN{sG3INjhFwUG4`c`BL8sk~(j#x|?_^HB6 zl5MQ9vogKE4e@U9u@{@7Rw{hW2h+`E+YG-%Nn7YxGTnUaEFPiw={)rGo9@<7r5H^q zNwIT{6UiT>E>i%42OsNQ4db?&km-372oH+L?xgi}u$byfL)DfL*7kX0UNJ|^b|r*p zNC4l!k00)q2H_9YcW_eB$%+@eie;r*F8k**5EKrSC7HdWkYdKe?uPNLC>{lg=Hap) z=M5P&diusn1b;x^JlG02AY8<~l%d?pAQH@vOiPJd2n+0_t7EJ6mUqV}GZB%~hnuUt zE{M_HZCL9h(XYpKkO;e{$>N|qvH+YdS%4dnB~|E;UOV;vnU0z3Uwj?VC6xD#Z?f=O z@{rv8cL@+E_;Sb)OPbL>Jr*D9)<aX(WCDW6ECoW4Uh@RQ5KJOLy``aaJsx~>u$*=a zAa>uiVwM^-W^kOeE(dki?r@pzA1ccJM0Ib{<)<^XWYa;HPtqa?O-=bu0^H!ZOF;SF zUPFH`<EO7btul|4{C0rD9#nHKCen4C9iK@3-57_V`B4-ELmyVcGwZ(09Hv0@BoB2I zD|S%?)ZnGTVZqeOXI16v3jXVMaMH=vO;#Xwd0rXEA@&~!gcd=;&0ppt;@gH|nHHL| zQcXa=bkXFp*+UnuwP}*1*}mzW7|>F~*oyn+=X|?DUXr;dd{!NfVulsWV7Alf+*=Lu zgEOvSF?D|H8*#96$23~OUQezDHtPOsp)bV=ZlI3x9MFC6qRHvbFU-2~WItc`i~z$* zXmZ49Av)@X0CG#Sp;1>N^3n^TYPN61*@@KSu!Xgg6tLF|uR^NfO(P(E0hs@ON(q*l zXbSscdp3Gx^v%o{=Ue@1*ba)rZM7WgCk3%C7hb*j-B;a@_f7Xybzq-Y-k26Fx|fSU zZ0jH(G<Y9tlb@zWb%?vyy5bH|Na{4|$pSw@ts?0AVq|sppmv4meCEptlHPssQe^|a zp%k2(RbOI)2Ihi*0XVlt67VTSt4eE9g<{w{B8{b{pf@nuf*Lz)%UWRk4SsgrST-P3 zx@Um^r6<(>d<dg^#WgqQ%(}_EKglOJ)>St<&w}_vpb2&&RT-BO<oS$~qa#6EsxXQr zicx~`yrFz~agY6ghbR_uJ;wJkx1ZIlB!ooaZ%xx7(c9G|Q&N2A7O-@f7-bsIkwUIk z$^Iz`)$BmjtVgd8ja#Xi-D)qUTbv|8ZV6FVw75H{g?6cQmJ%;UmIyj2RyNlCiB6ll z7?HOk>Nc5vytA^kw3*JEt$>X&$zPHs%%@XEzx81-tUIcE-5p*9HrzZMM=4Y)z;Yz~ z%3=3ATo0e?0{R4b3{Vs^CN4@BT9#LeObc|tl2;K+m;u~OQ#HL@@vcM6ynLi6+lqfk z2l3Y;T%jo#OOAa!a;WL5A=uf+<nusZa*DuyCrn@I7x3HTVew#Q&TejVp{TG~^CU|p zvLL8-|I&_SzWOB@a-TFC$^ivMGmKE42<<2#qb(*@vV7AK1_XjM-Z6S}(P3vRTY53` zUbWb5!C@W6EW#V!N9r8;Vw`|3UBTIc7_ED&$``X>3CY!SGbKpAc{PUmK>BasA*+?J z!VfkTE%Tpu;7{XGyj_*~W-B}){&lS;3vHM2oMNeWttq6MyL=*52-G%>F0tC?F|Qe( z)S--=%5+s32LwxbMeOUfqmMx=#+(Fg7-3UV<OUZN`6gSObRs>tP=&5R*IuxGS~O~e zireRwPI2Pdg>C!gQ&4la2Tjl)sYUOnU0VpZ(Cbedj%a;)hMpVj@5G%R+!v!G=Iotn z<cCjvcE`ZZMi_D&m40uxfADxcL38Wm0%y~}JFx%s0LWS>4}?61NNwi4sT=?Z6^mGh zy(d7WJyST2N<`VTEQ~YlCgXT#F(v7k(N2j$yJD_BJ>zg;E?WvB+8rs+gwy)wWJwP9 zc4P{cR0tJ)P-?>5Qb_}Xtmp!BUX$1ucfq5IG<g)Y#bL1fE5JeaCkzu4=lD+acMvjx z7Ldrl06UtJL}mO1a(v?vsv3v?p5-EJG<os3qz0v$sCTI`lEAo#SCb6jjSo6Gq3@Y) zZe)Iq{N9pH^_)@c4Zf4$nrU|-$s-Fch@sLWPs^o7Rdq)4_}iLOPBCva!IaLHpC<AA zotK%*YK1U;guIhZpim1#`Tpx3rBK=E#bw$Lc<@iV`AyRdJ5Bw=o8bb>H_U<*yn=+D zw<d0ce928Hj!ZqRCdi#c@6~^eyC9;0+Z>`3-G2tFJeQ$xn})-VmS@&G?j4ob?;x5& zuSACkIs6^P8T^bLc0+avJ(wnkNPecE65bsYo)te9M_%Z~@W-RC2Wjx$NbQ(?E_l}s zuxxcyA3#Nd22aIJf~>6r(luXWJujP#d10#;$an7;<BW_Lm^+Fc`r}pjuVEAmo#uB> zfhn0k&8j6t6pJ{gAyO4|CY*Q%=~G?5?Q748--`}3Iqe?h?}i-B38m#&l@CK&Muo)6 zXZaOO=_SKOHru8&!*Nxnj$dWgSNN6%fNN1?liC64u2Wyy>OlsB3>oGiqZD%2!Uk$7 z`oaaHBZ?mAZ$+9KhtEVJJTyo1j${@n;FfLhj%h8h4;~JtBp156@Ix3J%YE<BFk$Z@ zLA$YC70M<c-h5J0U86Pl^UA{gSC_$-eK<TRwe;QU8;6!#H*1zSq|y8fu*2L0z~uBF zU*1Fx(BF&3LYOR38hI44$E+(gn!DeHo1`2V`tH(`tIus3hxT@l7)&}RPsV-R`lHk? zDITJjHVv91i@;Xc4Scua44q=$Fgzc3VqpS$pUZHH4bVRb1fupN-%H(;VFOHBw>_CK z<PBql_R@^wYFj0a{xA&h(!XP+DG4Zj>x`De??y($K8N>DLnou%7di~V3>rKVHRX$U zJ}GQ()s-@}C7WQEt1_z&jvAr^?E!snJ}7_R<eOZd8}cM9{x4>g2ueoxuScB7L}q~c zuSGnWU_o^X2?FwO`M<iBvzhUK>smD!AB-S2($7d@#L?W)La0J0aNr=|Adw(Q5W3{V zD5Y~P<h8+a7eNdqaVoE`s1cvoU-pP?vCHQMIC;IDoey~a@+`F@2ii7WE>ke@>ma-T z>_k&@?Z20pNS!$I##qGXLup*moS41%UX+=Ess&wR*2!?|wBITAZ919t7;zdpCe8|- zc!7!z!Rff8G234vDCdkLZ81oQ3#1|a*CtAsjjA;#Fh}{?N$*DZ@MJTPJG0KrS-pYX zWb_9!H0Q=&+Q_2qDedbn@3{y*#e__OM2`L;c>@LFGo&yPXab8!g*XEJjVIdgNmUKN z8?GwAvYy9907Qs;oR~eFxPzQZ3WwHhYL*|0hwDJ7Vtnz>qG@QI)%F;uaU!1}6gmOH zCY6>8B*V4>$`)&|8A~LxE)&OyJ?1r6N6F|(JZw(i($C>VlYd2P6wKY0*8B!o-j%DI z7SNrLDkZ&xg@bWNzpQF*9YRX_&6|KI8Cm9Kn3dg{78&n2PO`W#ba6q}>}iS4jv-B) zCCkodWx4*@gRCWzo`E!es#tH9EcN?eZ2`7gTQ{fK{0lYHyA&%emGW}Ai580_9-&L* z7=gwR-;Jh5M@3+YFof|FMq37q(1Wc}0XNY|mTOg-<K3E1{vfhfN5M>0stACeI47z4 zz9-zpPIGix;vV-#zEcOBc5uy4WIA8pUS$|V3Otp!JI!g0IX7qaN}byR-Xc$kWJM|G zy^CK;(bqzYilDRxV-^c;hE<NTuk)?27lPN{GDS1J|LgM?F-!^eB_SOHaj?KY*^(;g ziupAaG!p&u^xQumO0)3v|2T1aXEj=^_!(H1Bi{(ZEn6zN+}lDrUju5Ha~&KtO%075 zt9OQJ``>VjaN$V`;d`_ZMeMCwDp_{bKWk*j4m5BAe>O(u(n6}vp&YkWigQ-Q+g1sN zcbRs+CY>guzW3GFCv!(%o*|I(iw+cdWXY`k;s{r)9pY%J<O!0K!CwcKD9MNkxmQrW z)1-(=LA(wmXfxjWU8&9#(B&c0h%00HC_9vEyzGJ6=M~btjmPGyF+Ez$*5~VpDz@a0 zHVj(9Pp`xr?aZW=d<K*<AsD-YF9Bm^i{<PAFEkiIccIMd0p0vG<IURJn&C>u*3(no zwUf7l_g=H8f}zx&F57^6dV(J(IficK{dK^vU7e9XJWYA`MN%jc)oq1bU)U_Ktj6P7 zf<&R%{C^B*)T|-$BTVNonaQ<XhZTkJi2cE@4d*sLid}>ZpT#G~tXOF<rnOt7V;KK9 zk84)2GJ^Uom-*)lk5F^4lF~>C!<{ODk&ub0AAzFvDf2`PQO5wrT0_*NN<lcJ#9{>T zj$*KL;Ak5+M1RftPMON!V}JEyuU&tT4Z26p&LlFI!w#(w-Q7+XOUn7U$~l`>n3i9@ zz2VaMsF<NM;)@ESER=dC6}{wxU=^vT+(}CxKqWW_l1+I_pz%fu@v(p$!x7IV+BwM2 zpHoLF%i^HuVmSf5xPe7}KO!j}B}crB^4|+u)qht>tv0Q3O`jpWL-8if^D%bZQ?G`s zA<icqEHSqEj%X&bvfTNEyH2>0Ov!oxm2^eQ;q2*KZy2lSxV|sSSK}-q5b@w!yArPv z_blR>a{hQ|R%y&TNtkb-QK-xv#1!H}rbx;^e=HFAAcg}9CqAAh13#Ih%L8IzWibN2 zxShG~>l);lDE1PGsCgKJVURbWe$uikc$hMj(p`3yDs=k9Oo*IBoy?ftV-q#n2q|R` zW?U?;x?NX(#7sz*sHYtb-uurxWsIztSJd^}`@-c#lIxKwsCdt_)k4g+oUTl4Rcj|W zML<73%^d<_DaTzHFHv9K9wU2U_HS2x%!z2JLdbQd+|d1?q!d3V^+RSxoUu_Bw8=q| z2vyrhy??Wyk*}hqIYS)9l9(Z;OC}zSkact-({Q-A1*74I7y7etC0Ot`lSsqiPrTKr zEd~Zmw?F1pL))%%<X=E~vbBMSHKyc+`iUfcx|QH8{?`vfyE+bYR_~v<nnz+k4aI-f z<IzdRiW|%*A-#V!vuNeP!pY^O`A%9zxY8iLv7^d~P&*&knmS(m{-wgZ_|?NrV?z_T z&!pi!)BW^ncl-jcR>(1;M+^RaSgj%ohf=%}dFE$TOzwnt{wh7k((Nnw`$1vOg0&m( zb*2+#4iatfP%!8*bBkXHi;WtE3V^+=&Dn1O$(%=l3aip)7M{R7lt5ATUCH`em4LX4 zbDMB6erq+p1{!U?Yjx9k=eN~L{)Ye`ZW^4@*$1zF<yv@U9icEDA?)`&VD;QBX4e@< zTerXDGY+SzBZX)jjrMo#?t$+LFqk8&-%6Rx7P#(58)Rex)!moQ3?A%`0XQ>qvMHFS zpbFb3RAdsVF4|F=kir;RmGtM!CBlxTp^290O`EF8&^mrfVV)PrXhtHIUFq{vRWM%g zThZ*U$(o03Pb9k)AA;juW>1J%KRf;8yk;B|d!;g1;EUa;9k+3=l($l33X(S6aj8T% zFpeQ2_~mmfo=oYnp_~460JM{O#L#LawJi_cs>|9=TzO;y@@v&vXEZMoyq7H=m7w|B z-xRG7HEe<S78{$jKBnDf0?}31%7MSjsU0Dt1w_VT4LTKTwx;%lW{95?mzA?vF4PL? zLS>XHjthTxV69EEO$SO4P6fElWjwk9*BP13;LrzUs%-5fM}wK^fx+%5*lq{^M@}a` zxF?PJOQn0?lgIb1e;O-qf#;oD+*I^|%2WgWK6v{0!LgUCpy^9SZWDrDUkF33GnWoq z*HW9b_1x`aGp^O_jzZGRJTM-}L|XDD!MVKl>|gYMd+EPG{~LdS3|+lO|KN|C_<snB z+y7_0ZE0`(*kDHR*;&!zQkmajZ-KlphvYTd>2<N<qUpfU?IcBvCikOA4CU{LOS-l7 zd42h~kwo4RYZ{ACjBnpCT_BfFVP&^XBBom>1)qt8m~!VbV`x-3p^1C7%w~j}+f+SS z2>NSp&lQf6nlrDdMKrSvoPX&`8qU89zU6Y=64igEn|`6b0a1cfvw5WX<b~qIQD{1T z{SF%7D~mg1dKS{mt+Rrm1ZZq#nl;$Oax;RUoQxn)h#Y+ide4NrCsjNGMWN0C+srkv zkCC$#yPAHTo0#bMS2m>L!xl??xfaBddx>M96Wc54mpBpWVIo0*Kr&PoTcNI&{j|2y zPp28uyg)`)%MJ^ZK3pD3w1{wY#TFOuyLB<psb{_XoMs-&p$Hh%^<^{3P%V<`u0W zcME7}c%vl0)P~<2g+fX$O?I@*bDlg~-NzsmUhQ_lO?8%$@Y30q%8?tJ-^YzIt2rwu zo_N9w&hvkv;A>a{oih^Pl0i2KvEufGLX}V7D6kj~L7Gv5-6aGjehZmbUQ+Jx7r_~N z8R{QbObtEig0s!x)F;1itlQ6knmp~S5?ZE)iwhpGQJY;`SF#))=4>r_+)vBQJXLVI z6qso~c7$v9Z9gALNzUo-=`gRJWX6IT{-BM~mR0Y7bkD*B@<*0^N4Ur^YI<;Kw<sl# zJ0qP?cB9Cy6k{fmIg{M}_|+{*{L!?OMlmJ?!7;DBVsE+r4cboStDEH>xkOQ^Z=Cd_ zPwa(rmVtWUzGJjyegT6jrbNGUb2iq#j8pR!|4Hi@h*%k&GlgeJMG<*L{BJyp!gjiD z2mh1iodEeaya%{={BX7UU&0K3ZDE0GY-F@+w7RW_{^EMOX|E!b1A#Kh?8s_%M1c*d zd30J@gk@QBJd8h*icNuqQG|6`d|Vt9Qpd_{`QTn;n|lxQaJ?vS>>~Iaq>wf;ez%8r z>^+m^JvM~0J2gf=5H;y;0EJ=%u}=|AjO9B?$4!#r@94)xhc8A)CdwTb1Bnj-^4}vS znF4nQ#RmaNPwZwQ{m%^k(*shDVEgu}05W0_t5nFzt&|(X(kVjMN!QFNRb_LF=11fp z>9Br;7mWcHlg`D%Q}17jr|tKhbh)E3uEfOO>4#>5KPkw~L_k3NpsbJ|7Bn-cuj&3d z@<as%x$iXruWx!Cy83gHR~-x{<Q(&2beUfd%D7K{RiO^Z5<HBWR;-5whRm~Z%9s-q zc@@j}?xOei)zqFoQaS3oVcaBdp~1fU_qZ@yhBR8YD;v-pm~=Q_3CMC@IN8_Dl(hOs z;A?2xhtkJ(npd1*iX^-I<PG{%hm}FUi+ECGA2M#k<v=_IO%@W>CJheY97?{t=-C3D z2T?&?ZJYsQci%5Um3bY6H|hSg8D(h<UW}-ORzIq<r(P6bh#E#g1f5sD#{sbGhL3tK zRy+)k)dx3DR`I)4tk=X8>E9KwjfCzXln&KG&7iW@{z+Ny^6FSd*>;bV=rOq_ZF^qB zew1@&sdC=n&BQ)?jAYOEEE9sMhx^&S!|>ScMEL^2G0P+6A@mJxBxTeLr#qR1=oMSH zw+hACWv%!__%w9!^(Lpe6MHqCCH_3SnX;%mjvY(-krG}?Q4==&v)EG}mjLz;-v-$J zKMzJ<tlApbSEI+k4;kF#4^chIIUa=;lIcO}gXuO=55L)=@&%sKP|m@3`nL|(!?jOo ze`5eSy>#Z+g({9%Uo+kB-9IHS(li}~`}Y*b6UWuBJ5ddwN?#5V0%BT6^Zp=xqEH}y zu*48rI_+yZeCdw$8$xy{AZ>nMgi{juyUi^{SJqR`)fV<#zuudnG;CW<|NL$0+hG-# zr%17RLp6Np83RYOKz|~ns!)L1nfdhu2?7Ryp0U7m$v0yvyE?X^JA{(&mOuYFy(s>; zvUZom>#_KpHPagH8QCRh)nIc!B)3rUnyBY2y>{+Cf^EfQPHP(RXR_mR^|9kPIfcFN z6ZPRMeT+=$Dt0&zG4NOpi5so8Ba<!3Wm~EI8kl%;9*-X8p4~QW6kj@)d%mi&7Cr$M zeX1$n98a1FnDfsC3$7(&X9BY4en>lIwq?7i<$cNIkeR(-_K)umgsF@@N9Vf5<{C5~ zKMzQ7UU)*c)CutG|LTIeT0Ym_uptn%Elj>AZTqr**lnf0_ibDQp=DP3*=v8k#a7ax z11<p5<=LyQ%IVWNm(eGOYW+*W$A1;@cq<+HE*`#{4lm%5PA}n`v`loI6Y-i4Pt9gS zG4M`j)HuSlcjtv$5(TT6W0?V-aBSX^Qh{mhYib#WHy#;H>$yM{#(#^Op47aF=;b9E zs>GWcX}7q*0VKf8<Fwz3EjWw~5BH>q5?WAjlLdS)tpB_!NtME~6u}Brg)IhT<d#qz zmGOUuF&|UnD!y56U!SF>+x0lA^<BOM@IG6pDI35&&LR4L<iE`cArGz;`0!2DpMgOf zh<cSBJFvNAjD|mk6i;+jcjvkJ)#%wsDxyg`9+c8<Y!9268{i}`xuW&@`*rvSG9GV1 z$G3cEdKJ#XFg67KF8g>}h*1G$76gl7n{;TUeGs;ZbY?$${WFJGk{(`shvT<!84gIF zNJ_tasj4=^uLTbh*XmK1dA{(WQqMI<3^UAgSh%PHl~*fdMgBhG=g?oBBj&ag00F?t z_GK8t!*5S#gOmrChEkUtjf<D%wz~>?vQGnNn}?7#Lji$JxS$K)9e1FkOK_F1;q>Ye z^Yg@2&<9FdQW^=tPk=FIv>WE3s<yW~n{}H<yTSo`tcU9Mmx`KvHhaveNQlc#hASc? zqn^SeqJV-~<wP}%gt&L<rO+|!uOkGe6DAC*E>c;9zV37Lp(E?|g-@tXz%`<AS`0-D zs0ZNLJlWmF_uTBzbO9O1yYzA~JL()*G6Q|-^QpWZ<J%=g_t&P$=0J5ryd2Mp<<`~h zaTjQKaiROlF3(w0lJXtwf?3=q>nFtiyz?E^GZ+@u{4%tidl5$N*|79-7t@em-1b(C znFv`gOdKf$3Ze(XzS4^H+B*|?df(R#uC`GJDKgY^rB~oZ;Q=rD3m@b%giWNflDp(i za%?iPpRcj_zZ-(2?41{7ha~1K?&#Gmm@wP!VK*u$L^GBsk+3ri$cN#B`v#t9o?d+I z@h+Em$kU~6x%HL(wT35-BXU#;qT>;$a0cAST6FytAiTG@@D@#8Qa-vr3W@{fN<hXX z=5e54AHKsgE&&bV-1HhBKHF+@<{#==nD@MuvWljKi=XjFPZ)-e#5{Ha<HRkkL=$P# z^oqK^QQJcZNZDa=^kXoV%jaje`7|TJ`@!EH12c*2rCZ?<vd&Bp98_A?CSgcK2y;#| z5SjaG^&cBF8Ba67P)K-JpKG^&uFY@h|N3zzqcu%cstPz_ZNF+#thhc37siw?X!EX~ zLo6+5*Au6`jqLc$+%EuwovL>4;D27gCPAzJ%2(mYM`w|ykPkXj_u$G`*Zv(QXw>a> zCYz9x35gC$&JB1%UaN*B=@mLR{G-2chi9z$6<}!WP#*~^<V*3xJJ?YKEj?(xF!?)C zedPET_!cnf1QU-kz1bnDBxsl`mP1(l1h-2rWsEjKuk>k$?TjM%w|HX7%Sk_kW_%wH zW-Yvz*U>ZaI=|O#L56u<A}JaTUEU;muiyN`?>BQe{BkdL^bA$AcpIjqi9Dn1WA#O1 z`5?p^7a8F+7G)I!A>lL^RT<+#S{jO%kf3%P9VE~{!1?DcWq3ArSn%c8IF#>9S)jTk zCYhRr{_ze41iR;RxqI-9NU~(&qR%mv)owuCWol>dB1-IzuZC=JN53$u4561a2+p@} zD=z-sJ1j~IQB5EZMT9KCiD+AXJ!j0N?(EWa5LAazixI>^7%qYs;d50Ja#wZOkwupw zB^WTSQCjC?ARh&Hirq1Qs<kck+7(xUc`x(Vd5ffafNgh@d1u+cAvyH6W49S5(``GW z_COQr-jf-UrK1yhITUN+-AB>fXd)JrsCfIln|NeM0gEmq=0P?5Nr}6$V&W}k;Ef#n zKqi{sAE-vp@)QaPM)egnL@nS<G&9zURs#DQjeO&&3V-6ajf&BUmXdtlcG(>Kb=mV* zs)aO}i#3x-O4#4vu{(^ERvi{Dy4T)uJi7B`z1)*enBPX{&QCS;YQ-PgIpPpmMUJnB zh~D(ltO*DO$>NVzSp~bCO{?$ZsI{G>pM)TE$jb^OT&lG77}v|B4@cY!hjQHrumQRm zXA%BS2~Fih1Bt!aPgOiDtC??S4(XO=lN7`@8I`wp!AIBKsJbSSizf&c<Dm|I%}f^A zs;Mn5XmsMl91x8Y?H?f10h?m2bqEICoTW%4<*|#JR@TFTczu$a%`oC<ufNC<qN8*K z8GhrcSn%-CYxAj|l>6V`?;%hOrve!2#k&$%NOq+rs&(;2UBTvl-o#U_-&3X0->jdu zJ^n&C*P&B$TnDvjI8MUG9=xT~IB{0&h<H!{10UIeDlmBZ=dxP!5kc4JPcRAAsvJGc zNT)L-Lyhw7CE3vn<45tl<a7mrEQCLXL$XUVDnyDzcEAziQ&~a7$5kMFAc3@Hy}<!T zp>pdVi1x!CX{c&SUt3Do{K`VAH^!i;2eb5?g<P^|Eslo>0~F(7byH&QAN*k7!Vl@e z6tL~oRd(H0y7*HQF!8>)X()Ne%0Y84wti%oNNe$Y+cH=Bi799R&Cum{zS;sN?evX3 z5@l!B7oyF`u8*>LRuP?1NC7A*=FSa|7%|xXIv9-PLv%u?8ph+Cyea=t*>Qo6Z33Sy zW}T6dK)H#}7$dVs96Cs|-jR4FFB4EOtRsnero7XaMbFK$oG7v7!s(5Mi_Oh}*Z*>N zO%J`31ifxXA0i9wpb;f;mO1lHz0v3<i->x$XFvv_Fi6=kcxK?mv<SEwyjc2(JqtMx zG7w6wRDg$>sDID3=&^juq*S$BwAK>bnCyp2VA{DO%3TJjS3Jcr=>8k25r&l#-TZCD z_+%w#qxxwBlv!Xd@`UMoj;P^L+IiYbtfHQg1Yc#C091_wsYHy4{(g62Rg)|@IK3z2 z^3}Ok=CHvk;Ub|A=M%uqdFL6_bvaoz^}1dn_eH?ZydoL1bb*El#PQ38Un<I?EDpr6 z4&?p%MvRbYf`AXRJR|^?FMgS%+|K7CRERIcB}v5sV@#==b{lr)^-6m$yrZGMic7~p zS8pshID7IG(}X<C5x8}`M2hf{uO;!s^}QIopY2{ngkQtE%pLg0adLt>Es{dQ6iu!D zpd_hxm?EjKJ+D17r8GHLLDPJ>@RM>@ERQTx*k4d`9)P`oWL6GE2*^t<Z>`n+jl8G{ z|NW{`1&(yZ?O<<&*uCf|U`K^yrI*NDc}3rt_qqV7LxKz83P%+a&O2HudLf#n_L2u` zNu2G0Yl*SUein$Tgo8dw?5lK@iZOO`ojuMif1<6m;WhnU1nKP&po=z=szE$kjU_RP z1$D8pL_YwPh?=AqOGlQ~$5?cu;9i+_eAOY<y0+39_`dd2z_E@1N7?^ImO&$T2<bAJ zOChab8W#CzH?V$$yOZYk8=ZgE@b0g^5N_isf&<n?|1)4pKlx7$7wqW9FSHnTDH;-H zFVGxbGSA}4;ZzQk0kD~Qge1|5b2$F8mcQM^o7clrEals=k0tln{2yWx+Fyeq#5Q5l zhe<apopw-PO+5XG$48zHKQbv5)Sm^YGy7T0a@@i9;?Lla7z;-9x9a;5Y^lgLJW}eB zTQCX4890I6vsBT<DLwqG#DE|g**nidOSu_>Ku=f`c2ABqb|+!c7t*bZa6Dn|7TcEO zq-0<D`R-@I`lNSlW%TeBiLMLwtJ}mdGMcERGEj~KXz;zs6zycLb^-J8uHM}5F2^6# zL=74)p`Ec5Q1E(=;N5hMwpLl`#B$;2K4LcY_BenJj~YkthE<D}&^huvC#dxYVUp$K zV)6RE4r=GJG(Ewh?m$339-}#H$SDc^bKK2}ReSz}Fv5a0eYA_$U)Yn@7MLGH+Q^NJ z7qD6x?b;6R5gXgIW~#xSL~ox3>YkS4%19o0lAG34?iLlo#oslsvwQq)T!R$NE%78x zokM`b9$alvFSM0O-x-xghe`y3xVb#UFNlFV2IK;w#QMfPCXp^l{ZEravpeCxkgapi zWR7#JBVD6yn%azHc59;W(LyyQ<<qe|Ju;KQ!~x}hrn&Ruil_yt-+MyZ>+SJp&Q9V{ zF%PRQ&*iT<HO48(f_I%zcjFDp+9UDwkne%`j3ROwbpm)PEeq21HaVrXY)HuCc@~u@ z#!grt959`r*9ks{O9)hP<P5{6>Qzp5CD%iesBV&nlW>?i{i#Ml#<Y%dI|Ylw=1k;T zq{@i!2kF_I@r+Www5Vl*pOn+9Hl8hGs1tl?oAI)7hPSmP>3a~sU_+BZ?btW0AbQ}Z zt`R<BAo3PCR|?MdEwf1Uef?=)A^}Ydv!>CnrwTCJuGcx=kj%IIs^ynOUC}0XNDO+< zmA_#Z+O1t7%F%z+OV#9W<H?(i#9|7N+P}ALc*d9EB;?u(;dCVtvYVw;e@3#ph~S() zH8Gcr|C8?u=TH-`%P!|!@1SrveI*8rI}LunmTfh?WS<|7wNr38+}9=<2Q=%vIa(O8 zr>+#^*Yl9sH_VRUF=|^xQ3|IgS&yE;=yv5d=$*#UaB)d5TMd9i*ClYGtIDKuzN}Q; zDv6VB>)LiD)_c4hVjj6wE7s_dr#s~rlBICo&zC0X{E<%C%neUWdNLJss#E}8w_qhy zyYZVh^)ZY}0j}c->4b0|Yo7hT!TH%m1T2kMb1+|@TxR()4kL}$^E!&U5>dB~wSRWJ zC{=f`SgdIr6Q!Ku6ta)r5kt%aSq^iu+Lqsm^4h*Y86w8wdu30VUKu6qx3w8?h^!)U ziS-Hpey6=ebxTK9@utUC7JCNtzFv(JgJ5!#hc4(%s`zy&X1FAhI`xUZY4BXLv-xHY z@CK<PG~nCz&E2y_K7{C0l3HY#RNwh~{*!`s6mwavqO)uJ`jAxyF%|zYQAeLLkhP_N ziF$1+PJ6pl5|x$%r;qy_H@dEE2I;OSyI&MUoSAIeEvc&pZq1q<0s0eI+==bh1@D5V z`wg3yfkbjQX+_zUap0DY)xVuL70^{;;>9PDPNn=DS82DNPrUI=s*ATjRrpIs>djhg zJBm{DL%O}h@@Ij=yRm);sd3&lH&$A6UdEdAjNlxYi`IIT0w}&erXkW4d%P|jihe-o zVS^)8D_@h|E^(>imrn}dYIp=j(&_6)Z2o-~LbKl?45l%&tF~i!+E^OC$G>-nWYAN< zU7sc4qh<{<|IhXD1wY6`KD|H@5e4k??cH8|17)<BlMEB*vZYn){*-d)9|TQ^_`neV zRCr5o1@LTJ{cFd2RG4*>XlOGRGjr5H2elNb^;+ebq9m2s_}4msdhN1AdCWXoR8{O_ zP`s(urK91A;tdbyPondELgbQunDH(Go<IZ%)yIn)+$sfMw(Y5A)Mz_2K4KKnZ}o2@ za7+5E#9nG}Ypseohi`Lz;rURWkSfB|%qkU{^QKWWg=+5nkp`f4pLl%yMF3B-<m?T% zy^7y2_6i9<6z~l|WChldf3y2=`@2Kj@TS)=rK9HbqMb<1=neb%$}snPdjj}6S#K53 zF|0VG4!Z1y7KZ81wR}}a6TvhBPcFeL{&=V!%39LB)0b}9T8+C)Biqc%BUM`O*dKdM z^@^`jj5G90JAcnt?s-9treUF|$9@+1gAb_u4K-~ANs^oe+LVtaRzJPx*D(hUJBCD* z@(x#jK&i!e&Q3$%tVORRWzg1a#E=l#;A9z)+WuImoRcl84FEr7yVk94M~ygwzSt~T zw<nvrzbr*s5*TN$-q4hD%9s6PZJ-F6aHsyKRR(p%i(zkLNjhEUUR7A~^aG2GAk&Zs zI;!yM*Z=9`%EO^v+wkBJiL6Zsg&2Ef8B0i?;TvoAtp*WeY%wZZejLXx)TA>sNDU(* zMUjkDOmbvjLYgqrSVv<V#&)LVs?WLf{qbDa`^S4f_x--lpU?B$7qwM@m1>SDBn?5O z;mc2#MNdUVX%5pGoM1y8x~q4QcaE%}JqKWI_pIDJ1lk~Jm04BA><@b#y@uZHGVhlb zurgJReC#eXCqw8Xgag8tTb5*H@tNKA5vFEJ^)JVG*(S!!8mmA@ujH1PUxF(Nq}t^o zDi*J6&07Nc#v13qvekfE?UO34WVN<Eq`x8MFZI3g^EKm=(?>&G&x&D!C4KsYi)}sQ z4{}%bEe@879c)YMxJ4aZ&DH4!r`bb~f8xScI;QgMQFYQTtCOPtdCXV-g@i(Fl#<`d zWaqxXhll6v!O6R0P<if58OQ6Rx8BJ0%KLK-5tktrVI%8$NkgZAwdsqSt>$yZHouQf z^g?OFZ@GOplQ{AH*s?6cOb!~&F}k3kpe;Bym#o?s!es4uiH_Sn1yzL$VOk+vuVgao z4ow89P|q-WG%&o&NqK`%tQM)uPxL+?S|@%O)sAtimknqpiq95a6dFRx4W@Yg6%x4& zbFZ+{Eopk)L<PV-Qz6-mX&W77#F+%@BL@FrxQhPf^H7({$Yuxd1sjj}1cYJ2f`-yX z=b=wQC7MT;Pi7e}WSoV3PL--oHcgD~q`yJWQ&#F6=t@o|{T8wvq-b%~j15AwSgP~+ zcV0og8lVvgYi#P|uGk{B)HUll$rAjaHyM_mJe7L<Ht@<@E^I9J^M2n_(uz_^lLdiv zO@0gi;B>9S8K{S|;n3!>yc2AXHztB=cGv5^-lKz)r>zw?oHWIrAZWfe86{mEE<a`T zvF=X)^89l+4Q#7obXw%R-9ke|$wEpFn~sx0G32q}3ou1G<I#Ftv!FG!fXsUn>FY6Z zV@dDUbTDu!qC2{?Ou5muX@FOvF;=c9?vV)^H&=GS9ZPs$_o3zZu_4|BY(m2zUGo)= z+$BAn;Njj9%8##=Z#*{E)zh$`xuV#!l$hlfw^7pL7_D4b;o3VF*?xI->@W9362sc* z&m`r&8h*B>Cu7aE7;qcWrvtpE7+bkllPD-Tza7XrIshOdJ}c?fGR7$zWOWtY_vC;U z`E<@d*c6GT0cIj)l~?kkmQxnc?WT$^*I|2oGho4VkJXl$2mjUn9Bw+7FGT$$;VCR# zP|lxo-_Qr=BEozfL)X%lfv#zN3|zZ2m9+wBU(lGLzZnr=0|mX<LQUtn=WT>ojT#fB zyMY#59h;wg-vXJxwwXK~lh5NP=I<4uWocjJ)@xpNUGoN$F=>2mq_RJa9DrV~q!i4U zF*g*@Og(m7?YPM+hI*h)$4$q_xCbssCY|DA^XvwKm;o4^ux030&fK`Nkc)+`q95FT zIWy5qRRHNzp#^6PsLaziN+I_{?AAFz&jiUm9Cx`Ua#WX5kxZ(18v8t*oO*8d0E9Nu zZ<{~s_S-!{(Xi}my2O6B6#ua2vZ#o~s6wNrxPoEJ!p74=VT;}u+lPDxYZ*r=8ZO26 z=Eu#B)bp3Fgq!^i3p2Yd>gue3OO#4++9O(_(Ej8S(VAbEj~6m<>F&X#3`G4np!8%b zuk3^C$%nQFycD_Rk~2Oc)45zx2-EB+A=ujy<*dVthq=cywCUYxa#qBfjMVDxLEAyY z_jVU*3WH=c^{_5)#=S7=-l#vMT0B*P2tKn>5ncBT_H{WJ#V8OY7S7EWxW`PDdXUD# zvu+Dl4HQj;cRCTxkgl=&uw_q%0fJ1;3A}zjqC+}3`)$w7V>SssA_*;-f_ZUAll@)q z_8k%wv#ddK^C@oTf*nT0ig;HGGGGA+JmPYWA9MHOZj?>=tbkM^c+tM~A!VFiUtSdh zeyz$k&z_=ufLk_8-cp>O<g1hge)LwYdo$P5nLX!xd3~sNT1Pw>1J4x$*wIRjoz_dq z)rX$(;E3(n??TjC%?^^7#Et#o7K)vd-plm-*ra4hiJCFD?vf8_Tn_t|V($|fM6tpF zQtSAUGviDl6BNKW(**U=Q>d78aD2(Onl4vDR95HZyV~(yYF9}f!Q*~#&*k8=5wK7= z0L`sBDZHST35ZzxN?rr@rlCWDHqU~4xdQ2lE4~9ff#yO(0Y0T42#-f82+BmQS@R85 z?FreaX$X$nS?ijk0esS`GBSZKW!sk{Ai#5V6Ic=%*lL)2W^n>eGpYt;%e%H<P8)Z& z)Ja>`DeD32%A{Ej`odBx5WVTwzwy*htovEyW`*&E!y<ivwZqj-^AV4m7P~=J96C>o zLPN`=sIg&_{dVi6MR#tm8D|!f5spHXTP1B&(xiWj@`j)f=f}TK+2)9>8J)6VV;AAr z!N~{n%>0nn7YC0o@$XwG`r-hXWx~?O3NVO|)j0%#SVs^(9>xyB7_p0s^L#V@*#V4! zTS#!^aeTS99|Kr$|B~dV(+dbB{+;9t5k8)a6LgJJ68dWn*Pm`AAke;T*cvX3m!&Yv zpJbQzj`$r`W4GZ0F`kwp$G<Mh?uh@n?4in9tA(&acpH9DjKwbw{>9H?#w;b+4Q0Pb zH1H4h4s&$ak>s1mZF7#>NZx$Sp#OF3amNJTRQ{NQVGT-(eNXVMjEfkY)gg^vh;-dH zzpxE=1w*qsp!qA@e}1%?|6LV``qw9aZ#@4W$v+yutmg0k7IrRv8k21;W%-kuljTJP zVu|Ae3H-(?garts27+k@hq+iGgEhR-TDov+GpisQ^w~gvd$_B;g$Xju(g_vlr|lnv LbUv?T7hv!Y2ZXPB delta 19056 zcmZ6yW02-h+b!I-ZQHhO+qUhyr)}Gqwr$(CHH~T8edc*iz28^wN!3pN>{KPWR`#{B z*2-rd@Ov&WKuH!93=Ief2ns07&OtjuN1XQ&7zhXx0tg5a2pY)D)QQ2%-cDT&90;`M zn%#0_dCDPM2n}N2{R@p$<BA0ARz2}5Lf4Ll0b^fQ!wT+*i9Szw$cWefee+H$QC*qX zec|d2;ExxFbacAZ3j{e#BR3(YVlM8J=P_y#x0p{37zqhpp<iNy_R3J=NJO*Vd}HBA zi?b#FUSz#QdUcAYP%*@RlnCy9^qMeCtIhUH5yPqZrF=vJS_DA_>}(JWl?wFuYt#1v zA+H(vwi?SSYPE|cnyEg`f-VMKpg$&Ph&)|g8`Kc8C!GGzo)|U@LspsFtE@754u)ga zDnLme(10G)Z9yf{wtGs%46CKi)q~;hi#lf~sJ&tQyQ`>LuPGzVB%u(dFHuZB4la${ zWNmv8eH%lnj@uoic=u{nvKuof3z9b(B~GDDT<mZlMHv%ciTKZjJHe`17?epu@ufhf zZZya}zWIl*b<?fh7}V3P`t)4zaI>5<lpYZhpf5eWc(d>bqKPajJ#6{bM0(_JjUN{P zlr8#hY$;s7MGyxed`EDg<D_-rzS;_s;Zf;@BX8?0HDRE~?>pls9&_d?bSGp&9YJ;( zVe>V1GP0S}ZpzzQOsUnjjH?%$gC^s5H9o42Pw^njI>H+Pc)&d-HMi`2d{isn{H9H> z@La={f03qO9w*BR?}0b3Rd4I%AOCyPFdc_S#`)K~hjhU!w*b)pjS`!OyH(78C|Ujw zQj&?m(GXnS+)Wrf{s$&aK_Dc+p#SicTn5VYAKWx;f^q=E{|8`E3?7y8|4x%i#s{YW z{0CCNQowEBT6SI<%v|CkME}`X*jWC1WM*ZmwglMI^6vG&hB;4>ppn%JH{IdAxXT|L z$02xn)>%;hRil;y;lWDlg+QXFR7+!}zPF6#^&@mKW3@!v5~UPVp@uSILt(1JW2a`t zKz6@!&S}Av%2-*PNl~G5<_F09l=air{IRV1I_wLYitGp5VpE7`5ZccKsOE@TAbD~U z?gto5j&Sy9anO=g+u+k8=;FGRzNt~s3Ihk|ApU;5T^)u<{1c{fZxP;YsNr}c#f&%{ z5dg-{*iKo>#R7tg$-903^b7F&XG$pZ(B$^L2o7L@mUnk|mN^}Rd1Wj27nxf_#IQ)y zwKG{V#dPZz@3(yQ?Y=cakTSk$CpqZnJ8!l?W=SA{vSP?|+EThmt4T$3%S@#IwodQ$ zrgT!-ezD|s4HDEVYQmTIf@~pwxa<F}lyLyUy+1S%&>DKO5F-}A%Gk;Le~M(8s-<hE zCyBkwy*t%s>DbiN>WY%pNG<=jRr=NeZ>$j0=lPF*Bc|t^gGpI4B;_s#L~?0^HLioZ zby6vPn-evdSNS`HA{32KQ4LArAgH`Zs0^(aH4!n0ijbPRLBgb9dXweYabX-@{#);x zW$)Y1+Ycb~=)s8u>2;0vvUX#nWP~){JiAA-Y4+f)xW~>q!2Emv)5TG@^>u#XXbgjp zuRCcb{*<Eec~M29|Kze^*X3rNv?mllJNTE75$!jk9pXXVc09HtB#7^5nE6nEs~ATm z8JuQaw;#I)e)s7YDV=&FZ>z4&+H-2QLm^*oAP^wB^(xHw?Dq2z875?;3uKm&Fw5|a zyx(XciIucVm1)?dMPs0*MdqZFKRtwq6&!;JoOtCbWviqyIfyB`#SAwbjRncLLLhAp z4oJ45bxz!$_h~vX(nDpn$rQ;{n)*uB`8Qvak8P)hoM;d^AMJn{(fM4-AIk(2>D);m zd@VrjrD=i$tD|+4iBx1He-)dVmWXoF2H22YvlXv+ml{Kb(^HoOL}zzNv?PRtb0I57 z9F-d_xn-wnZtM^QL{RoMQ9aiFl0C7oF==#F-UYwDf}M%;3I>MtD^Qq74S{8K3yKqd z^gTT)6?}GsA-ymYTJ0D&`(ZGhfK_4$9V_7D8dIe{^fd6kNL^|Wew`mk@HdM@jFA)R zUTu(X!DeN8NGiDQM*U@tIt?g3cKgH}T8cw+^a<KF9jk&dgy~m|k{X1AGIP!~9@VMt zNSyv$e+}J<C~`K~_9*E9yk*YLP<^a2Ah*P?iixucxV0%JO*)A%6hFp#G!<qM_W&?t zXI9g3wgGfRcjWuW=M(B!OXqat(L2W=yMJV!X+5%+V?jO<e?*~600uQCwO+AIwO&@N z;$X5DO%CRgO3s+mp>b{R!h=c<S_Fp(Ih3C+M69h5SYVK)d%+pEb&0xd1+UnN*EGrV z;<>l6XvqoEB1LA`IWNPyeaX@#sRIyAetUj@FvtK;xRR*{as7u^!#!HPYlCi0f4dBB zJ;1**l!fXsy5UAnnd=C{2EWXJHjO$(Ya5i0I=pb&Dqm?RNoioXhW#Z{+-;GmhBrvu zU<F^ebTplx^K5*~4FZ2-3O^Iq&5U$;W1MKMt#sb#&SrwKj*Knye40B}BN-4cClZ~h zKE_~N7RZX1W{0?YB%HT~ZRL6%w#A?s_0%&+1{yay;re?h9)3EkmVOm8oPmIwug_WE zd7Tp2&<y6;l%itA1WJWz%4Gc>FJb^MdRgcCQVyLl8g$xD^Rs1ls<3Z0-Z!CcAml>} zixMS#^~>j&*4pW5ob&;j;1n=vbbRU#K?+dn`<k3lK|^Rz>e;GLE2<~bnSlr;h>lMC z>$cfK!t(?ajeg~!Yo}C$9SW&!)w;qkD}KNP%ih_PT0uoOxq40Ir_%Z?wy$b8ZoOt& zKTI;>ldcIHsu(@-hOS%QcBudsS5Mq+baaaZYUY~U#7&7H`2ke?QxAZ=Uw54bMTJV^ zX9_hE56tyu9<Y1|qVyUD^$U{Z@2B*d233uN9@Ewel)w|H^2*^w$VWAD5s~|R6X=iZ z+yBLf@Kb~(z`YPLa70IvttzcWeh5yQo<Dde?PUUVSPPII{A9THM9ISoT=x|j)aSld z15rR(ww-8z<|0q5F9F=588d75!*{KZMqjZVc+)H}@x|5&u%Mgin6g65Az`GA4DV|n zo~or1%4Y9Rfz3Ip``YuNOgfZB&7D_*8xniL_;@P!sBcd>K4}=E^~z}JOv25t4Xr_K zMf_n``~Fc@e&sP9;6SnF3T89{ciT{hHXY=If<?c*D#-lhhyqA!&h4;h(9cVAG*f=X zK**(Dos@oUg~PZWO=$v<@=2~c*_IxyW|glClgoAG=vFAbB816Y`#3Z%=i&UiL6Nx9 z*~qQvf?vz4;l?^Z=K9+dH>UDRfH0b=_cY1^vPbz@x(tdIPKbnY&hf&b`;i?c4i^!6 zFb&J7AB$~tYz%l>{7VVn8EQcMh~SesFZviBl6H3WC@z9|RtM#8@c9`zpAPlK-T-3E z<^Km=zzd2<2vmbewmS^iC_;kB3;`TO?DLPkh?y=k1eiyE$DZ@S9*R!k9CB9S%Mwj) z!720?c;V3_NTEH>A6xIh)>?8LEF|(9RkR+&AzVS9OhrJ5u$KPUOQ;dn>^GVv$HBH> zfJ<auUdHWbYTGUoRYMGug1wgLDAqtB?zF8Xkq(0D0leXG2D3sbh$pVP-opiIR==Zy zo*Kxh!skg43RI!ctv^(RzsRrs_4&a5<g9+f4}xj~pHq5rre+01xS8O8^G|yFw*Y=m zh%)_JWdNWBFog@>dBlYaz)yfOG}sz;5iz}38QU+x7k;jn{9YliTo7XUeQNoA^}XQa zpGOYvMulJX6izy*UORhcd!SlnLSm?1I;gKbj81orlt}tzKvp1gRv_u0{9X?!lt#6x zM3vBdRnXm6u-z|lZIBJ_Fd4l-_r_ia-CQhfA%GKUV<2V9h%<D_uu)<yQ_@LEm+opX z$_uHZTQvhy(hD7z?p<(77`>xgJ3Uj<N@<tw$zv`94IE1$r`TAoa<VZ*rinXL*&{fp znwEnRa&7~+q$BQ1XNZus&D*R*ygKp@1p~f+v&~{-slX@hP!f;)_($$!rQ49P8MyP_ z04{in?y;;youd+DwZ~o}vT>I6qu55ZEeCH@+<9e5N0AlIUgYN)s)wr})3<#+6pr+u z4oE3-$;1ub#K?;gPHZ&CrfLpYu#8U_*E2im<Z2RXD7@grX+)7bQo|<#TEg8!T>H{S zp=kS=W}(~#i{{)8Dznq343W^>O^U}Q0Pr~;=@{9da9xzH-yHEZ=*ljBru0gIH)-hV zf#HiR==*OLBWU~LCL?d)c<HGY<Y@aRHsst=lRV}F!L*W5+DR!Vltz)F%IY8<O<;}{ zOgVzF&Zi?khh34pGdb@@S~-GlUNfA7pReqF$Z=S&7Y&&JP`DvmOTf2-$mJhKK;3st zn@KUn2vS5}w3F)g2<a3po7E17)F~Q%V=Lm~Q51qMFT}aDxMX#Mu#d=s(GHK)jf&fH zr+LC|jnz`8cfu?!kA*!hM>3zpVZ4&`XiYX5bzi(Ri?MyGnRB3=4(F)66NaWw?vbP~ z8x|YrvXDf8I`!$f((>^hr^oegMlfq{1yX#u%!ZPY;E~<MB&>)?g5#zr2ty+BpZ|wr zQ@M47C7)xH{f9m$BjKO}xc|$ExtW<D^{AF#S(!Bm%0l(_ie<v+`=NrxXnnu#8TjPY zw13xP8Mao8i|4FGNI!I>5E+|`1)*4IqeA_KXn@U|?*evKXK?jG7?oMyk$<c`$B;D_ zjO}`8KueU}@Uq(fd&TFH=GwyBs8qMgN+3QN(|?pzj*YF&Yy>D*%Qjp6wI<cDLhZMW zhO+iPr_&!c9oljBLjOJD&ax<n`nrP=qyN%lU<JA)&Gw_^MPj1}huwo;$kMSFaGT6; z%AB{jvtUHfKztE_wsq6hv&&vIHu^qtYN}J3aPcJ`liXY<N!6M_OU*r#g?jooBW=wa zNva#Hcm2ae&=YWyNZkA)Vk<r;<z@tZjtqp?iiA+KojP)NZ`ADdE{ygYTtWyzxFf>_ zutGKV(X_i=j$(cTH-Y(p@zp};u?M9d2|qWX^>z0E%Mbk&I}^9r9iE|``%K;yvZ3=y z5v0-=|LfwDL(;plS44FDzTxFzr|~N_LU#gff*h!=Lk2L8xp&+|g5Kd!64KO_)#7tr zUTsC5rG4ac&jOYJR5SdM9Th35)B9w#G!u>nJ$%?qF&mv}0vhfxJTFzF3P~i~BB~GV zx5oaC*fjP%+cj9<-dB$b?yO&^=z;=HsYG|N<bF}h&RG(KQ4OCE!wFon%(7!mw)&?? zQ3-C*`WAoyy=!*Ktmpicx6(2$&uGwYsoU@QEn$LtGdawyXxBW!PDiDj$83kyet|J| zpJ<2Qa1_{W3!&LU9IDI#tWn^JNT!N5Y0~GIlrnf(KxGX)=Dve>ewc4YL@kL}612ID zTej%YahxCdAPs$Ar_~ka@=hAt%3OYJU$-5uI}Hf#+J=5{l|pNd-rqnaUwmZO8rim{ z9)(hQrWHVFqS325$uVLV))w`p3W!fd+LHvOE{AXupTemt1)ahktzXWAa|~GpD+STG zVQXp?@6?~r-^WyGNV2<IrSC7)<l_`n9wxi{VFpS?)9u<$Sev+N*AGLWcf!}3lJzKy z(*;yPPhL+o<<A03(NPNp)db@7pymW#uet5^pNsluYNs%I4DN9zb3QO2a&PLMdEucJ zz$b)h8p0Wo68h*nTv_MqK#CCV8x0JM`ME7aH~-FxO;&;!1rpnV#7rkq;POTW6m))9 zjj4z)mwV2LuLa*|SPFeD17i|HTP^~wp8+vAV3lo>()f6SvT4Fz9{m&1^P9o8BXH<C zeQ9qXG)5TwA^SG3Y4tX~9l7e@Mqe2LBmu7A$(jkdhZ>Hd8b88S{N3(=6gk9FiJn$% z)yl2rlIX4XcaJ9^EZ4wmQ8Y{x5+oYy1p*=hiQeWW-GY$A7dMz5ldgK;dM-7<H()r% z^U%pz33bQoP`z>p*<y^ZEY;=bn?c$TIjG>dqOMKFMjh_SoorPL!`FoH9q}2qOL?JC zAg#7y&gVF*Rxb8M3&{<h$YHYfFit`@vYh2*@Bl<d0{&KiTKIycXk=-ce`$%R885@E z{2Uf<%&9`V$T5wSB2rzqVLG5-7yz+!KVx&wCY&Tp2ckq(onX#klCN?UcA6W~EKiPT z^-coxZ0Qjt!P|3*4<&`$a^Ey-CVp4t?}yn=#}rsfXm+^72fd;n=TRg;cw$ed^<{(h zmpjW(Y;5>1&4rLUbh3<%AKdhGPApd3;f1>RtRZKM3Sqxb*atB*993?yH=xx5$FCyU zAe|`I$`R$)<Tt-Eqc9pXVQny^;H+;eeZCfmg>AllBF>JNmVI}j3>psT7S&X_3O~BY zzGN=2{6$MLhzzx0U)5BGv4(IWyv7bz+|o`O1Midk*y9j<>hvvEwk0#0nBTIl35n(> zUId;?L8I4xCWaeth0|%jE5JIq#Op=PpNx#z2+jI|Ke%Vhoy?zaAztZvDQ-Wzyt#LE zmK6cI_pFjlrj^PXj4M%;2K0w7RdrqATc@sQ7+rL-Hr^IZQsl{AHFg0EN1<Q{j^{({ zfkc?C?(HkYuV8c-;a=fYJI7ey)EBRK`NOEB>Un-brpb~NnqupW8Ia18)+Cvx^0y6- z+txXF5a~7d`|ODks|Y^Y6&~WMCLB6s5!7}dprBiPaHmYm*ky??@Qvbbu<yFPH-l?; z{M?A(r*731g4EtXVYLX+IEaK4e&W{4Gco&hI>BVF&3>t7S4jbnKM-#yrWoxJD!#rB z+WfmjEBkR>E_`j&5^ydnz|rDUiET|BvWw#untcEqGSovIO6fv)pBwP;cM@C?M{#H| z%<jCJ19-@i(FDHL7c{<qAQ9C#u(rQX3pt0uRuQg?mjI#Fz~x<JCH1pX7Ku`*!AmcG zq~r~Xv7!=Fp~yAqo;@rTw7XbDZH&?$M$_m=_GMZ-x$J${9>AF6vf>tj1~s<46!x+G z8uH<%ZA4{4b##+yj5Od;_lhLgHjbSB4Lc1l$uhC9h243{x?pnyq{ytu?A59O<0y;n zc@j)8ho^(*$2qKRUA;^+mCXR;Pj;J1t70s7)6Z}MRl-BALFQT?Uk@X{=RF4Zw_8Nt zwinfnzmRf?2;ltXYj7WwYO0|I@fZg)wjY!@fca_todZB9mx#o@)+pX3VEl^FUvcZp zpiBK=uB<g&m3g2c?4_T{Ji2yu+aII9%&vKXsnTCi^ug^pQV7p+xr7;<*VH)5-)!5f zTS^4oIu+Nr7&9JX=FN7Iw1gnm-J!I_Wu&=>_+AKv1k8c$UMk20rpvXNLv;nIUtB!| z--gA)ydPwOTRlenEf`8;byo8Syn;9XY0rM5ku}t)B>p{%R-sUuU%GZ-yxwmuKqR$u zHI-P*Y4#I_F{pQB^QHwW^{E|J@tcF0$fE`A`;9PU7PziYUplC+2)O=D>^@K;w3vOB z&<Y8q5kTtUtR?zvpdFVi+Fq4y8)~{*_$XOx%eEGFz8#i|6S~_0$|d!yb`tLCGX3@g zag!)Alf(iJriVK%k6rLRv4p6EQ5-5Pdi@wJL&$};1PdDa1GG)lwxjq!uPsP=^}3e; zInSsUB|}TbyUp5wI{%dggZ&ge*}UHGDmXaBP{O9jiC1X`%mksGbL7`3rY@SI9+4xn z@CR$Qh+M(T`^PmlPOfe&o)OgB<o{@3K>yXWJ?O?IDF10-{~2ii)JjwUgSnZ-|0jb{ zwJ?4exk_G10m-QAA<^|mU2-T@j0n)vKh#DzfQg&s5ouFNLyz_MxK1)srWCAcyBv{j zl~SeHV}d*aJpz3JbyYXXwEWsxo`V0I?6G@_4V0K6taht-tERa{|yq`+M)+0<SX zI)EfRT744ElMIlB_;v=Kg$qa@2%Lrsz&&c%C%RLybO}+uwoGUNHBIjuvoq$)q>mYs zw0mM8PH&V?<~rR{6p1_&Myi_$!~?qtL<9l1y#=c@l80G-oWy@X%xh3W!n534vmlCh z{3Od9IxCFsC@2>r9M7i<z=6HvdOK&foo3s~^2<_?&j&0~(<qN6>;-r&I*jxG0ytK2 zCQwdJe|tca7e&8GDWA%pGQP0)i6_Y_;9Kfmtf^JMCisd7LOh(y=c32A`Yq6E_)#HF zkQF=W*wdlqhAi1|oRhQ=Ta&cYA3A?|B@@TzK_ZBC6-);Ln#^4zQTTk&q+m2b?rx3_ z4FUH<bpYZUKOF!P#sIFJmsfDCScrYkw$i6;#?AM=`=Gk=@>BdqskF_gwQlTwYlNl2 zpx@A<#x`|N)063+*<+uM1MyS&GGQm3wm@gtoKj^z$f&i@x*90&Q(a5A<L?8_g}#Q+ zZED0#iHUao%`U=^KQ$2dR7LVE47#^tZmq+?R)Bz;@C4r3e*y<>{5j+VTv#{jpX>(m zPxy{5%E`5Ld+93Xl_-pxGsR#JSuqCnitpDQM!$x*I!Y$g<1v4qPdX3ihfpr2Ru?pc zT=7pNEM0B{G@cv9_`U`Wd^Yek>y&UZ{k5DlacgyXRB`2HHg)upG<Hk$ABpsU1V5BY z6+rB8UwqeVn}NfbH$fQxDDE)VUBe6<Q9J<%C>ohpw@lYCcQw%Sk#*7;drf5)W-h0) znzuh~-OX41z0ZTj1M#<qw!<gxDN<6kwz5qYmCj72eQZ@C5xL$&W4XFKy123`yK=Ne zHt2!@Fjr9z);bA9Ys9HHmc&9uWp@PYH=uy89+KR)T#I7@iH}3m3?9*8iG%{1+}2Bu z00B7;M4OKaak^wg?e&?GW*afSRgK8Cec#BJo)RUJ3fW#&Nc`MOlvciC0Nthan+Zn) z)(tgWB9zTb?}X>dI7xWf!Pe>QPQTZ@8P4GRb2t7~mnx6?n>gSrHJ^;+ytCF?8-P($ zX;VZMl~r0%l%nIRK#wfF<}N?gXr-?H-{&QdUb38(cj}!NZj2RX-0A^+)k#-XN%ike zbDN?Gz*U0EWYiCZJFAQrtgFK9Q@xOCByJ&4`Jxn7MjI2Pcw&5+mO<eVuQ7FG%LTvE z2JTEKs!Wpjy%3Pqq)507@GJl)Pv}$806Jd8v{>($hs1aK;NbyI5X;)>jQj-b>Vmj? z7h*FAT8vKlY%`=d!oKN<zAIg+MH3!8!XTmYAYItPOl0IlGHUAIp!YCHQ6SQ3%?K_8 zC_)Kbc#uhClFm?|#34u`aQ}9|#35Kka3mFzt-#i2qsvBI!tWgKRDi#viigjd<vZzQ zlCDf^+EZ8M?4$1nCNAkYiV<P>^p2#t3zRg11I9vetEwEu;Blggap+$`>*h}Hx~>i5 z->4;inzyfebgf^@P&-Hjf{@VFjMy@qA!BpGNp`5v4IO%hRMxdFV!BEeb{+=HRCfgM zzRsImy(twhzOf6M3xH|UOqm61j>#@Mi!t@2+Bu?=`kX{h>t3$l&wsC)LGhUhf(TFO zIy*cRTBmW-L5!7W$g`dI3=k-P)R<bRvn9Z4x|uW1mPTS8r%CiY_zVwq-DF7J!p&FG z?l;;xIO0nO1Z5%q*=&jBI!vEkvf*U9!oL=dMNGfYQgfa44j5h8*ZQ+8{5+iaIUIR8 zoH-qt{23W+M)W<7g_b3t0s=b)#Q0r`AV#fW*r}a$605Ko{q;gma;N82v@Sf7XLtBb zv&4{L%~7P(@32Zei)ft0V0QTk&r0guH&TcRrF`7vi>JLU%{jE|>R|-r2SH)VE?!bg z?;s8OjvbHv1+W-Daz0Pr-r4WbU4`kwzoK-8-B}NfY^MPK=%)x#R4~HLfp=>(5ohCj zqXq&-gT6I)%7=M}3MA~`4ong{6GO8fL?dO1B%4gh?msuU6p70<I-{hD0wcr!^SgZB z^z_w>oJIpxG|mNwi53whK7w5w8*x0%EHZpLCpiYf2p}6IXBtsRC&QV7YgQUXC2BLe zmORHBt;--N4}3`rf(8hO^@}StsCW%3yy&KPCXFsQ#lFno#ttuVD7-?v4~C8QCZhUH z**jIeh64BJ(g$Uj&Y@`*nr}daL(Sj=YgHC$I7g!sr1+y^arB7Ie9YaJblOfXSz?=y z=P^sU5)cG`b5M&(5A-zcX_8NC*Z7XyAY)0giW0t*VwB-3)<T*I2w`u<5(T}$6Ma>1 z@OY9xTwi@Hh>1Wa7`$c!gMuB1(QUmWq=2w&FOw|)#k}nUpPW^nJipV8d4~5>0dLl# zT#ai;bz{3+RX1(@?UzCM-8j&>MD-2AJlovf57^F3ag6XSJ%K-t^Y$OOWUbN%s$%uk zs&3o2udaz&qa11W;SYn+`GX1>Q1~vLVr5jz{QFTIs-lZ6XpsS*)XY#4d9lt^?8OF) zgnzOAj1PMRzA>dxxo_={>>G>R`gL;wl^Ixc)bTWMR=<onlmmcH2;>0HB4absO&TY6 z2*41~pc~af-Y^NmEJFCJ`%DD3;J%&bfTMBzWX@p`aa4LVV%@?DJ%=5o9ia~LL0MIE zx-n_J7oWIf2E3h)%id!>5M#UExm{#3ggz;xi)AUeB;8|ZvEYbJ9WKYZo?Jkxq{N^l z0ma2UKyFFqdPLJk4LY@JdfzSy-lEn<4S;ILF<W^H5=f?61D>nd`G?oiB%-Oh(t=6P zNYcO8%}i||wu6p+^SAuygZ%91SX#4Kk*qJ1aGuV-8=UV8hc_A1E6_H-XENL^2k~L| zR9db60fCB8&P~q6GI%j0yJQW1iik68MAft2yhms~N^YW2jU8dA!)ZTZRC6sun5w4( znaWs4Sp4r*heNiY0abIOg0^fLVXK1}DoT;4t?vS@{!Svw%3^6MRaBR$6N!QR@YYPz z!ovbsIpL2-=cEH{(@w+i|6y7GO%5c>lG6THs`4f^K>m->%k-?ZdkGE%^q=ux)|LE0 z3jJTh_rHXz1`VAN$VLVaQH;nj2Q(0d2nvh{m<SjKf-waHi;4>(fMmj!cnP~OOhu~V zF-21BgYfEyiD%?Tt1^P&A2%C;O-XJ>5D))sC>w;V0Nw{W0y+T7yt;rVL9qmlBEnk; zf7r<3Q9gdVHG1~AFE-LDYa296Lk0X>Ht4sUS~yH8iE2_phS{5|_+KA2Fl8SmEUUY) zj<~qk<f5@4hJ1z9rxiMiNVLK&l<$M>8DjV_{6wb)oW2pC<LYAs%c{e_EcvU09W$zU zPy6)@v5J~`zZJuJRpQeJkk*AHjg%*fEbmksC$BB#zDCM}XWKFOqe;r8!2rs<F(z!_ zV*nFnA|7pC9wMQ4?Rip~7j+tM`67$~v=Kq%#}JIv_o_OK)EO|IE~{<B%=L>6H>o=v z#T0E15RGuZVdkwBe5DLDDZ#7`1<PW6`9q3W`r)Rli$oO5!`3QRGoKQP-$R`3Rm`uC za&Zf_t3u3J5=>ybXI!Z~xqz8*&T4^7fwCA?@$Shzb@8=+y|3O*Bh*l9WPqn=H1n-0 z^_r6=AUF05Rhpo5UV0e$PQEKESdlTMQ0+d(!#xZ>)=ZSuUxA1Ya54FbIYc;u(>91% zovr_=Mwt;6#5Jame~32=LFN*7b+H7VkSO&@Rce&M7}gZEi(q;?1F%U@bX=wupATCW zc0s6;<O{ZKtt$3S;2=$iKo#XvX<huFM9fT!oOh$dknL4THEviwAAyq%e`^l$s2gO~ zbrKDQ=?H5)E;|v{xn~`cIpA_H32asKO4DZn-rXVmqMwK1Q_<;%(FF;}Sw}!CaV<d; z>0D(MoU&DJ^r5qY0HEiXGq|hLkl~pQYGUgB^=y#24S=8?y-*sxNQ^O+ya5nLxWK!% zoZ@a>+<S+0;h3^yVOWmh;n7TUeM`Eg0$ADfB$Ab>Cq`%vaJE-gp$+xb@~`-2wc!t! zmP*SGSpFf9oc^H$>7B}+Vy<E+$X077Q&U0d58W~D<_bkVAaB$r5pfNKYYj<|I!#0h z{JqG9M3|ygWmBAB-v0vLN(O<RivK~8iV6VLOk6@`BV_1iSH3!*Y=72p$<(RhSfTM| z4B{{JgvdhUPL;dw8d1b~3U4LK($FG<$a)5eFTbG}@JYtFgsjK->=5|SQvCM9T3XS! ze-0~(b=BVjh?#0gr^N5znEIeWrlFhZ$h>ja4#)^V(g`|>+x;{gK7$n}Z|a_e`+jKy z6=dqMdO=BmPyz}gi#d64wi;0Vev(U3*v~vEjAAXIM(78KyS}6=fcPJz#itcE*jH>W z1TK~TDr6on$ihxUqoAgPGy+M)&Z5~u*%y{ZI;Khh5GP=XMWA4bfQe}li;&2(A<ly^ zamYazkGWW*bA9~sromMF7|&;TSVJoHUoTlt!=W@*=i3AQXZrA)3Om4{6f)KX%PA_z zvpi>Lhxb~rqqeQx*s`-wS9t&aeGg4GK`F7!`6pkB!n6q11(N{13oY6L4+<|X8b;Wn zZgB4m5E+y;K&S?t0$Y>UDn>X`)kK^f%xAyyFyWuA^;yV9SUIjgvz$fQYYx%UHSbfR z-`$I5g~BB#sxJdexvC=+O#;mpE4B(8qJy*#*fJe|cOv3DxL*<WtC?!l)$lW6pIKPE zDAU{it&+;&jVPOnR=|E9?~5`kR<-<j*zN)a@I9b)((2G#sIjjqA}3sc$W{g}c-xP5 z6QGOmQuZ=jb!GcnAyHcp0M2J_YPJ&KI#isJ$^39gIlZX1C$qqiA5$eNLhZ$?fWier zb=m~gn;VqEBQ5E<#;rj--2YHmUD(J9<d4BsR+iHO>FSeVA9cmwtvg{4R30M6@|tl2 zm|(6Zrn9M)i^1<JqJWI>uN0b%-_Qml@uHE6dRi$5k_K|2D^%|$SD-!~`a}d`%n1)0 zsJ;21XAkgLJ1J<j57b=d77*PqC)sD<I7I?2V<awSm<IF4hQwnR>JCwNeznP#Tyg}J z%QbU%UKKVecR)!(a21OGOu^Xvr(IzF|4FmAST=865FjA0fBgabKN+HAA{zMr_J`OM zW5q2dq_DmRT7^`KUt&q6^00r~L^w0R@Y&GiM5tVjY)zdmr#)0)E&zr(Xe}wicbK%j zr@OxXtq+ZmCsr{`$&>wz%&9cwVbTj{1G4B<hh;3fR2;H$9o^qRKOfF(*6h0UuR~m@ zis2<9mm>MDSlU8EQ0$c;6#&@3blFBtAZJTK5m?lEjat%JMgu0x`Q>Z~Yk8w8F73i4 zwr#Pv8b~ySPBqPcyXe;$`Q3v-xhAm7=I^=&oZCQ;$ik6W0})<@>vpbM;tpJxT3dtU zzkr#o-01~V#G!-tTD$iG#`0wKTPPA)gEs>wLyb%zcKS1!Ks&t9TmTFltP18?D8lwh zm05(!%XSnbBv6J{r2~a>$<SjFD59l$v!rS=G)~_#=;z&Xn$hs(7y4|Jl{A-pR@D0= zvSv}clc}C1$DlY@xs!U<uioyfFAU>i@012RyzyIg6E-eW@>YtBA<`s!u2ZN6#<R+8 z{>R->i(Ixov^N24ngFsXCGjYfH65+qb1U%;ddCMx>Mm4S{t?MJ`M!x=TOlirGE^PF z$tLTfggCwJD|&YtDb!z!)YqMN1<m=4GfAwZ=A_Ct9o;P|?O%PYA5_gFcu}epNRyGr z+mAk;B3V+FSWVQTK8Om5N(5I0t*~$zgX{GmRXAG<$_#L_&HzDk5kjqk4*6|_p)Yki zUbb&8H{Wk#t50Vux1FE8d+c=7!KrYA-9A{lgrWJjgOJ%P2Cr$ZfDgFd`sFpZwKIhk zriP#Hx(SDRUiWZs9$|177z$-6EB7K{f8Hyt-5dHJ;QvKiw85Y6AI$#2P=M$kO#w<K z0Y^#hqWix*Wk*{#Zi@--^Tzs-jC{w!R96VlDFplYnOoQ-y<o9$?U5KJfzqEeK`e=w zq|)nX*ykVc&2mVIT+?`<YL5N9&MuqYqClTTHW}7BKKwwV47)48aZv=!kFEl!VHG3R zk%%J&^SiO_k_~E)$@vL?dNb+y>T-WHr*~`u{5X^T5d0<Ne!gk=**bFtfu}*1fzbgQ zq$&i*`ov&&nmM8$bWenHrM+?;CvFh(IPw7&@w$Vqw+3gjDhEb59DIS2#H4?t7F<Dn zEOnl#Hw@JP?}OQXD$H1S;zX^W;i%eua|7ju$(KV=dhVUI8&jGVLnFDgX4}uf-Wjw3 zxXuPuR{3He<GUqtFR`P7GK@1vD#_(|HRc{=uQ9+_jh;e0742}7YKTS5Q?LQA7vgP% zb<C2Cvhs3i0T+XHr<vDciw9*DyKDZ66u3A);D=P=O`p<`g7tlFPgwEBXlbAMN``OU zvMJW_$WNx~#J7QLFm`YKuw!!;%Mg43G?dSPT0Qg@4;O{v6<`!0&6P`LVwYdG=-<wW zX5F^DthiTf%3b=|8d30&wzBk5bX{=^U4El(9bK|Cj9dCqgu)5ju!rSrH+6Bx?`of) z7_3+*q+DKfIGAA6bM|sCD!XyEW59E~{W0xd%Z@T#Rf%-~Ca@GQZ*Uhl%0`9(aPGmS zIwTiA?2K?hIgKK}P)eT2WKVXv2(8-`-!ocDBN-C_VVOH#v$ft%1ACJN_~v-UuCQ0_ z7^U3wi@k9y(o+pSdc@hgA1Acpxyb!8wMl36e6%2}-zmJCv|l~>IlS_Yqbn)X5IGYB z`rm-$a1nqGP3C3%zu`#OTMG+hV<V$|BddvtXg?nBYYu86MUV({EG}%8hotBr+J{F~ zm1x$bheP<Isb~~f7?tS9#RnA;Q7!BY&t6vfHGy;Fi-QW^*;Bt;=v=1Q<kKPc*|$9I zw}eRI{_I5Q5bX53ZaAWTs9{+gVY<&WXAwHk10Y8YjtF86i7+Q3d{_>s|K|#GQ3+m# zaDad`l7X2>{<~tkXM!u`%%r_Q;%`GU4DcuH*BToo4Cu3l2nXvrK|mvI=MoLz2gyPa zY?HSmB83(F>QC=_*{bw(yz<a|Ij<Es)zn|vdE#^bMq<L@!3F~A2NC1q!5vuKH62g} z3e-~r0{U<a^%k|?y?^84zBo4ln(v$qvEy$U+ZF-5O-y6hhOc!xWnEJDBAcO=hu)ep zc9fE!cATY{fTY>(G1I%HyF9euA9r+d*O>#b%dTmf+MK|SykkQxAfKx=^TNz0&`7qF zxLzf42Vs9HJAv1xd#T>Y=~nELEneJCl)X7F#I|fODnd(|BS})?_q2jI&n1f+tf%TB zy5$4J0a#%RjfGTFKPb5lhwV1>@RPmTr}`(Gk|~#7X5NK}HzCN9+f>^FW<zqjzrg;0 z<d_`3ixSuhf(rb$s`6bL?W`Db*j!@bs(+R5v2qWn8-eojqM?>>tWlFv{*vB3H%3jl z^0GiD{_)EZ5uUV-o=G2zP(H_nX!&z^Ow|eCTuziwr_=~=%Sn&@V%8hllv??%3wRC> z%S#{|1BsJ2W3af~xMsqF#eCAR8f~wFaMIbZc;{ydcdV5a2i~pA@LZ{JIX;_PE>~HY zBl8LvNTj3xvwfC=Lgw*(AhA(Lew6s3h{Y=&1)F{VrsO#*-0YM5Jn{U3lv(z?LL&kQ z$pXHAnf3zC`1zTp*uFpB83ztrfCB<T6oLrcY~R}8(S^Dl=r*PTBIyUDSu`=X;V*;{ zMnim>)lLV@*~zhC*1?^gB&m-6Mb{T5o#o63h3}k|nUbzsLefNt#m>6oXZW3M6$qSU z^lB92>LKky0fht8PUY9x2Ym?Z<I@e`y5n?hmXbVsl9P?%ju@T3d3Dd0(O;O3v-^&W zd0olV@7eQmG8g=+wE_Owr}(BM+)Q=?is*5~`Z(wfRxa67N7lx_gVEJi*jC?Yk=#dM z{x%*UdAUl!8q{-2J(S$6ZV#q>3dx)sxWPw363rumACgG-oz<#_CI2?kYmEnt=MPAS zO%f67WNK{J2Ei{-?tx3A9^@Ez3Pc-%M!@ox(D`}PF(l*K_)uGCS{xA~PXxPUV_dR_ zB>yEzB(yaBnhVzwlG{zWo1p27q*fm2<Mkj>_ld|KFjsgwFRQotn9%9-?djAYK<mEZ zaAvb=Ca?HfG`>~mk%e9H(q{wUJmJ&KO1;qrg}W@SfqN^1ao`Kc^+9+j_|;`&!G5+I zygb5(FDC*svJYoPIH-Wk!6I~ZA(l-2w#yC|FnakzD4BaMg|8<f@XvEOS;EyzD<c?H z)PZUYm_5DwT-BqNTF8ENpaaN%Fxk}|g5G_wr$-0~tyok3Tnz}FVoU*6(R;}{rB^V2 z%xy1$r`F^qa=hL)o9gaIEsCiJA*S<203$_DdymOeK0iRb3o$8MNqVgjs0&cyin4cm zu;`6PWu#tDWbzDH^ZDPQyD`025aGa<mSVv1fe7Uy9lySXK@_wmQCc+K^jJ&?x>gj0 z$-1@gfE8W%v|`p0SX=;`)sWauYt}eKN4A@$lcXZfl%@#Ybk=XGj3VKM>WG;0$1|wB z_Y+xz>vdlTm)93BA8t>u6{92Mi`UoAt23(GBBi|XipSlS!3PF(9h7HmXKW1(miGc5 zuElS$DP}~4^`4>@^G(5v@;j-h*{H2NqxE0R=7_8Y?_ZM^CoKTd@=Xp{d#`(LL#D=_ zd{o(-&2;VJ(~SlF7hyl;+hrm8&c|Wl?ymR*eW!bi@2|!4R`9ihj`V1yywO51-FJ3_ zg7DQhTj3G001En&%wWtn7UI;23NVVw#`jII=#1zu(z+#JzQ<GKS8=E|*I7v5?o!vC z5ev4VJXK*A?Nk6a77#21g%1oo19>Jka;)gzNL&dnPkPB;Go71Idr#>Vd?>b$0T3s@ z&AI=aJV%P!B>6J+<>)Hdo2`2G$WS@@rUoi8^2_%BRmW<&=Q-{x8VQGnnT9f*s;f_> zEUS=I^t%W(NW|IQ8_lW$#f)zlL$#2cPk2mXAYYY`e9i<|!Q9yrb}txMKI{0oAWkP= z9I#|;9@2|=SI#kX;j1}zxyhk`pj^X-U>ff~t>T2}7k8CED~+uLJJiVV!Reo2m+|wB zYd`lMY`5t~ME>IF#eq2N?KpDB@|DzU3Fj~sw7Iwc+63dVE^l3}Q5)kJYPPs$+ncf$ zLlS;Zb+Q8-95&$ty$-$mPZ$KN+DRt&Z^%V!cHTx|_dCSjq;#Nn^BA{+JhYl(ajL4V z2%3_-Z6eGX*nnEH)~1GZl%m3AMKb2hjJxl%Xw;>)7yA-^>4WCopJ23%1WHKEpq;?? z#KUb3^y3ROts}(uJzCVc^#YavpCyb687)KI@n?V^VZ$6|+p<T2MjhHnH~Bf+>-HQT zQE+Ep!iA^IH}BjTDz`wu;A}=S?lu)lB*ygT;;hBH?%1%#yz-@;2x7|H$2PeXd1@2q zP3a2Jh*Gvv>8bj<H-&b#N$?r(hL9TfbwANfaQp-0>@svgeT_TrLVUeKFCTIBe%hsP z`YK>zL?exa(dZraikohE4(OD0ErJ9qz?Plqu$VEx7MW>K8ojRt1*>rRR|iKl#ws%* zANBHI5y{Fv`M!WW4bI;_UCRSHA%Tji1Ae&DTTU(k-)Y|vDsbFZ-4AteD>lJN1LTh| zFj9?824|CzPfBCq`YOp~`KbLJp)e7@#=ik^)FKkjXer=HbC}LvXK46x;glm5o;vOA z>bg5nbUX4FGkv+?kUW~Xxnwq#UC?VKJWbLaUfakY#UK)@*QjQGlz}bk?-gt~r?m?E ztx~tq5^}?lwdYKd)ifH;2$T)T><}_!LUrk-a6OAm>a8U-t>Y&r-xwV{ebyMZjO>8$ zOY!60ybrNXkykvK_BHoke#>whlOUn-^Iztaq>JFAf^sTkOK~7O?8#Hbgv1N#Jwtvu zo|~4@+uG2s!07gcG;D@fDw88yM+4mTW8z%QZWaA0OS?3OZZ2_}-cSLmW$tzD*|YtS zYIe?S3H7!_Gx6b&S$Cm{W2#}yo%#TjJA$Wgf>{;NzXHS?2W|M@nF_+tTbCh~$HJ_N zFw`?1L1*kM56krRUg5?-qpbB@U*J~dRN`EN6k$yv@sFrS=C6gZB2gKckfNorz)zlj zdP8Qjy4Zsr@}Nv-kbYo6V?`UVR>oK16`bqm;{^VTDw5RI^BagEXl4|>B69%DYS+vc zFYvl#Mp6v5IO>yo;kS=;BiD9WAQ0|0M3LX-drnL#u)kAWws1BOMZ!)XPxd~X(0rA; z8gRI79K-~!(*}=r13jSg_JM{mZ2y4WtS}OoTMF}d3ns`odmlZ3&BBFA;Z7*TBafPN z&9{i}V<)zbo)qZ0z|;8%igE+!b@f7NbEaW-H1IVS7u-RX?}LKuyp1DcbHHRgz8=0p zBtFXXw#yRy@LK0p*@X_baO^ClG)%+PTPO>bS<13XsufCB>no$YkV-yBpy^z6X06Fv z6K3y42y<(xYmw~NH87Nk<nGWxrUQ$nfV~-V@dMqq>`(f=^O8=n`49kUL`6Mo+fRe{ zv*uAY%A-gW+Xv_jCU0(7J9;5#y_UC-(0I<W^v0+>+R`}}_2@&*A|T%aX?;Y9e@U93 zTODWyyL_8sUk6AQsIDF@cPsw1U5b-G*#};17>d>1l=^3QIH$&c1(vkXrF7+Fe<!b8 zlc^7QvQw~mVa<{%T^s{mNV>?EN1v@NCj#6$6z&M#Mq+iHq?0X~3#YPg&`+N(FR&?Q zMX>iQ(J4ip1&Gvu@)pTO%$+UV!D3-*fAM5n_l&Q!yWdlX(StrqhW~vA85A@OKtk9d zbzI3S^5x;I<P2kIQ6_-JYF035$Fo(}g$U^XB2TFL9;aX>crXW){PI-%iib3VIA-e; zPtU>B&r$NR(t9BliippCjHM}<F8(A8b3Zaz+rCgp{xz-G&hNb|3kQdqr46^o*jJ^x z+D+rDcRi{NgZtI)%LKMarApYS5^J)LLQ57wl+@sn%sJ#s(B1+2Qk(*4#~+w=CxVXM z6>A#}dzeV|JLUmcrC)uhlEAaHPE}I;hKR?-+45aprX$VwGzOI(!TSoRH4W(qsJxAh z<O_yWi&U`2s2Tjk=EBd{hww~64zE7<UX`M5+=lvF@6|VN++nQANFW71df`sWEnuUU zNJeo<8^2)l2#_in7~aFasp=x?8=!A#4XsAUxfxxEHqi#Wv%aVl&Yb)DBLXQs?lyKO zxHe)>ZdilDHFg<oxyoJ&#+cs9?p2D&rGbY{{{&QtK8k2c91Yt%E2Cy$z}XnAel;un zc;qPj;-g^VXe5$J($LccU~_U+;Yzr0l(2y%x?omyyGUp}k~6!-V31h3wkE1L9RTIW zH6(o8?NtF*_x*>vqH>0{w38kb5eVOixz8RWkjAY-0l)DYd3D=>U*<PHwUnoR_pTg< zG3o5Z*;#KUCcdX_L0rh9WA~+rFf%0L;rqM$g@XD%XY(!@?D&wVx7T%dL6x+!j<-g2 zAxfu(rno~_&-pYsx+T$Y6Hl9N6pu)-^i#V-@R$H*Id0{aCb&OLx|gjPt?<}w3Ax(p z(kG;9_u(_hF003}vn?HP7K?`O(U1v0AA||rS5*W|PJ7(?nA2qbTmXseqSl5%bgi#W z+~_g{(W<8PhsSKJX9`TVfBa=`0KvA<wQw(S_b-EDS}e6fsEJ|;yNd~+dZHbOpJG{< zl->mV38trE9Bw_vH>}*+Ry<B^s?THQYwP?t`?IIw@TpCCcs~Zogl0oxcGy+hQ%9eP zJ)0eVG&xC3Dp}(s$tTLqlbOKoJ_xG!SmOgaz1A1xL~&BNTwNH>^{AW}%FWcZcyMQ3 zdw0)#fihlva+k3TXREYbg%9xq%)l>??xYK#Z|rGhWY)`yp-(z;f<xc-+@T|vkCHkw z+2#^AI{2F2S#JLKTN?<%=e^NB0|)irX+DfBFe<u@5qA^}0bs=~`{+||fwUn+VJ@0b zy1hN5Mv)X&)N*x5cx+8zwkK1sS2Ea^Y1;is$FYV;LCgVcRILdhMjnkfsTxy|mCpr$ zJ%h%Q6fGX=kN7k7=Xw49?U+sNpH;|vlwnY?c<bWB&R_C4lsid3+#mK>f1Hb|eNhG8 zHNU7;KK?==@Y@S>@vYhCrr(|*1LMCHB45~<*m=GaVhGTLvqyS>i+~WCz~)&pHo9iE zYYGyVo5dez0vIr~%-Hdiq*G@+Hf|aK`$}_!Q-5DJ;c#T(s|HizL;+Wh7aa3OE|IHz z%Du#|Z-3jWM1t=9gwSD+Q+4Zy>Ls>tBfWk#r3Dh_J#%GO6puaYz@Mu?H5UUj`fyIg zkZ##ps*w?~Z`^7ZUbpun*ztrN5w%sNE+oXt-QH#=b)9v&<9lkv7G*`%Q~U4$K31{q zCSFZHit95to0o^MT<Gg0OXp}k(y)0Inlc+Hh!`^O;5Q8W;&+S&<<Qg~@2a*%kQ(Zi z$nP_)Od`l*6k>15Y%a_1g)&+}R<;CIY4f@v$d!OEJbz^vr*IX|r@pYQc27J#Z&9~5 zkf=TRMiNRE@p&y9G==mO-FwjhnB`BT5Hd*!L!+X<-Gc&fr`4__eCqR=8{Sq)NT)~n zROb{^BRGL`lcHRfK7NNypbaGYHP>)xB}z+~$|9;y$LPQFb3v)20Y*o2meV8G6w0-W zvsebt+9q$vQ&~-@mf1vFObadi6@`=K{LC_tKRojp5of@++MCx05mMg)=`Nn%gG$Sk zRC_(m*Iv(xW$wQK+|=EKJOI!arW{+#aMj-Z+_Qq~SD|%MO$<V=qJ3P?27?5bs^iR{ zNhnTNwalQwF01y++|bxrFb`D0m@0ychFauB_kV?U`cuZh7|Xu0J?P#ZljtL!6j-z8 zC?Pki+7BoR8IDLUA72Gvu~kvMU*NorIrv5T*|N8aa$@zOdio_?X4T>xH<pGH-rvjl z;{4RvBAYR_brcmUY==U@SS(szY0Cxbw`DM8{QI6oD?Hw46d5dJY@94a+^Z28=CYw* z)@%+5VR%1T<lAdzKJZa1*|_8bm4)Q12>OuYM?XZI@@(w+!!-iHEb2@-#TdX^(C+}v zFv&nNbxDjWJvfgDs8_Fn`B%opHL)~rMp59tsK`70G)Gbd2v(S71Kb8VN7b95vdE^t z1$$91!T0%;J0=cFuMvZ>Ij4xWla@SM;r#V~PY02Byh#$Db<1V{nb@psljPVuctM~E zcc4y3{rw$pC?)`YD?`4YDERH1`tf&Wg@VZ>w<lYzhy@3jz3`XUBrx>JU~O-i`O;3G zyegWyNu_BV%7XiBI!2cDl*lv=*Qtr+80+EwYh<sQ-ofW%wS)w4#!+~GGG(b4Fw#;2 zyb0+18{NV9J5fU62Goy^IU4bMf&<#YV9}(3oGS>DqYVHjDy01l<m0@!fR1UmziGyF zkYpEL&Fw^^(q{yn2IZOzf2MLZFsVwz+`Cy=l*VusAfLj`)9crtzFU&OFHT&9t57I| zIkl-HHk`4Jm{iORb?aX_JAbG(1Rl_EabaY6mJFWCeOps5n94{#EqqOQ0tS4eS@t%< za|M@$t(pNVYEAro1$K8UJ+0Y@BHgVOslGA~mQ9A}3I?az>0qzv>5q&S$#PyH|57<{ zeSQc08aGl_f|9i{HvaI&A{((dKZ~hD=dI)Ni?ALxEt{&c-D|R78Cx%!O&bz*8{)<J zwo5ts5f_(@){S6gb?spA7A*b^<|5R2xUHUqcCLW9W)`=3uExhA;wF222BHgtW2u)8 z7&z@}yk1~S{j1b4M^19(^9Jh>z27e{lt{JdLcN*)hy?D|zzp8afs7qX`iOVzI=vl| zV2>9syuU{1L#&NErTc~IKeK8=V_7pj0|K)=4RHd^#!>QAPd=`9&Xd8*k-!+k`oww& zY7fAKF&?$VI*iF$p$k;}p{kJ6jr`12BrFu%kl>ql_6kGRr^-wkR%1e%VY@?-$GV}* zbv%1bbz)gNHAZXb->MGG<o|#AxbkqQ^FKbW#S<;-PPDERMWnF8j%#9E?KpFk+~;5n z$?-MLm{iOnTZu&^W0FB)oGVApVT=f23^mR&%Q$z+@7eNuwBP4>|Mz~s-pA*8zW==6 zub0wz41`P&btI@K$R4573$4^>=4{t)$3g7G-0=!c3qa~|-V&TFyZZDFcqk2Pxxwfn zpt5Fs3J0fhfoQ}0B3j{@QMBnbgK3h7MeAVf$KG&Jy)ui%`z3J#1>sG@UQiSPAJL() z^RCs7PV(?6X2U&Y3+pBkw_;D~x!{_?DWOPZhDEJDa<UcDR@;{#Q5J&WEYP4t(fgF+ z7_Fy<W0#qKW<I@)f{o)TvG=ns(&LA4ehtd(go^;7!;RZ7TIM~UDc67)d|0CO{SP<p z#zSH%O+u%-2Wy>aw{&Cisy+=XU}AZbk9M+CfK3g5{?o1V;8gKc@cP*HxUV%_-o9(X zAH{pMc_iwI$k?(*Bv#Gj)u)jpQ6hPk+@GH5*KzpIm#}g<gZ#$C-fl@7`Pq5_?H*0D zg6qJVjQ+5=dz`+EasuD4BnG0Wmhxc3b<MXX*=0AD#6sjVwVZDH=vVC5auY<Ii#~_- z$T=w+dThet^v?@W+R>qa(Rf>x>9vz|+;HL~_UVPA=E9Q!oIVi|zEtXm1czV3wcl(m zI@fE=lvdbqLvCiRZMTCn*h7{SI7)cX(F#Cido)BTwKJots(oJj`JtxnGh8xSg(KF% z9uzsV;<#d=+pwNHowHCu<Ownj_94oc4MX^)?G+&XtHHy?-*wBp&J*R^sPKy}S4G_P zo$tK0?0)|@Zh4g7Q7KBXd9|%@KKnOf;NbH-Sb4fbG{0uIfxuz)5RQ(XJLwJEIi7cb z=pEDmw$UHF5j+d)i{$Mwc6}j2jyDtrgC?A$cZW%$3W!@At@NKSuah$*D8s?L?Z)vt zH%DzV6M4(47GWth7{;9Tddl0Fs$-g4pFRa?!NYcnX<gEjS-39cO#9Z-Kgez7hF;kf zSH#X6{LL~nR@5aIJu^B^JoGH7u2LJ2H=#W{gD>Y-JTaV>t5B{R0lO?-Z+83n4sAa6 z6qYKxaHD9NdtLWaWj2yAge?ZeNWK9mzSumQU5EP6#z2|JHy@o?E^0-1C+20Yi*Kht z30#~M4t>W5t1+UB_yiKYd^!dQ8&%sP;x3Qq*`rfhsMOWk>LI_%f_NTPL3sdaQ3s4; zZAVsszuHv)$S-6d@LZ8c6g3<BmbIQN*rY5mbUatYYf6>pX36iBi9sW9ou+dnA?x1- z?-tWN7TbgGc3IINNh&<mh(D{7ItaA^<B;L(h}8#<l&q%$@l=y$vrYXuunCny&C<JY zh@xl=lU=M2%;g?MC^A<+fF_*ss%uUQJ+^j5QZN7Qpe|WjUGEvaGNmWft!5_Ftsz%@ zE(uYQ<|;&j4yDb!t#Ou1%4uRl-*W6cNq{IhCO1k#1ZHr;{Z~s`-g1EP<1(5z(wi(F zqPcvOQ@A)eEBj^h*e^Awc}NK-7jRR>8Z_>u=@=}z!CE?89w&DJ^Y1oIh7_G=dLN|H z+sZZ2qbJ2`b8<|d{>Wi!y*7X^b&aZv(iOS)@Rm+PV0}y9kB<K2u=;82h)i7OHjfF( zo>8K7-ooWfw)63$0qMiUEY-Gn4`Fm~l0at6Su`gWRQ=j0(el*2p(J7d84hxYk?3^` z`RNKfAlAx>YR`HJP^L-P4IXXL<%9SogVAZ1e9NXfovhSN4L)6Fouo+MRosmArPv?1 zucX7P(DbUG=Fv3wF-6>%rfV>tq1s2A#}v((fwL2vE#du-IJzC(+85MCDEXFCyCczD z^?A0!%M~Nn&UY^3XR+`Xza<Dcs(MEq&K&pMl=hb^*mS)PxZFG!<lpZQ4J2qj6M0Co z57V-4S-r2Snv7T?&ZbrwcwV_9<4J!c^A7oP0$G|X%TLE0Ka{iamjk84X!81y)I!Xy z#@E$ij?<)xLY*58y`@6-S^0r|J(lvu{)8SwDh6*DxmDL^8vCIT7p&m?<|2#%(Sb*j z$fuWB*jSAKu$=y8172=x_vI*16f<=fNZrX(bJ=z9-mu+-zuGNBZq;tx?_VWv!5Hhk z?YoQ*J7V00>kuX(lZ(am>W;6_0^EPwH}we%NC&hF_2iFGHxqKQ<!lPmgVRed2qDj5 ztrz@w1^sxo;;rhi93kUA8!t|Xt<Xv?ZtGe?S%g^y*}fh%{41Y>0YjxkKC7F2Hm2LR zUYKyGIEyqU1A6Sc9<P}mVVGH{wAyztzUrtjwN#FPc$oq|dtU)+njNEUDs}dIfnOCt zKp^3LfkBuwQ^_y;yaS51|I9}{&16uVV4A?bI7L1X$ju$$?HO=I<w{tvOJJaTu+sGa zYb)+O&7l2g2XHdJMPo&!V^qupFMMHW90;%{RCKBBTt1B1@@=LG{SSaS3Qz%RRT>jx zcJzS$_cHIhOZ+x7Dh|_oVBB89efKK+5|&^j&85COxBUkOdk?i&sNtyt<`(vunercf ze_0PR`|M=ex6j8>fTAdYF#XIs`0#)bum8mOcY!OuA7cUa7}I1fXyX;;=KlXxa4-d- zK+LvzL452W&|?!2NC{-9=Id&wqJ9lw6%qzPo`HwBnyDKFsahhfy-mGcgF-X{ea`xu NXqxMLL!oBc{{Zt=rq}=g diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl index bce213a..93cde7f 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl +++ b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.tcl @@ -56,8 +56,12 @@ if {$::dispatch::connected} { } OPTRACE "synth_1" START { ROLLUP_AUTO } +set_param chipscope.maxJobs 2 +set_param tcl.statsThreshold 360 +set_msg_config -string {{.*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*}} -suppress -regexp +set_msg_config -string {{.*File '.*.xci' referenced by design '.*' could not be found..*}} -suppress -regexp OPTRACE "Creating in-memory project" START { } -create_project -in_memory -part xc7z010iclg225-1L +create_project -in_memory -part xc7a200tsbg484-1 set_param project.singleFileAddWarning.threshold 0 set_param project.compositeFile.enableAutoGeneration 0 @@ -68,6 +72,7 @@ set_property parent.project_path {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado set_property XPM_LIBRARIES XPM_CDC [current_project] set_property default_lib xil_defaultlib [current_project] set_property target_language Verilog [current_project] +set_property board_part digilentinc.com:nexys_video:part0:1.2 [current_project] set_property ip_output_repo {/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.cache/ip} [current_project] set_property ip_cache_permissions {read write} [current_project] OPTRACE "Creating in-memory project" END { } @@ -97,7 +102,7 @@ set_param ips.enableIPCacheLiteLoad 1 close [open __synthesis_is_running__ w] OPTRACE "synth_design" START { } -synth_design -top design_1_wrapper -part xc7z010iclg225-1L +synth_design -top design_1_wrapper -part xc7a200tsbg484-1 OPTRACE "synth_design" END { } if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds index dc3dc96..1e37e9a 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds +++ b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds @@ -3,8 +3,8 @@ # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:35:44 2024 -# Process ID: 7637 +# Start of session at: Thu Nov 28 16:29:20 2024 +# Process ID: 14351 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1 # Command line: vivado -log design_1_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_wrapper.tcl # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds @@ -19,48 +19,47 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11461 MB +# Available Virtual :12318 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace -create_project: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 1580.141 ; gain = 16.867 ; free physical = 200 ; free virtual = 10629 INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/home/hogtest/Xilinx/tools/Vivado/2024.1/data/ip'. -Command: synth_design -top design_1_wrapper -part xc7z010iclg225-1L +Command: synth_design -top design_1_wrapper -part xc7a200tsbg484-1 Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7z010i' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7z010i' -INFO: [Device 21-403] Loading part xc7z010iclg225-1L -INFO: [Device 21-9227] Part: xc7z010iclg225-1L does not have CEAM library. +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes -INFO: [Synth 8-7075] Helper process launched with PID 7658 +INFO: [Synth 8-7075] Helper process launched with PID 14370 --------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2340.973 ; gain = 411.715 ; free physical = 146 ; free virtual = 9611 +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:03 . Memory (MB): peak = 2430.078 ; gain = 411.715 ; free physical = 1755 ; free virtual = 10296 --------------------------------------------------------------------------------- INFO: [Synth 8-6157] synthesizing module 'design_1_wrapper' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v:13] INFO: [Synth 8-6157] synthesizing module 'design_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:13] -INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6] -INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6] +INFO: [Synth 8-6157] synthesizing module 'design_1_clk_wiz_0_1' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6] +INFO: [Synth 8-6155] done synthesizing module 'design_1_clk_wiz_0_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_clk_wiz_0_1_stub.v:6] WARNING: [Synth 8-7071] port 'locked' of module 'design_1_clk_wiz_0_1' is unconnected for instance 'clk_wiz_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:38] WARNING: [Synth 8-7023] instance 'clk_wiz_0' of module 'design_1_clk_wiz_0_1' has 4 connections declared, but only 3 given [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:38] -INFO: [Synth 8-6157] synthesizing module 'design_1_reg_decalage_0_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6] -INFO: [Synth 8-6155] done synthesizing module 'design_1_reg_decalage_0_0' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-7637-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6] +INFO: [Synth 8-6157] synthesizing module 'design_1_reg_decalage_0_0' [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6] +INFO: [Synth 8-6155] done synthesizing module 'design_1_reg_decalage_0_0' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/.Xil/Vivado-14351-hogtest/realtime/design_1_reg_decalage_0_0_stub.v:6] INFO: [Synth 8-6155] done synthesizing module 'design_1' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/synth/design_1.v:13] INFO: [Synth 8-6155] done synthesizing module 'design_1_wrapper' (0#1) [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.gen/sources_1/bd/design_1/hdl/design_1_wrapper.v:13] --------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2417.910 ; gain = 488.652 ; free physical = 110 ; free virtual = 9515 +Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2515.016 ; gain = 496.652 ; free physical = 1665 ; free virtual = 10206 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2435.723 ; gain = 506.465 ; free physical = 110 ; free virtual = 9515 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2529.859 ; gain = 511.496 ; free physical = 1661 ; free virtual = 10202 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 2435.723 ; gain = 506.465 ; free physical = 110 ; free virtual = 9515 +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:04 . Memory (MB): peak = 2529.859 ; gain = 511.496 ; free physical = 1661 ; free virtual = 10202 --------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2439.723 ; gain = 0.000 ; free physical = 110 ; free virtual = 9515 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2533.859 ; gain = 0.000 ; free physical = 1661 ; free virtual = 10202 INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints @@ -77,20 +76,20 @@ Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.r Finished Parsing XDC File [/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/dont_touch.xdc] Completed Processing XDC Constraints -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.723 ; gain = 0.000 ; free physical = 86 ; free virtual = 9502 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2603.828 ; gain = 0.000 ; free physical = 1642 ; free virtual = 10186 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2506.723 ; gain = 0.000 ; free physical = 86 ; free virtual = 9502 +Constraint Validation Runtime : Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2603.828 ; gain = 0.000 ; free physical = 1642 ; free virtual = 10186 --------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2506.723 ; gain = 577.465 ; free physical = 103 ; free virtual = 9489 +Finished Constraint Validation : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2603.828 ; gain = 585.465 ; free physical = 1642 ; free virtual = 10186 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- -Loading part: xc7z010iclg225-1L +Loading part: xc7a200tsbg484-1 --------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 103 ; free virtual = 9489 +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10186 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints @@ -101,10 +100,10 @@ Applied set_property KEEP_HIERARCHY = SOFT for design_1_i. (constraint file aut Applied set_property KEEP_HIERARCHY = SOFT for design_1_i/clk_wiz_0. (constraint file auto generated constraint). Applied set_property KEEP_HIERARCHY = SOFT for design_1_i/reg_decalage_0. (constraint file auto generated constraint). --------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 103 ; free virtual = 9489 +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10186 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9490 +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10187 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Component Statistics @@ -117,8 +116,8 @@ Finished RTL Component Statistics Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: -DSPs: 80 (col length:40) -BRAMs: 120 (col length: RAMB18 40 RAMB36 20) +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- @@ -127,25 +126,25 @@ Start Cross Boundary and Area Optimization --------------------------------------------------------------------------------- WARNING: [Synth 8-7080] Parallel synthesis criteria is not met --------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 107 ; free virtual = 9497 +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9502 +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9502 +Finished Timing Optimization : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 104 ; free virtual = 9502 +Finished Technology Mapping : Time (s): cpu = 00:00:14 ; elapsed = 00:00:14 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start IO Insertion @@ -163,37 +162,37 @@ Start Final Netlist Cleanup Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished IO Insertion : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished Renaming Generated Instances : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Ports --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished Renaming Generated Ports : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Handling Custom Attributes --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished Handling Custom Attributes : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Renaming Generated Nets --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished Renaming Generated Nets : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report @@ -217,16 +216,16 @@ Report Cell Usage: |4 |OBUF | 8| +------+------------------------+------+ --------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.727 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Finished Writing Synthesis Report : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.832 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 1 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:16 ; elapsed = 00:00:17 . Memory (MB): peak = 2514.727 ; gain = 514.469 ; free physical = 113 ; free virtual = 9511 -Synthesis Optimization Complete : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2514.734 ; gain = 585.469 ; free physical = 113 ; free virtual = 9511 +Synthesis Optimization Runtime : Time (s): cpu = 00:00:16 ; elapsed = 00:00:16 . Memory (MB): peak = 2611.832 ; gain = 519.500 ; free physical = 1642 ; free virtual = 10191 +Synthesis Optimization Complete : Time (s): cpu = 00:00:17 ; elapsed = 00:00:18 . Memory (MB): peak = 2611.840 ; gain = 593.469 ; free physical = 1642 ; free virtual = 10191 INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.734 ; gain = 0.000 ; free physical = 113 ; free virtual = 9511 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2611.840 ; gain = 0.000 ; free physical = 1642 ; free virtual = 10191 INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2514.734 ; gain = 0.000 ; free physical = 401 ; free virtual = 9799 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2611.840 ; gain = 0.000 ; free physical = 1978 ; free virtual = 10528 INFO: [Project 1-111] Unisim Transformation Summary: No Unisim elements were transformed. @@ -234,10 +233,9 @@ Synth Design complete | Checksum: 2dbfd8ea INFO: [Common 17-83] Releasing license: Synthesis 25 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully -synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:22 . Memory (MB): peak = 2514.734 ; gain = 923.688 ; free physical = 401 ; free virtual = 9799 -INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 1880.482; main = 1538.625; forked = 394.715 -INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 4188.938; main = 2514.730; forked = 1674.207 -Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2538.738 ; gain = 0.000 ; free physical = 401 ; free virtual = 9799 +INFO: [Common 17-600] The following parameters have non-default value. +tcl.statsThreshold +Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2635.844 ; gain = 0.000 ; free physical = 1978 ; free virtual = 10528 INFO: [Common 17-1381] The checkpoint '/home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.dcp' has been generated. INFO: [Vivado 12-24828] Executing command : report_utilization -file design_1_wrapper_utilization_synth.rpt -pb design_1_wrapper_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Thu Nov 14 13:36:13 2024... +INFO: [Common 17-206] Exiting Vivado at Thu Nov 28 16:29:51 2024... diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.pb b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.pb index e18f3725cfb8717644bc3776db39eb647ab1f3b2..a05696a0c65935b610ba2bf3adfaa31902105466 100644 GIT binary patch delta 182 zcmaFFIE`t7U2IXa$5UPgAb2YTBKHb26ft|Wih$U?k|6S~BtwOX`%)<od#yYJgMs5; zd4`5Q=c5XBEaeVoRYBr&)fgJKIX_hcv3rd`<Xs?X=`wM)uAhSAQ+treTNe<y*Oh@m z!Lij1#P0P5k#~V)gTqoE5c`u4uX{*<t5LkOhohgLtIyg%kmz3^*<gP(2*d^eig+sc delta 130 zcmbQn^oVhSU2FrV`%_*9Ab2YTBKHb2G<3SPih$U?k|6S~Btye)*QHV*_F8!c1_Q^x zKr+JVs6y+vMUH1xLE>}O7#Iqio&rgrE+Y_m7f3E}nmAimioxNjJxJuO3y9q73M3p_ H-9T&rIcpwh diff --git a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt index 5e33bf3..376805e 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt +++ b/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper_utilization_synth.rpt @@ -1,12 +1,12 @@ Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --------------------------------------------------------------------------------------------------------------------------------------------- | Tool Version : Vivado v.2024.1.2 (lin64) Build 5164865 Thu Sep 5 14:36:28 MDT 2024 -| Date : Thu Nov 14 13:36:13 2024 +| Date : Thu Nov 28 16:29:51 2024 | Host : hogtest running 64-bit unknown | Command : report_utilization -file design_1_wrapper_utilization_synth.rpt -pb design_1_wrapper_utilization_synth.pb | Design : design_1_wrapper -| Device : xc7z010iclg225-1L -| Speed File : -1L +| Device : xc7a200tsbg484-1 +| Speed File : -1 | Design State : Synthesized --------------------------------------------------------------------------------------------------------------------------------------------- @@ -31,14 +31,14 @@ Table of Contents +-------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-------------------------+------+-------+------------+-----------+-------+ -| Slice LUTs* | 0 | 0 | 0 | 17600 | 0.00 | -| LUT as Logic | 0 | 0 | 0 | 17600 | 0.00 | -| LUT as Memory | 0 | 0 | 0 | 6000 | 0.00 | -| Slice Registers | 0 | 0 | 0 | 35200 | 0.00 | -| Register as Flip Flop | 0 | 0 | 0 | 35200 | 0.00 | -| Register as Latch | 0 | 0 | 0 | 35200 | 0.00 | -| F7 Muxes | 0 | 0 | 0 | 8800 | 0.00 | -| F8 Muxes | 0 | 0 | 0 | 4400 | 0.00 | +| Slice LUTs* | 0 | 0 | 0 | 134600 | 0.00 | +| LUT as Logic | 0 | 0 | 0 | 134600 | 0.00 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Flip Flop | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Latch | 0 | 0 | 0 | 269200 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 67300 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 33650 | 0.00 | +-------------------------+------+-------+------------+-----------+-------+ * Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. Warning! LUT value is adjusted to account for LUT combining. @@ -70,9 +70,9 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +----------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +----------------+------+-------+------------+-----------+-------+ -| Block RAM Tile | 0 | 0 | 0 | 60 | 0.00 | -| RAMB36/FIFO* | 0 | 0 | 0 | 60 | 0.00 | -| RAMB18 | 0 | 0 | 0 | 120 | 0.00 | +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | +----------------+------+-------+------------+-----------+-------+ * Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 @@ -83,7 +83,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +-----------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------+------+-------+------------+-----------+-------+ -| DSPs | 0 | 0 | 0 | 80 | 0.00 | +| DSPs | 0 | 0 | 0 | 740 | 0.00 | +-----------+------+-------+------------+-----------+-------+ @@ -93,20 +93,22 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst +-----------------------------+------+-------+------------+-----------+-------+ | Site Type | Used | Fixed | Prohibited | Available | Util% | +-----------------------------+------+-------+------------+-----------+-------+ -| Bonded IOB | 11 | 0 | 0 | 54 | 20.37 | -| Bonded IPADs | 0 | 0 | 0 | 2 | 0.00 | -| Bonded IOPADs | 0 | 0 | 0 | 130 | 0.00 | -| PHY_CONTROL | 0 | 0 | 0 | 2 | 0.00 | -| PHASER_REF | 0 | 0 | 0 | 2 | 0.00 | -| OUT_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IN_FIFO | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYCTRL | 0 | 0 | 0 | 2 | 0.00 | -| IBUFDS | 0 | 0 | 0 | 54 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 8 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 8 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 100 | 0.00 | -| ILOGIC | 0 | 0 | 0 | 54 | 0.00 | -| OLOGIC | 0 | 0 | 0 | 54 | 0.00 | +| Bonded IOB | 11 | 0 | 0 | 285 | 3.86 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | +-----------------------------+------+-------+------------+-----------+-------+ @@ -117,12 +119,12 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst | Site Type | Used | Fixed | Prohibited | Available | Util% | +------------+------+-------+------------+-----------+-------+ | BUFGCTRL | 0 | 0 | 0 | 32 | 0.00 | -| BUFIO | 0 | 0 | 0 | 8 | 0.00 | -| MMCME2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| PLLE2_ADV | 0 | 0 | 0 | 2 | 0.00 | -| BUFMRCE | 0 | 0 | 0 | 4 | 0.00 | -| BUFHCE | 0 | 0 | 0 | 48 | 0.00 | -| BUFR | 0 | 0 | 0 | 8 | 0.00 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | +------------+------+-------+------------+-----------+-------+ @@ -138,6 +140,7 @@ Warning! For any ECO changes, please run place_design if there are unplaced inst | EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | | FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | | ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | | STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | | XADC | 0 | 0 | 0 | 1 | 0.00 | +-------------+------+-------+------------+-----------+-------+ diff --git a/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml b/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml index 347635d..c0b38aa 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml +++ b/Vivado/labo1b/labo1b.runs/synth_1/gen_run.xml @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8"?> -<GenRun Id="synth_1" LaunchPart="xc7z010iclg225-1L" LaunchTime="1731587741"> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1732807758"> <File Type="VDS-TIMINGSUMMARY" Name="design_1_wrapper_timing_summary_synth.rpt"/> <File Type="RDS-DCP" Name="design_1_wrapper.dcp"/> <File Type="RDS-UTIL-PB" Name="design_1_wrapper_utilization_synth.pb"/> @@ -61,7 +61,9 @@ </Config> </FileSet> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"/> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> <Step Id="synth_design"/> </Strategy> <BlockFileSet Type="BlockSrcs" Name="design_1_reg_decalage_0_0"/> diff --git a/Vivado/labo1b/labo1b.runs/synth_1/project.wdf b/Vivado/labo1b/labo1b.runs/synth_1/project.wdf index 58be078..20510ce 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/project.wdf +++ b/Vivado/labo1b/labo1b.runs/synth_1/project.wdf @@ -6,7 +6,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:33:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:33:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 @@ -22,12 +22,12 @@ version:1 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:32:00:00 70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:32:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:32:00:00 5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3637386232343565313261393437313239656134373838363962396332363937:506172656e742050412070726f6a656374204944:00 -eof:188425586 +eof:480339526 diff --git a/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou b/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou index 1729903..c4b7f54 100644 --- a/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou +++ b/Vivado/labo1b/labo1b.runs/synth_1/vivado.jou @@ -3,8 +3,8 @@ # SW Build 5164865 on Thu Sep 5 14:36:28 MDT 2024 # IP Build 5164407 on Fri Sep 6 08:18:11 MDT 2024 # SharedData Build 5164864 on Thu Sep 05 13:09:09 MDT 2024 -# Start of session at: Thu Nov 14 13:35:44 2024 -# Process ID: 7637 +# Start of session at: Thu Nov 28 16:29:20 2024 +# Process ID: 14351 # Current directory: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1 # Command line: vivado -log design_1_wrapper.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source design_1_wrapper.tcl # Log file: /home/hogtest/Projets/Cours FPGA/Labo1B/Vivado/labo1b/labo1b.runs/synth_1/design_1_wrapper.vds @@ -19,6 +19,6 @@ # Host memory :8296 MB # Swap memory :8296 MB # Total Virtual :16593 MB -# Available Virtual :11461 MB +# Available Virtual :12318 MB #----------------------------------------------------------- source design_1_wrapper.tcl -notrace diff --git a/Vivado/labo1b/labo1b.runs/synth_1/vivado.pb b/Vivado/labo1b/labo1b.runs/synth_1/vivado.pb index d9088778de42c8bd4a72a2d6db653b8c29717f07..bbf89d97c6f8fd5eae6404a08f71b8084ffd818d 100644 GIT binary patch delta 2609 zcmbuBe{2(F7{_^AuG`+NZkDs_emJhka1`3TcfGsbb@0bFeht`YVgA_0bY;D*!>+|% zJ0XffA_<Z#aXiL<{6qgR5;365k<6%wlSG*b@dq&)Ow2I;hloaF#AxE%uF7_FU~1Ao zu6OVAdEV#yywCHz(-+Ve=h5U<J3?`|fjDamR-xXq)*cZEN$Y!tMMd=T@StUi$-M!G zb#MOZu$4s{;YF*v$$_i(4+a)k^x6d8D-wTf$v$R3^E_HoWx;(gYn7K3SPY(}*H9O@ z7>Sf%h8O(-(rZ(^0TPhr+n-0+(x_Keco_XaA{`S>B76d#Vj?A?pZG{Xf$y2d+JGc+ zE!<E_)3~vbR5}xhbL%;t2&!zjZEqs<3w&PE!Hgq(6hXLhJ-lMC$$FG{IBTy1Tv`q< zmo}keaHAp!ma<iMSXpOWC~LN$zbMoTjTLU@IKtz%f;Yj{3Ojm%LbXCGjI;LpENsE> zey!XB(^8#N@)2I9felAS5;P!Dm3^`#z_f?0mF2<_6B%ZegGTfA<p{pp&;Yv}9TZht zEvP<4Qg5;(p7&8+mgSOVzMy*Wt#Tc@Ivb$FQw?=2`9Gj%&`)re`v|;oPjI>9_h&aF z(6rMO5+c~}Ql4%i`+_D7uDhLv%L!#SP24h}CW2xz5rsJuUXkHvw-W~X6X;Ls=Jj?v zE+-_I`%w)A(_f5Bh5tf)7V1I`04w@k`PK9EQzV}#OLMspg+lsecQvbA1NX|eVJ7To z*q)3;M-s!_zDOz^<jl1Llj{z$Uzra3`v|`aFEwUZnWjteXWit_f~5K(-0P~9Z|mD= zy?-rYzcEoiMED#WZaPpbHGd`sim(r46)`TaS!La;=js~&Q`ddCCq#wec4~SulN!|Y zAl|SmqzAcxNVulKWSom@iQ#lC$P=;}x9x20%zpDP{KnDOZM;HDpX46>GAEJMS!uHw zFn()3WMRKHsc{bB_u!Z?wrDgpi)pMv>$V>aUR;UTOD5<$2v5O?^mwt*@_-9LW<>+E zDt*N=E~?dt{m8VjQwX1g--FR&q2uc&81@4b^aR4Mg3$Izkx-Dl4&zdLC1O7_fu|5Y z1I?j(;fMAzsNcAySO=Ha)EK8XF^Iira&QLWN%(m4W5t4J!Y<><4hH2)LJcj0$*rA5 zu#DDic1ylr`{C2A&BZY8?!p!@HgnJt>Mz1RcbI3w&oQ}Ju7q81^r0dJe;Otpj<Pdz zTK`+&Zy|gN%6htx3=<xg>(-XWt3HX)DdS;V7A-j*)5`(gkLNBIpO{=sB77RY?1{~V zPaB81U*4vc5A}A>A2`{DE0V<qj>^-4!-C7X1Dv}bxRae4$W1PuNP?0bRK`I66%>tQ zu{)-5L&<nN`9yv&L`Jo=meRRcWK81{$%Hqm4Mj5XG&dHBXEYyf)NvJ4YxS#=$2OQ8 z9Ze<#7CX{|ai5-!r1kDtO4DP>c(m8X2fQ*B^U>YM;g3i??BMF%vR|Sxi3?*g?Ts~J VdtO830_~x4P*8*Pic}MA`Wx|t+*kkr delta 3048 zcmbtWTTE0(7<Sg(1&2Fqb&CjRTR?Uz>&%?{q82Z;m;$0Us9dD$ate#Ei@S)>#1NBS z6B29w^jTwKV%2ELN#iB8YT73D@=%+!iBBfIC4Hbx>w_<S=$x}4%eqS&nryO@Gc(_O z|2O|X|M%Tz=<Yq_z`w%n?zj5OacLxBgvX4&(L{X6h>V2<hH*Y2A?qh+j;XAXAeAV~ z$8M?wHAQ7Eypj>Z^012%h3Q>xsy3>O4Xzk1oG5%BttfSTRD{q76eD5fCL98aHWa@> zD2Yaf2N;tZX)6q&YcBf})H{YM?U4;5N;-#eO}K0ryb~@ueEtetHW5)5BXqeLZIp<Z zzPbk<ITTN})v#&hENX**Q{L#tPF0b$F1OaG5>-y$e-~j#U9z+=;7U%$#s=91gwMk% zXE;xkNKjWrc<ik6N{Y}Vyq+)&VLX}`I~E=mHVe8!sj0ZGBJ>xO6f}Z2zsfm{@H-GL z*w0TBRXCN`j^2Z^!VsUUe`;>4`7nQ@1O3f6w>wWGJe|IAEp%6w!EXiY5pVz_=X@nR z%r7$iMc*P%)<B9%T96DULtd{$)u2uZk7FPljdA{xrUez5!A!{GwZCV^CsP@GffMHV zD>Vpu{ncQ$itu8|>J*?y66K)E#05Z^C_ta{E(JF0`6@&18HBIEQNItg3i3aIPk_7c zZMf7Gs*yz9qGcs22@;;MJaH){jHiHZMda>|nD0KbV-=d^g>k!@lU}^si5;4fT1^<7 z>3I`9vMLC!3AJ#zr|RD~tcIzE7#vco;f}A@Gl!q1lB(J&5lgvD$t6walFvfN^VgyH zh4Px#c(^|r8xTgr30|>J)dQRkobY^~q39<F-+(V`k9lM&@zB(?qcs`M9`t&bI@&y1 zzX5q3WMJMw_!i8ppIjbhu1g<U0#3@Nj!sNppu#yYYL_gYFWCdQvx5sH>@||{V~L27 z4B;L7I+7tlBSJ$YJ}e9yv4OF{kVO1=+up5he1@{cQv(0IjcS5K?2)JljQA~ip}Bdj zscby%@cfXW$t{F$!d*I^Gl(_B(*CI{)<FpTZVrjHXx;q`$m<AyjCMw2(d3}f4<|(d zzLr{Y;(JLpkz}5=JcZ1iiWhml$T;9K!XH9HHI|8q^)+bS=NXun5WcWL+NW2*p3rhh zf75i@<@q$@fb$5SgQHsy<t9zD)sjr9T}#mo<)&wQ34)HYYWQha1vGA71-(0#pqLAe zC!ZUcf4<~G(7#)N)i3uglTveYxp}jt0A(+X2fLOij9lF8J5PJ54=<0J+0tHx;G8d| z)Cap;mj}bErrfOGbKI3Z!kNz85w6J*A-uN#NB*kj6+J2YD+phL&I9d8wHjO&qExb; zJ3R*=#oPwq37j$=_fkps1pF$(m!bCHU<y{WDs8TB%Bfd0C(ru_U!8A8)%FuCN*T>a zoNGql>b^0*v?kk9iBNl~s_W*Np2rCNY_+1PQe13%mA-x>86AkFTRA2zSUJY5F`EZo zh4XYK1{F=ST4EY>7AuF(BK&M!QW!PDM+E-gW{kuWlR|f0vR9~^N|w4RU6e6N3AiKi zk&$?e730EmO4h+0gOkZ<Bs^@L*0FCN+l-qU+P3*aLVVmvgoiB)MKx|SC5<%+BPmZp zWs#c_Ci7=Ao;YIk^EIN*yBL+b^0?n*nkWsfm|C!kOVRufEV(3|DL-eC?Bpc3;7yq% zCC)Oai`oLoIY~(xORA_+kP$VF<^sz<Yy&afSGA=G7tC31?oH^zRdJhQUlosD6}3g_ Zl6Fz%FMT&r0hI(QhD0SqMYtGl_y@?UHH-iN diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd index b0cd193..3fa1e7a 100644 --- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd +++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/design_1.bd @@ -2,12 +2,12 @@ "design": { "design_info": { "boundary_crc": "0x8A7B7C861B56AAC5", - "device": "xc7z010iclg225-1L", + "device": "xc7a200tsbg484-1", "gen_directory": "../../../../labo1b.gen/sources_1/bd/design_1", "name": "design_1", "rev_ctrl_bd_flag": "RevCtrlBdOff", "synth_flow_mode": "Hierarchical", - "tool_version": "2024.1", + "tool_version": "2024.1.2", "validated": "true" }, "design_tree": { diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci index eebeb7f..377c850 100644 --- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci +++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1/design_1_clk_wiz_0_1.xci @@ -584,18 +584,18 @@ "C_VCO_MAX": [ { "value": "1600.000", "resolve_type": "generated", "format": "float", "usage": "all" } ] }, "project_parameters": { - "ARCHITECTURE": [ { "value": "zynq" } ], - "BASE_BOARD_PART": [ { "value": "" } ], + "ARCHITECTURE": [ { "value": "artix7" } ], + "BASE_BOARD_PART": [ { "value": "digilentinc.com:nexys_video:part0:1.2" } ], "BOARD_CONNECTIONS": [ { "value": "" } ], - "DEVICE": [ { "value": "xc7z010i" } ], + "DEVICE": [ { "value": "xc7a200t" } ], "NEXTGEN_VERSAL": [ { "value": "0" } ], - "PACKAGE": [ { "value": "clg225" } ], + "PACKAGE": [ { "value": "sbg484" } ], "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1L" } ], + "SPEEDGRADE": [ { "value": "-1" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ] + "TEMPERATURE_GRADE": [ { "value": "" } ] }, "runtime_parameters": { "IPCONTEXT": [ { "value": "IP_Integrator" } ], @@ -604,7 +604,7 @@ "OUTPUTDIR": [ { "value": "../../../../../../labo1b.gen/sources_1/bd/design_1/ip/design_1_clk_wiz_0_1" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], - "SWVERSION": [ { "value": "2024.1" } ], + "SWVERSION": [ { "value": "2024.1.2" } ], "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] } }, diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci index a805d03..530661f 100644 --- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci +++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0/design_1_reg_decalage_0_0.xci @@ -11,18 +11,18 @@ "Component_Name": [ { "value": "design_1_reg_decalage_0_0", "resolve_type": "user", "usage": "all" } ] }, "project_parameters": { - "ARCHITECTURE": [ { "value": "zynq" } ], - "BASE_BOARD_PART": [ { "value": "" } ], + "ARCHITECTURE": [ { "value": "artix7" } ], + "BASE_BOARD_PART": [ { "value": "digilentinc.com:nexys_video:part0:1.2" } ], "BOARD_CONNECTIONS": [ { "value": "" } ], - "DEVICE": [ { "value": "xc7z010i" } ], + "DEVICE": [ { "value": "xc7a200t" } ], "NEXTGEN_VERSAL": [ { "value": "0" } ], - "PACKAGE": [ { "value": "clg225" } ], + "PACKAGE": [ { "value": "sbg484" } ], "PREFHDL": [ { "value": "VERILOG" } ], "SILICON_REVISION": [ { "value": "" } ], "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], - "SPEEDGRADE": [ { "value": "-1L" } ], + "SPEEDGRADE": [ { "value": "-1" } ], "STATIC_POWER": [ { "value": "" } ], - "TEMPERATURE_GRADE": [ { "value": "I" } ] + "TEMPERATURE_GRADE": [ { "value": "" } ] }, "runtime_parameters": { "IPCONTEXT": [ { "value": "IP_Integrator" } ], @@ -31,7 +31,7 @@ "OUTPUTDIR": [ { "value": "../../../../../../labo1b.gen/sources_1/bd/design_1/ip/design_1_reg_decalage_0_0" } ], "SELECTEDSIMMODEL": [ { "value": "" } ], "SHAREDDIR": [ { "value": "../../ipshared" } ], - "SWVERSION": [ { "value": "2024.1" } ], + "SWVERSION": [ { "value": "2024.1.2" } ], "SYNTHESISFLOW": [ { "value": "OOC_HIERARCHICAL" } ] } }, diff --git a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui index 24b79ef..71499b7 100644 --- a/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui +++ b/Vivado/labo1b/labo1b.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui @@ -1,7 +1,7 @@ { "ActiveEmotionalView":"Default View", "Default View_ScaleFactor":"1.0", - "Default View_TopLeft":"-595,-488", + "Default View_TopLeft":"-596,-501", "ExpandedHierarchyInLayout":"", "guistr":"# # String gsaved with Nlview 7.7.1 2023-07-26 3bc4126617 VDI=43 GEI=38 GUI=JA:21.0 TLS # -string -flagsOSRD @@ -12,12 +12,12 @@ preplace port port-id_btnD -pg 1 -lvl 0 -x -220 -y -70 -defaultsOSRD preplace portBus led -pg 1 -lvl 3 -x 230 -y -150 -defaultsOSRD preplace inst clk_wiz_0 -pg 1 -lvl 1 -x -100 -y -350 -defaultsOSRD preplace inst reg_decalage_0 -pg 1 -lvl 2 -x 110 -y -150 -defaultsOSRD -preplace netloc btnU_1 1 0 2 N -150 -10 preplace netloc btnC_1 1 0 2 N -100 -10 preplace netloc btnD_1 1 0 2 N -70 0 -preplace netloc reg_decalage_0_led 1 2 1 N -150 +preplace netloc btnU_1 1 0 2 N -150 -10 preplace netloc clk_1 1 0 1 N -340 preplace netloc clk_wiz_0_clk_out1 1 1 1 -10 -360n +preplace netloc reg_decalage_0_led 1 2 1 N -150 levelinfo -pg 1 -220 -100 110 230 pagesize -pg 1 -db -bbox -sgen -310 -460 340 40 " diff --git a/Vivado/labo1b/labo1b.xpr b/Vivado/labo1b/labo1b.xpr index 4295750..14ed7d6 100644 --- a/Vivado/labo1b/labo1b.xpr +++ b/Vivado/labo1b/labo1b.xpr @@ -8,7 +8,7 @@ <DefaultLaunch Dir="$PRUNDIR"/> <Configuration> <Option Name="Id" Val="678b245e12a947129ea478869b9c2697"/> - <Option Name="Part" Val="xc7z010iclg225-1L"/> + <Option Name="Part" Val="xc7a200tsbg484-1"/> <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> <Option Name="CompiledLibDirXSim" Val=""/> <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> @@ -43,7 +43,7 @@ <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> - <Option Name="BoardPart" Val=""/> + <Option Name="BoardPart" Val="digilentinc.com:nexys_video:part0:1.2"/> <Option Name="ActiveSimSet" Val="sim_1"/> <Option Name="DefaultLib" Val="xil_defaultlib"/> <Option Name="ProjectType" Val="Default"/> @@ -66,13 +66,13 @@ <Option Name="WTVcsLaunchSim" Val="0"/> <Option Name="WTRivieraLaunchSim" Val="0"/> <Option Name="WTActivehdlLaunchSim" Val="0"/> - <Option Name="WTXSimExportSim" Val="1"/> - <Option Name="WTModelSimExportSim" Val="1"/> - <Option Name="WTQuestaExportSim" Val="1"/> + <Option Name="WTXSimExportSim" Val="2"/> + <Option Name="WTModelSimExportSim" Val="2"/> + <Option Name="WTQuestaExportSim" Val="2"/> <Option Name="WTIesExportSim" Val="0"/> - <Option Name="WTVcsExportSim" Val="1"/> - <Option Name="WTRivieraExportSim" Val="1"/> - <Option Name="WTActivehdlExportSim" Val="1"/> + <Option Name="WTVcsExportSim" Val="2"/> + <Option Name="WTRivieraExportSim" Val="2"/> + <Option Name="WTActivehdlExportSim" Val="2"/> <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> <Option Name="XSimRadix" Val="hex"/> <Option Name="XSimTimeUnit" Val="ns"/> @@ -199,9 +199,11 @@ </Simulator> </Simulators> <Runs Version="1" Minor="22"> - <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7z010iclg225-1L" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"/> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> <Step Id="synth_design"/> </Strategy> <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> @@ -209,9 +211,32 @@ <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="design_1_clk_wiz_0_1_synth_1" Type="Ft3:Synth" SrcSet="design_1_clk_wiz_0_1" Part="xc7z010iclg225-1L" ConstrsSet="design_1_clk_wiz_0_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_clk_wiz_0_1_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" ParallelReportGen="true"> + <Run Id="synth_1_copy_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1/synth_1_copy_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1_copy_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2019"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> + <Step Id="synth_design"/> + </Strategy> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2019" CtrlBit="true"> + <ReportConfig DisplayName="synthesis_report" Name="synth_1_copy_1_synth_synthesis_report_0" Spec="" RunStep="synth_design"> + <ReportConfigOption Name="dummy_option" Type="string"/> + </ReportConfig> + <ReportConfig DisplayName="Utilization - Synth Design" Name="synth_1_copy_1_synth_report_utilization_0" Spec="report_utilization" RunStep="synth_design" Version="1" Minor="0"> + <ReportConfigOption Name="dummy_option" Type="string"/> + <ReportConfigOutputOption Name="pb" Type="string" Value=""/> + </ReportConfig> + </ReportStrategy> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles> + <RQSFilePath/> + </RQSFiles> + </Run> + <Run Id="design_1_clk_wiz_0_1_synth_1" Type="Ft3:Synth" SrcSet="design_1_clk_wiz_0_1" Part="xc7a200tsbg484-1" ConstrsSet="design_1_clk_wiz_0_1" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_clk_wiz_0_1_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> <Step Id="synth_design"/> </Strategy> <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> @@ -219,9 +244,11 @@ <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="design_1_reg_decalage_0_0_synth_1" Type="Ft3:Synth" SrcSet="design_1_reg_decalage_0_0" Part="xc7z010iclg225-1L" ConstrsSet="design_1_reg_decalage_0_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_reg_decalage_0_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" ParallelReportGen="true"> + <Run Id="design_1_reg_decalage_0_0_synth_1" Type="Ft3:Synth" SrcSet="design_1_reg_decalage_0_0" Part="xc7a200tsbg484-1" ConstrsSet="design_1_reg_decalage_0_0" Description="Vivado Synthesis Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" Dir="$PRUNDIR/design_1_reg_decalage_0_0_synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_synth_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"/> + <StratHandle Name="Vivado Synthesis Defaults" Flow="Vivado Synthesis 2024"> + <Desc>Vivado Synthesis Defaults</Desc> + </StratHandle> <Step Id="synth_design"/> </Strategy> <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> @@ -229,9 +256,11 @@ <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7z010iclg225-1L" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 4 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 4 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019"/> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2019"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> @@ -247,9 +276,11 @@ <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="design_1_clk_wiz_0_1_impl_1" Type="Ft2:EntireDesign" Part="xc7z010iclg225-1L" ConstrsSet="design_1_clk_wiz_0_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_clk_wiz_0_1_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" ParallelReportGen="true"> + <Run Id="design_1_clk_wiz_0_1_impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="design_1_clk_wiz_0_1" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_clk_wiz_0_1_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_clk_wiz_0_1_impl_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> @@ -264,9 +295,11 @@ <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> <RQSFiles/> </Run> - <Run Id="design_1_reg_decalage_0_0_impl_1" Type="Ft2:EntireDesign" Part="xc7z010iclg225-1L" ConstrsSet="design_1_reg_decalage_0_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_reg_decalage_0_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" ParallelReportGen="true"> + <Run Id="design_1_reg_decalage_0_0_impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="design_1_reg_decalage_0_0" Description="Default settings for Implementation." AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" SynthRun="design_1_reg_decalage_0_0_synth_1" IncludeInArchive="false" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/design_1_reg_decalage_0_0_impl_1" ParallelReportGen="true"> <Strategy Version="1" Minor="2"> - <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"/> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2024"> + <Desc>Default settings for Implementation.</Desc> + </StratHandle> <Step Id="init_design"/> <Step Id="opt_design"/> <Step Id="power_opt_design"/> @@ -282,7 +315,39 @@ <RQSFiles/> </Run> </Runs> - <Board/> + <MsgRule> + <MsgAttr Name="RuleType" Val="0"/> + <MsgAttr Name="Limit" Val="-1"/> + <MsgAttr Name="NewSeverity" Val="ANY"/> + <MsgAttr Name="Id" Val=""/> + <MsgAttr Name="Severity" Val="ANY"/> + <MsgAttr Name="ShowRule" Val="1"/> + <MsgAttr Name="RuleSource" Val="2"/> + <MsgAttr Name="StringIsRegExp" Val="1"/> + <MsgAttr Name="RuleId" Val="1"/> + <MsgAttr Name="Note" Val=""/> + <MsgAttr Name="Author" Val=""/> + <MsgAttr Name="CreatedTimestamp" Val=""/> + <MsgAttr Name="StringsToMatch" Val=".*The IP file '.*' has been moved from its original location, as a result the outputs for this IP will now be generated in '.*'. Alternatively a copy of the IP can be imported into the project using one of the 'import_ip' or 'import_files' commands..*"/> + </MsgRule> + <MsgRule> + <MsgAttr Name="RuleType" Val="0"/> + <MsgAttr Name="Limit" Val="-1"/> + <MsgAttr Name="NewSeverity" Val="ANY"/> + <MsgAttr Name="Id" Val=""/> + <MsgAttr Name="Severity" Val="ANY"/> + <MsgAttr Name="ShowRule" Val="1"/> + <MsgAttr Name="RuleSource" Val="2"/> + <MsgAttr Name="StringIsRegExp" Val="1"/> + <MsgAttr Name="RuleId" Val="2"/> + <MsgAttr Name="Note" Val=""/> + <MsgAttr Name="Author" Val=""/> + <MsgAttr Name="CreatedTimestamp" Val=""/> + <MsgAttr Name="StringsToMatch" Val=".*File '.*.xci' referenced by design '.*' could not be found..*"/> + </MsgRule> + <Board> + <Jumpers/> + </Board> <DashboardSummary Version="1" Minor="0"> <Dashboards> <Dashboard Name="default_dashboard"> -- GitLab