diff --git a/Top/microblaze-demo/list/sim_1.sim b/Top/microblaze-demo/list/sim_1.sim
index faf9a818734e1d2b088968627348be3682e1e637..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 100644
--- a/Top/microblaze-demo/list/sim_1.sim
+++ b/Top/microblaze-demo/list/sim_1.sim
@@ -1 +0,0 @@
-Projects/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd 93 lib=xil_defaultlib
diff --git a/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd b/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd
new file mode 100644
index 0000000000000000000000000000000000000000..7fdef1facd10aab8ff0f85a7d82c6dc828e2f500
--- /dev/null
+++ b/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd
@@ -0,0 +1,57 @@
+----------------------------------------------------------------------------------
+-- Company: 
+-- Engineer: 
+-- 
+-- Create Date: 03/10/2025 07:21:40 AM
+-- Design Name: 
+-- Module Name: dummy_simu - Behavioral
+-- Project Name: 
+-- Target Devices: 
+-- Tool Versions: 
+-- Description: 
+-- 
+-- Dependencies: 
+-- 
+-- Revision:
+-- Revision 0.01 - File Created
+-- Additional Comments:
+-- 
+----------------------------------------------------------------------------------
+
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use ieee.numeric_std.all;
+use std.textio.all;
+
+entity dummy_simu is
+  Generic (
+    -- Global Generic Variables
+    GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0');
+    GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0');
+    GLOBAL_VER  : std_logic_vector(31 downto 0) := (others => '0');
+    GLOBAL_SHA  : std_logic_vector(31 downto 0) := (others => '0')
+    );
+end dummy_simu;
+
+architecture Behavioral of dummy_simu is
+
+begin
+
+  assert false
+    report "GLOBAL_DATE: " & to_hstring(to_bitvector(GLOBAL_DATE))
+    severity note;
+
+  assert false
+    report "GLOBAL_TIME: " & to_hstring(to_bitvector(GLOBAL_TIME))
+    severity note;
+
+  assert false
+    report "GLOBAL_VER: " & to_hstring(to_bitvector(GLOBAL_VER))
+    severity note;
+
+  assert false
+    report "GLOBAL_SHA: " & to_hstring(to_bitvector(GLOBAL_SHA))
+    severity note;
+
+end Behavioral;
\ No newline at end of file