From f5222e1aa31601ec989f447398abd9093009e2be Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?S=C3=A9bastien=20Gendre?= <sebastien.gendre@etu.hesge.ch> Date: Sat, 22 Mar 2025 20:46:24 +0100 Subject: [PATCH] Add dummy simu into the git repo Was into the Prejocts subfolder before --- Top/microblaze-demo/list/sim_1.sim | 1 - .../sim_1/new/dummy_simu.vhd | 57 +++++++++++++++++++ 2 files changed, 57 insertions(+), 1 deletion(-) create mode 100644 microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd diff --git a/Top/microblaze-demo/list/sim_1.sim b/Top/microblaze-demo/list/sim_1.sim index faf9a81..e69de29 100644 --- a/Top/microblaze-demo/list/sim_1.sim +++ b/Top/microblaze-demo/list/sim_1.sim @@ -1 +0,0 @@ -Projects/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd 93 lib=xil_defaultlib diff --git a/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd b/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd new file mode 100644 index 0000000..7fdef1f --- /dev/null +++ b/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd @@ -0,0 +1,57 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 03/10/2025 07:21:40 AM +-- Design Name: +-- Module Name: dummy_simu - Behavioral +-- Project Name: +-- Target Devices: +-- Tool Versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- + + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use ieee.numeric_std.all; +use std.textio.all; + +entity dummy_simu is + Generic ( + -- Global Generic Variables + GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0'); + GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0'); + GLOBAL_VER : std_logic_vector(31 downto 0) := (others => '0'); + GLOBAL_SHA : std_logic_vector(31 downto 0) := (others => '0') + ); +end dummy_simu; + +architecture Behavioral of dummy_simu is + +begin + + assert false + report "GLOBAL_DATE: " & to_hstring(to_bitvector(GLOBAL_DATE)) + severity note; + + assert false + report "GLOBAL_TIME: " & to_hstring(to_bitvector(GLOBAL_TIME)) + severity note; + + assert false + report "GLOBAL_VER: " & to_hstring(to_bitvector(GLOBAL_VER)) + severity note; + + assert false + report "GLOBAL_SHA: " & to_hstring(to_bitvector(GLOBAL_SHA)) + severity note; + +end Behavioral; \ No newline at end of file -- GitLab