Skip to content
Snippets Groups Projects

Compare revisions

Changes are shown as if the source revision was being merged into the target revision. Learn more about comparing revisions.

Source

Select target project
No results found
Select Git revision
  • main
  • v0.0.1
  • v0.0.2
  • v0.0.3
  • v0.0.4
  • v0.0.5
6 results

Target

Select target project
  • travail-semestre-sg/hog-build-info-register
1 result
Select Git revision
  • main
  • v0.0.1
  • v0.0.2
  • v0.0.3
  • v0.0.4
  • v0.0.5
6 results
Show changes
Commits on Source (3)
......@@ -3,12 +3,12 @@
-- Engineer:
--
-- Create Date: 03/10/2025 06:56:35 AM
-- Design Name:
-- Module Name: hog_build_info_reg - Behavioral
-- Project Name:
-- Target Devices:
-- Design Name: Hog build info registers bank
-- Module Name: hog_build_info_regs - Behavioral
-- Project Name: Hog build info
-- Target Devices: Microblaze
-- Tool Versions:
-- Description:
-- Description: The registers bank for the Hog build info device.
--
-- Dependencies:
--
......@@ -21,8 +21,9 @@
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
entity hog_build_info_reg is
entity hog_build_info_regs is
generic (
C_ADDR_WIDTH: integer := 32 -- Width of the addresses
);
......@@ -36,9 +37,9 @@ entity hog_build_info_reg is
hog_global_date_i : in std_logic_vector(31 downto 0); -- Hog build global date
hog_global_time_i : in std_logic_vector(31 downto 0) -- Hog build global time
);
end hog_build_info_reg;
end hog_build_info_regs;
architecture Behavioral of hog_build_info_reg is
architecture Behavioral of hog_build_info_regs is
-- Register map
--
......