Skip to content
Snippets Groups Projects
Commit f8b97d0b authored by sebastie.gendre's avatar sebastie.gendre
Browse files

Write a small simulation to print Hog build info

parent bc6a1c1e
Branches
Tags
No related merge requests found
...@@ -21,23 +21,25 @@ ...@@ -21,23 +21,25 @@
library IEEE; library IEEE;
use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
-- Uncomment the following library declaration if using use std.textio.all;
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity explore_build_info is entity explore_build_info is
-- Port ( ); Generic (
-- Global Generic Variables
GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0');
GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0');
GLOBAL_VER : std_logic_vector(31 downto 0) := (others => '0');
GLOBAL_SHA : std_logic_vector(31 downto 0) := (others => '0')
);
end explore_build_info; end explore_build_info;
architecture Behavioral of explore_build_info is architecture Behavioral of explore_build_info is
begin begin
assert false
report "GLOBAL_DATE: " & to_hstring(to_bitvector(GLOBAL_DATE))
severity note;
end Behavioral; end Behavioral;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment