Skip to content
Snippets Groups Projects
Commit f5222e1a authored by sebastie.gendre's avatar sebastie.gendre
Browse files

Add dummy simu into the git repo

Was into the Prejocts subfolder before
parent 258558cd
No related branches found
Tags v0.0.6
No related merge requests found
Projects/microblaze-demo/microblaze-demo.srcs/sim_1/new/dummy_simu.vhd 93 lib=xil_defaultlib
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 03/10/2025 07:21:40 AM
-- Design Name:
-- Module Name: dummy_simu - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use std.textio.all;
entity dummy_simu is
Generic (
-- Global Generic Variables
GLOBAL_DATE : std_logic_vector(31 downto 0) := (others => '0');
GLOBAL_TIME : std_logic_vector(31 downto 0) := (others => '0');
GLOBAL_VER : std_logic_vector(31 downto 0) := (others => '0');
GLOBAL_SHA : std_logic_vector(31 downto 0) := (others => '0')
);
end dummy_simu;
architecture Behavioral of dummy_simu is
begin
assert false
report "GLOBAL_DATE: " & to_hstring(to_bitvector(GLOBAL_DATE))
severity note;
assert false
report "GLOBAL_TIME: " & to_hstring(to_bitvector(GLOBAL_TIME))
severity note;
assert false
report "GLOBAL_VER: " & to_hstring(to_bitvector(GLOBAL_VER))
severity note;
assert false
report "GLOBAL_SHA: " & to_hstring(to_bitvector(GLOBAL_SHA))
severity note;
end Behavioral;
\ No newline at end of file
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment